/* * ****************************************************************************** * * * * * Copyright (C) 2004-2011, Nangate Inc. * * * All rights reserved. * * * * * * Nangate and the Nangate logo are trademarks of Nangate Inc. * * * * * * All trademarks, logos, software marks, and trade names (collectively the * * * "Marks") in this program are proprietary to Nangate or other respective * * * owners that have granted Nangate the right and license to use such Marks. * * * You are not permitted to use the Marks without the prior written consent * * * of Nangate or such third party that may own the Marks. * * * * * * This file has been provided pursuant to a License Agreement containing * * * restrictions on its use. This file contains valuable trade secrets and * * * proprietary information of Nangate Inc., and is protected by U.S. and * * * international laws and/or treaties. * * * * * * The copyright notice(s) in this file does not indicate actual or intended * * * publication of this file. * * * * * * NGLibraryCharacterizer, v2011.01-HR04-2011-01-19 - build 201102050200 * * * * * ****************************************************************************** * * Spice engine : Nanspice v2011.01-HR04-2011-01-19-1102050200 * Liberty export type : conditional * * Characterization Corner : slow * Process : SlowSlow * Temperature : 125C * Voltage : 0.95V * ****************************************************************************/ library (NangateOpenCellLibrary) { /* Documentation Attributes */ date : "Thu 10 Feb 2011, 18:11:58"; revision : "revision 1.0"; comment : "Copyright (c) 2004-2011 Nangate Inc. All Rights Reserved."; /* General Attributes */ technology (cmos); delay_model : table_lookup; in_place_swap_mode : match_footprint; library_features (report_delay_calculation,report_power_calculation); /* Units Attributes */ time_unit : "1ns"; leakage_power_unit : "1nW"; voltage_unit : "1V"; current_unit : "1mA"; pulling_resistance_unit : "1kohm"; capacitive_load_unit (1,ff); /* Operation Conditions */ nom_process : 1.00; nom_temperature : 125.00; nom_voltage : 0.95; voltage_map (VDD,0.95); voltage_map (VSS,0.00); define(process_corner, operating_conditions, string); operating_conditions (slow) { process_corner : "SlowSlow"; process : 1.00; voltage : 0.95; temperature : 125.00; tree_type : balanced_tree; } default_operating_conditions : slow; /* Threshold Definitions */ slew_lower_threshold_pct_fall : 30.00 ; slew_lower_threshold_pct_rise : 30.00 ; slew_upper_threshold_pct_fall : 70.00 ; slew_upper_threshold_pct_rise : 70.00 ; slew_derate_from_library : 1.00 ; input_threshold_pct_fall : 50.00 ; input_threshold_pct_rise : 50.00 ; output_threshold_pct_fall : 50.00 ; output_threshold_pct_rise : 50.00 ; default_leakage_power_density : 0.00 ; default_cell_leakage_power : 0.00 ; /* Default Pin Attributes */ default_inout_pin_cap : 1.000000; default_input_pin_cap : 1.000000; default_output_pin_cap : 0.000000; default_fanout_load : 1.000000; default_max_transition : 0.500000; define(drive_strength, cell, float); /* Wire load tables */ wire_load("1K_hvratio_1_4") { capacitance : 1.774000e-01; resistance : 3.571429e-03; slope : 5.000000; fanout_length( 1, 1.3207 ); fanout_length( 2, 2.9813 ); fanout_length( 3, 5.1135 ); fanout_length( 4, 7.6639 ); fanout_length( 5, 10.0334 ); fanout_length( 6, 12.2296 ); fanout_length( 8, 19.3185 ); } wire_load("1K_hvratio_1_2") { capacitance : 1.774000e-01; resistance : 3.571429e-03; slope : 5.000000; fanout_length( 1, 1.3216 ); fanout_length( 2, 2.8855 ); fanout_length( 3, 4.6810 ); fanout_length( 4, 6.7976 ); fanout_length( 5, 9.4037 ); fanout_length( 6, 13.0170 ); fanout_length( 8, 24.1720 ); } wire_load("1K_hvratio_1_1") { capacitance : 1.774000e-01; resistance : 3.571429e-03; slope : 6.283688; fanout_length( 1, 1.3446 ); fanout_length( 2, 2.8263 ); fanout_length( 3, 4.7581 ); fanout_length( 4, 7.4080 ); fanout_length( 5, 10.9381 ); fanout_length( 6, 15.7314 ); fanout_length( 8, 29.7891 ); } wire_load("3K_hvratio_1_4") { capacitance : 1.774000e-01; resistance : 3.571429e-03; slope : 5.000000; fanout_length( 1, 1.8234 ); fanout_length( 2, 4.5256 ); fanout_length( 3, 7.5342 ); fanout_length( 4, 10.6237 ); fanout_length( 5, 13.5401 ); fanout_length( 6, 16.3750 ); fanout_length( 7, 18.6686 ); fanout_length( 8, 19.4348 ); fanout_length( 10, 20.9672 ); } wire_load("3K_hvratio_1_2") { capacitance : 1.774000e-01; resistance : 3.571429e-03; slope : 5.000000; fanout_length( 1, 1.6615 ); fanout_length( 2, 3.9827 ); fanout_length( 3, 6.6386 ); fanout_length( 4, 9.6287 ); fanout_length( 5, 12.8485 ); fanout_length( 6, 16.4145 ); fanout_length( 7, 20.0747 ); fanout_length( 8, 22.6325 ); fanout_length( 10, 21.7173 ); } wire_load("3K_hvratio_1_1") { capacitance : 1.774000e-01; resistance : 3.571429e-03; slope : 5.000000; fanout_length( 1, 1.5771 ); fanout_length( 2, 3.9330 ); fanout_length( 3, 6.6217 ); fanout_length( 4, 9.7638 ); fanout_length( 5, 13.5526 ); fanout_length( 6, 18.1322 ); fanout_length( 7, 22.5871 ); fanout_length( 8, 25.1074 ); fanout_length( 10, 30.1480 ); } wire_load("5K_hvratio_1_4") { capacitance : 1.774000e-01; resistance : 3.571429e-03; slope : 5.000000; fanout_length( 1, 2.0449 ); fanout_length( 2, 4.4094 ); fanout_length( 3, 7.2134 ); fanout_length( 4, 10.4927 ); fanout_length( 5, 13.9420 ); fanout_length( 6, 18.0039 ); fanout_length( 7, 23.9278 ); fanout_length( 8, 30.8475 ); fanout_length( 9, 34.9441 ); fanout_length( 11, 43.1373 ); } wire_load("5K_hvratio_1_2") { capacitance : 1.774000e-01; resistance : 3.571429e-03; slope : 5.000000; fanout_length( 1, 1.6706 ); fanout_length( 2, 3.7951 ); fanout_length( 3, 6.2856 ); fanout_length( 4, 9.1309 ); fanout_length( 5, 12.1420 ); fanout_length( 6, 15.6918 ); fanout_length( 7, 20.1043 ); fanout_length( 8, 24.2827 ); fanout_length( 9, 27.3445 ); fanout_length( 11, 35.3421 ); } wire_load("5K_hvratio_1_1") { capacitance : 1.774000e-01; resistance : 3.571429e-03; slope : 5.000000; fanout_length( 1, 1.7460 ); fanout_length( 2, 3.9394 ); fanout_length( 3, 6.4626 ); fanout_length( 4, 9.2201 ); fanout_length( 5, 11.9123 ); fanout_length( 6, 14.8358 ); fanout_length( 7, 18.6155 ); fanout_length( 8, 22.6727 ); fanout_length( 9, 25.4842 ); fanout_length( 11, 27.0320 ); } default_wire_load : "5K_hvratio_1_1" ; power_lut_template (Hidden_power_7) { variable_1 : input_transition_time; index_1 ("0.0010,0.0020,0.0030,0.0040,0.0050,0.0060,0.0070"); } lu_table_template (Hold_3_3) { variable_1 : constrained_pin_transition; variable_2 : related_pin_transition; index_1 ("0.0010,0.0020,0.0030"); index_2 ("0.0010,0.0020,0.0030"); } power_lut_template (Power_7_7) { variable_1 : input_transition_time; variable_2 : total_output_net_capacitance; index_1 ("0.0010,0.0020,0.0030,0.0040,0.0050,0.0060,0.0070"); index_2 ("0.0010,0.0020,0.0030,0.0040,0.0050,0.0060,0.0070"); } lu_table_template (Pulse_width_3) { variable_1 : related_pin_transition; index_1 ("0.0010,0.0020,0.0030"); } lu_table_template (Recovery_3_3) { variable_1 : constrained_pin_transition; variable_2 : related_pin_transition; index_1 ("0.0010,0.0020,0.0030"); index_2 ("0.0010,0.0020,0.0030"); } lu_table_template (Removal_3_3) { variable_1 : constrained_pin_transition; variable_2 : related_pin_transition; index_1 ("0.0010,0.0020,0.0030"); index_2 ("0.0010,0.0020,0.0030"); } lu_table_template (Setup_3_3) { variable_1 : constrained_pin_transition; variable_2 : related_pin_transition; index_1 ("0.0010,0.0020,0.0030"); index_2 ("0.0010,0.0020,0.0030"); } lu_table_template (Timing_7_7) { variable_1 : input_net_transition; variable_2 : total_output_net_capacitance; index_1 ("0.0010,0.0020,0.0030,0.0040,0.0050,0.0060,0.0070"); index_2 ("0.0010,0.0020,0.0030,0.0040,0.0050,0.0060,0.0070"); } lu_table_template (Tristate_disable_7) { variable_1 : input_net_transition; index_1 ("0.0010,0.0020,0.0030,0.0040,0.0050,0.0060,0.0070"); } /****************************************************************************************** Module : AND2_X1 Cell Description : Combinational cell (AND2_X1) with drive strength X1 *******************************************************************************************/ cell (AND2_X1) { drive_strength : 1; area : 1.064000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 15.154826; leakage_power () { when : "!A1 & !A2"; value : 10.171935; } leakage_power () { when : "!A1 & A2"; value : 17.208604; } leakage_power () { when : "A1 & !A2"; value : 12.417207; } leakage_power () { when : "A1 & A2"; value : 20.821558; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 0.894757; fall_capacitance : 0.830099; rise_capacitance : 0.894757; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 0.939232; fall_capacitance : 0.853339; rise_capacitance : 0.939232; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 60.494070; function : "(A1 & A2)"; timing () { related_pin : "A1"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0765980,0.0862464,0.0950826,0.109025,0.131636,0.170516,0.242432", \ "0.0810751,0.0907333,0.0995695,0.113522,0.136131,0.175012,0.246932", \ "0.0989261,0.108529,0.117371,0.131331,0.153954,0.192846,0.264768", \ "0.135565,0.145269,0.154090,0.168072,0.190773,0.229716,0.301656", \ "0.181379,0.192982,0.203116,0.218219,0.242152,0.281772,0.353798", \ "0.229951,0.243802,0.255686,0.272965,0.299023,0.340559,0.413847", \ "0.281255,0.297392,0.311290,0.331178,0.359969,0.403899,0.478602"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0630239,0.0787480,0.0961212,0.129093,0.193632,0.321810,0.577399", \ "0.0674459,0.0831711,0.100537,0.133506,0.198055,0.326241,0.581824", \ "0.0840800,0.0996979,0.116960,0.149818,0.214346,0.342599,0.598289", \ "0.111098,0.127055,0.144257,0.176866,0.241183,0.369419,0.625170", \ "0.137221,0.154429,0.171997,0.204249,0.268543,0.396458,0.652147", \ "0.158896,0.178441,0.197069,0.229757,0.293570,0.421467,0.676922", \ "0.174683,0.196972,0.217621,0.251499,0.315047,0.442340,0.697757"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0142179,0.0179662,0.0220337,0.0295478,0.0439247,0.0728803,0.133234", \ "0.0142202,0.0179650,0.0220353,0.0295435,0.0439265,0.0728882,0.133236", \ "0.0142401,0.0180012,0.0220653,0.0295620,0.0439313,0.0728830,0.133239", \ "0.0152201,0.0186282,0.0225258,0.0298637,0.0441023,0.0729622,0.133254", \ "0.0205299,0.0236322,0.0270182,0.0336077,0.0467309,0.0742211,0.133584", \ "0.0268061,0.0300308,0.0332223,0.0393239,0.0516523,0.0780366,0.135619", \ "0.0337402,0.0373263,0.0406222,0.0464392,0.0579323,0.0828138,0.138569"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0169578,0.0280912,0.0423448,0.0720289,0.132907,0.255406,0.500299", \ "0.0169607,0.0280891,0.0423447,0.0720300,0.132921,0.255409,0.500308", \ "0.0169747,0.0281240,0.0423694,0.0720368,0.132916,0.255381,0.500298", \ "0.0187745,0.0292878,0.0430528,0.0722168,0.132932,0.255408,0.500300", \ "0.0228226,0.0320379,0.0448415,0.0732932,0.133368,0.255363,0.500307", \ "0.0284548,0.0369851,0.0482167,0.0747108,0.133970,0.255821,0.500297", \ "0.0348367,0.0437219,0.0538826,0.0776917,0.134795,0.256370,0.500672"); } } timing () { related_pin : "A2"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0847777,0.0947293,0.103799,0.118054,0.141029,0.180309,0.252611", \ "0.0893668,0.0993202,0.108392,0.122649,0.145626,0.184907,0.257211", \ "0.107484,0.117409,0.126477,0.140743,0.163730,0.203021,0.275330", \ "0.144581,0.154495,0.163496,0.177704,0.200739,0.240066,0.312393", \ "0.193962,0.205475,0.215529,0.230551,0.254465,0.294202,0.366596", \ "0.246413,0.260083,0.271813,0.288907,0.314825,0.356337,0.429754", \ "0.301663,0.317607,0.331238,0.350754,0.379183,0.422878,0.497608"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0657335,0.0814595,0.0988370,0.131815,0.196352,0.324525,0.580107", \ "0.0707802,0.0865035,0.103882,0.136865,0.201417,0.329597,0.585175", \ "0.0858706,0.101544,0.118864,0.151784,0.216336,0.344598,0.600221", \ "0.110256,0.126201,0.143482,0.176244,0.240690,0.368950,0.624670", \ "0.137357,0.154114,0.171656,0.204150,0.268563,0.396678,0.652424", \ "0.163071,0.181237,0.199484,0.232084,0.296324,0.424390,0.680038", \ "0.184367,0.204607,0.224115,0.257628,0.321888,0.449629,0.705121"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0149082,0.0186485,0.0227107,0.0302192,0.0445884,0.0735197,0.133784", \ "0.0149121,0.0186504,0.0227106,0.0302200,0.0445892,0.0735211,0.133782", \ "0.0149200,0.0186711,0.0227349,0.0302344,0.0445923,0.0735183,0.133784", \ "0.0153158,0.0189704,0.0229720,0.0303949,0.0446867,0.0735644,0.133798", \ "0.0200766,0.0231846,0.0266214,0.0333002,0.0465531,0.0744118,0.134045", \ "0.0261346,0.0292618,0.0324552,0.0386458,0.0511769,0.0778544,0.135696", \ "0.0327892,0.0362051,0.0394028,0.0452435,0.0569514,0.0822264,0.138455"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0169578,0.0280897,0.0423414,0.0720150,0.132924,0.255379,0.500308", \ "0.0169600,0.0280923,0.0423414,0.0720205,0.132922,0.255395,0.500311", \ "0.0169607,0.0281078,0.0423544,0.0720260,0.132927,0.255415,0.500297", \ "0.0180428,0.0288656,0.0428063,0.0721470,0.132920,0.255393,0.500312", \ "0.0202789,0.0306405,0.0441235,0.0729240,0.133153,0.255384,0.500310", \ "0.0238823,0.0336327,0.0463207,0.0740661,0.133654,0.255544,0.500298", \ "0.0287796,0.0381995,0.0499522,0.0761507,0.134416,0.255981,0.500443"); } } internal_power () { related_pin : "A1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("1.970401,2.121268,2.240193,2.357482,2.471114,2.528243,2.550963", \ "1.948361,2.099756,2.214530,2.341236,2.444292,2.504442,2.525388", \ "1.906339,2.056022,2.173393,2.299112,2.406140,2.466099,2.488453", \ "1.927693,2.063928,2.164101,2.297384,2.409025,2.473913,2.500368", \ "1.960867,2.084674,2.201136,2.343606,2.470104,2.542938,2.568837", \ "2.069651,2.154863,2.258735,2.391888,2.548996,2.672556,2.705985", \ "2.274167,2.329581,2.402425,2.527606,2.683782,2.812692,2.906062"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("1.389209,1.460733,1.491589,1.540083,1.551666,1.594527,1.582232", \ "1.382015,1.452414,1.492577,1.528092,1.531125,1.574522,1.562930", \ "1.364788,1.427688,1.450078,1.476944,1.509138,1.529484,1.522343", \ "1.370945,1.432171,1.448746,1.452243,1.499238,1.530908,1.547213", \ "1.456356,1.501344,1.498121,1.514428,1.492158,1.523864,1.551711", \ "1.591760,1.667105,1.670446,1.647213,1.594097,1.633593,1.640379", \ "1.769722,1.869405,1.881869,1.856803,1.833593,1.788173,1.851724"); } } internal_power () { related_pin : "A2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("2.202532,2.353469,2.480438,2.615148,2.732174,2.800080,2.836506", \ "2.175349,2.334457,2.450202,2.594215,2.709847,2.781043,2.814360", \ "2.153081,2.301665,2.428760,2.565062,2.683054,2.756349,2.789725", \ "2.172668,2.324206,2.434895,2.567171,2.689413,2.761109,2.802939", \ "2.227547,2.355910,2.487828,2.612405,2.742331,2.831184,2.871024", \ "2.326575,2.429080,2.532809,2.675428,2.829546,2.954433,3.000078", \ "2.529942,2.596346,2.673041,2.800602,2.955061,3.095251,3.188636"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("1.393833,1.464386,1.503967,1.532946,1.516108,1.558856,1.615165", \ "1.381166,1.451418,1.485582,1.514512,1.558417,1.532937,1.589279", \ "1.365401,1.420820,1.458691,1.484830,1.534304,1.513845,1.571939", \ "1.343621,1.408237,1.429516,1.434254,1.431817,1.480839,1.545037", \ "1.382738,1.436328,1.436146,1.434022,1.429871,1.471313,1.540533", \ "1.447744,1.521964,1.534640,1.524680,1.510649,1.485808,1.566598", \ "1.571442,1.651390,1.668021,1.676037,1.652279,1.648905,1.648883"); } } } } /****************************************************************************************** Module : AND2_X2 Cell Description : Combinational cell (AND2_X2) with drive strength X2 *******************************************************************************************/ cell (AND2_X2) { drive_strength : 2; area : 1.330000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 30.469110; leakage_power () { when : "!A1 & !A2"; value : 20.396690; } leakage_power () { when : "!A1 & A2"; value : 34.646832; } leakage_power () { when : "A1 & !A2"; value : 24.942394; } leakage_power () { when : "A1 & A2"; value : 41.890525; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.615019; fall_capacitance : 1.478740; rise_capacitance : 1.615019; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.667681; fall_capacitance : 1.494066; rise_capacitance : 1.667681; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 120.820800; function : "(A1 & A2)"; timing () { related_pin : "A1"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.0708416,0.0811370,0.0894656,0.102786,0.124683,0.162853,0.234221", \ "0.0752769,0.0855753,0.0939093,0.107235,0.129135,0.167307,0.238676", \ "0.0931952,0.103443,0.111775,0.125112,0.147030,0.185219,0.256587", \ "0.129473,0.139970,0.148331,0.161736,0.183742,0.221982,0.293383", \ "0.173268,0.185900,0.195576,0.210085,0.233384,0.272426,0.343887", \ "0.219791,0.234873,0.246232,0.262835,0.288129,0.328869,0.401522", \ "0.269054,0.286654,0.299949,0.319076,0.346971,0.389950,0.463844"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.0587157,0.0760908,0.0932675,0.126076,0.190427,0.318266,0.573264", \ "0.0631124,0.0804837,0.0976526,0.130460,0.194822,0.322672,0.577679", \ "0.0797120,0.0969515,0.114005,0.146693,0.211052,0.338987,0.594118", \ "0.105502,0.123095,0.140133,0.172619,0.236774,0.364622,0.619837", \ "0.129797,0.148731,0.165980,0.198056,0.262197,0.389769,0.644895", \ "0.149462,0.171004,0.189177,0.221539,0.285127,0.412781,0.667631", \ "0.163253,0.187854,0.207929,0.241283,0.304550,0.431545,0.686416"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.0131656,0.0172482,0.0212277,0.0286442,0.0429878,0.0720657,0.132675", \ "0.0131682,0.0172494,0.0212259,0.0286434,0.0429868,0.0720656,0.132680", \ "0.0131908,0.0172899,0.0212621,0.0286644,0.0429949,0.0720683,0.132674", \ "0.0146438,0.0181698,0.0218806,0.0290595,0.0432135,0.0721608,0.132699", \ "0.0199473,0.0232503,0.0265361,0.0330121,0.0461105,0.0736255,0.133041", \ "0.0262015,0.0296024,0.0326788,0.0386228,0.0508238,0.0772432,0.135140", \ "0.0330955,0.0368613,0.0400173,0.0456557,0.0569760,0.0818400,0.137853"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.0157679,0.0282679,0.0426151,0.0723965,0.133196,0.255361,0.499653", \ "0.0157713,0.0282710,0.0426160,0.0723969,0.133198,0.255333,0.499653", \ "0.0158095,0.0283134,0.0426472,0.0724016,0.133201,0.255340,0.499653", \ "0.0177796,0.0295224,0.0433805,0.0725984,0.133217,0.255348,0.499648", \ "0.0219328,0.0320860,0.0449906,0.0736166,0.133706,0.255308,0.499657", \ "0.0275785,0.0369018,0.0481715,0.0748974,0.134258,0.255902,0.499645", \ "0.0339468,0.0436312,0.0536867,0.0776870,0.135046,0.256428,0.500118"); } } timing () { related_pin : "A2"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.0789361,0.0895585,0.0981185,0.111742,0.133996,0.172559,0.244297", \ "0.0835039,0.0941350,0.102697,0.116324,0.138582,0.177146,0.248882", \ "0.101666,0.112262,0.120821,0.134470,0.156741,0.195315,0.267057", \ "0.138655,0.149272,0.157771,0.171370,0.193698,0.232334,0.304113", \ "0.186220,0.198722,0.208319,0.222761,0.246055,0.285156,0.356971", \ "0.236682,0.251567,0.262751,0.279158,0.304311,0.345037,0.417859", \ "0.289950,0.307300,0.320294,0.339034,0.366543,0.409283,0.483207"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.0614181,0.0787905,0.0959695,0.128786,0.193142,0.320976,0.575971", \ "0.0664290,0.0838010,0.100981,0.133803,0.198174,0.326012,0.581008", \ "0.0814143,0.0987042,0.115816,0.148580,0.212963,0.340877,0.595922", \ "0.105021,0.122634,0.139807,0.172457,0.236728,0.364677,0.619822", \ "0.130700,0.149197,0.166537,0.199152,0.263425,0.391214,0.646400", \ "0.154478,0.174561,0.192507,0.224891,0.288972,0.416752,0.671780", \ "0.173593,0.196045,0.215160,0.248317,0.312311,0.439795,0.694745"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.0138453,0.0179174,0.0218918,0.0293070,0.0436395,0.0726831,0.133206", \ "0.0138450,0.0179185,0.0218914,0.0293060,0.0436405,0.0726849,0.133206", \ "0.0138521,0.0179471,0.0219204,0.0293209,0.0436470,0.0726865,0.133205", \ "0.0144687,0.0183438,0.0222222,0.0295247,0.0437607,0.0727372,0.133223", \ "0.0194211,0.0227432,0.0260925,0.0326765,0.0458977,0.0737213,0.133482", \ "0.0254041,0.0287272,0.0318255,0.0378845,0.0503150,0.0770547,0.135196", \ "0.0319588,0.0355734,0.0386592,0.0443312,0.0559034,0.0812003,0.137717"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.0157775,0.0282699,0.0426141,0.0723965,0.133195,0.255346,0.499652", \ "0.0157684,0.0282695,0.0426144,0.0723912,0.133185,0.255327,0.499647", \ "0.0157872,0.0282899,0.0426336,0.0723951,0.133193,0.255349,0.499649", \ "0.0169647,0.0290918,0.0431117,0.0725222,0.133198,0.255329,0.499650", \ "0.0192614,0.0307889,0.0443391,0.0732544,0.133476,0.255314,0.499652", \ "0.0229938,0.0337355,0.0464568,0.0743282,0.133954,0.255623,0.499640", \ "0.0279478,0.0383206,0.0500248,0.0763297,0.134702,0.256068,0.499847"); } } internal_power () { related_pin : "A1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("3.805744,4.133982,4.345933,4.553849,4.737198,4.831557,4.864726", \ "3.755775,4.073235,4.288861,4.510751,4.685428,4.777487,4.810154", \ "3.692712,3.981268,4.193915,4.421583,4.601326,4.703155,4.739484", \ "3.733174,4.020169,4.202588,4.424712,4.620837,4.720413,4.771908", \ "3.789054,4.051179,4.263144,4.537079,4.744658,4.865850,4.919930", \ "4.034811,4.208701,4.382432,4.606031,4.905558,5.140403,5.201515", \ "4.453814,4.557416,4.681935,4.895528,5.179085,5.427148,5.612934"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("2.773506,2.916505,3.006006,3.059830,3.108177,3.129510,3.138831", \ "2.735025,2.899408,2.976876,3.030756,3.121985,3.088445,3.097504", \ "2.694544,2.844220,2.901838,2.968750,3.021264,2.996890,3.016126", \ "2.724244,2.840704,2.878816,2.876676,2.985039,2.934822,2.965114", \ "2.912713,3.001581,3.007207,3.030141,2.966717,3.078747,3.117589", \ "3.158959,3.340138,3.345438,3.301138,3.270184,3.238432,3.280466", \ "3.544330,3.754701,3.788401,3.787113,3.681692,3.617040,3.663283"); } } internal_power () { related_pin : "A2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.280715,4.584614,4.803428,5.061112,5.263989,5.378286,5.439047", \ "4.229615,4.545044,4.771169,5.015028,5.212375,5.334869,5.392556", \ "4.176666,4.501585,4.703046,4.952095,5.151835,5.281450,5.346890", \ "4.228815,4.524811,4.727235,4.962664,5.177802,5.309209,5.374190", \ "4.318240,4.581331,4.809124,5.070175,5.301365,5.444432,5.515006", \ "4.550526,4.743368,4.927458,5.148002,5.472357,5.698213,5.784633", \ "4.966788,5.074513,5.217023,5.448348,5.734994,5.980116,6.171213"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("2.761186,2.926042,2.982832,3.062013,3.096375,3.195756,3.204649", \ "2.734232,2.911607,2.973713,3.032059,3.043268,3.143178,3.151152", \ "2.700114,2.833703,2.905058,2.951415,2.994138,3.101740,3.115277", \ "2.650328,2.799757,2.844939,2.910501,2.942359,2.921314,2.945630", \ "2.743295,2.846530,2.869445,2.915814,2.960185,2.942644,2.978536", \ "2.894356,3.044339,3.055908,3.020367,3.064811,3.040008,3.082779", \ "3.123374,3.313433,3.366896,3.325911,3.288501,3.301208,3.333818"); } } } } /****************************************************************************************** Module : AND2_X4 Cell Description : Combinational cell (AND2_X4) with drive strength X4 *******************************************************************************************/ cell (AND2_X4) { drive_strength : 4; area : 2.394000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 60.931123; leakage_power () { when : "!A1 & !A2"; value : 40.783975; } leakage_power () { when : "!A1 & A2"; value : 69.284165; } leakage_power () { when : "A1 & !A2"; value : 49.875282; } leakage_power () { when : "A1 & A2"; value : 83.781070; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.124392; fall_capacitance : 2.854481; rise_capacitance : 3.124392; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.406701; fall_capacitance : 3.055393; rise_capacitance : 3.406701; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 241.651800; function : "(A1 & A2)"; timing () { related_pin : "A1"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); values ("0.0683340,0.0792291,0.0874586,0.100638,0.122370,0.160403,0.231745", \ "0.0727557,0.0836542,0.0918890,0.105075,0.126811,0.164845,0.236187", \ "0.0907273,0.101579,0.109824,0.123031,0.144781,0.182802,0.254139", \ "0.126768,0.137971,0.146252,0.159609,0.181494,0.219596,0.290914", \ "0.169741,0.183217,0.192818,0.207360,0.230583,0.269574,0.340998", \ "0.215411,0.231509,0.242788,0.259244,0.284368,0.324946,0.397568", \ "0.263839,0.282625,0.295822,0.314789,0.342474,0.385239,0.459019"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); values ("0.0562887,0.0747032,0.0918739,0.124688,0.189062,0.316920,0.572035", \ "0.0606889,0.0790992,0.0962599,0.129075,0.193468,0.321339,0.576455", \ "0.0772764,0.0955308,0.112571,0.145281,0.209689,0.337685,0.592902", \ "0.102492,0.121174,0.138209,0.170644,0.234892,0.362913,0.618225", \ "0.125979,0.146119,0.163331,0.195379,0.259578,0.387421,0.642732", \ "0.144731,0.167685,0.185792,0.218076,0.281709,0.409430,0.664383", \ "0.157615,0.183825,0.203817,0.237069,0.300331,0.427374,0.682404"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); values ("0.0126442,0.0169514,0.0209184,0.0283284,0.0427025,0.0718907,0.132717", \ "0.0126435,0.0169514,0.0209177,0.0283283,0.0427015,0.0718915,0.132714", \ "0.0126667,0.0169949,0.0209527,0.0283502,0.0427099,0.0718942,0.132719", \ "0.0143465,0.0180042,0.0216512,0.0287876,0.0429480,0.0719928,0.132739", \ "0.0196446,0.0230943,0.0263531,0.0327919,0.0459122,0.0735167,0.133091", \ "0.0258838,0.0294423,0.0324880,0.0383938,0.0505850,0.0770793,0.135199", \ "0.0327279,0.0367045,0.0398184,0.0454165,0.0566989,0.0816175,0.137848"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); values ("0.0147828,0.0279833,0.0423708,0.0722006,0.133031,0.255183,0.499556", \ "0.0147847,0.0279810,0.0423727,0.0722021,0.133033,0.255185,0.499568", \ "0.0148318,0.0280304,0.0424033,0.0722116,0.133041,0.255185,0.499555", \ "0.0169063,0.0292599,0.0431606,0.0724206,0.133053,0.255186,0.499557", \ "0.0211649,0.0317746,0.0447168,0.0734104,0.133593,0.255190,0.499557", \ "0.0267796,0.0365749,0.0478472,0.0746484,0.134109,0.255818,0.499549", \ "0.0330864,0.0433174,0.0533232,0.0773981,0.134886,0.256302,0.500095"); } } timing () { related_pin : "A2"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); values ("0.0763589,0.0876040,0.0960635,0.109546,0.131637,0.170058,0.241765", \ "0.0809167,0.0921670,0.100630,0.114115,0.136209,0.174631,0.246340", \ "0.0990974,0.110323,0.118810,0.132324,0.154425,0.192828,0.264534", \ "0.136037,0.147290,0.155707,0.169240,0.191456,0.229952,0.301621", \ "0.182818,0.196139,0.205663,0.220001,0.243288,0.282320,0.354126", \ "0.232458,0.248331,0.259420,0.275675,0.300650,0.341215,0.413984", \ "0.284909,0.303410,0.316308,0.334864,0.362142,0.404665,0.478471"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); values ("0.0589585,0.0773744,0.0945485,0.127369,0.191744,0.319610,0.574723", \ "0.0639599,0.0823760,0.0995482,0.132382,0.196775,0.324638,0.579746", \ "0.0788948,0.0972167,0.114320,0.147094,0.211497,0.339442,0.594601", \ "0.102171,0.120866,0.138040,0.170701,0.235007,0.362980,0.618251", \ "0.127254,0.146901,0.164246,0.196855,0.261152,0.389025,0.644334", \ "0.150206,0.171595,0.189507,0.221844,0.285968,0.413866,0.669046", \ "0.168359,0.192314,0.211403,0.244543,0.308577,0.436093,0.691177"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); values ("0.0133095,0.0176146,0.0215751,0.0289826,0.0433484,0.0724998,0.133235", \ "0.0133097,0.0176143,0.0215751,0.0289825,0.0433482,0.0724995,0.133238", \ "0.0133222,0.0176434,0.0216009,0.0290002,0.0433553,0.0725015,0.133239", \ "0.0140701,0.0180865,0.0219351,0.0292181,0.0434764,0.0725577,0.133250", \ "0.0190748,0.0225531,0.0258789,0.0324475,0.0456928,0.0735879,0.133514", \ "0.0250610,0.0285145,0.0315799,0.0376081,0.0500534,0.0768748,0.135249", \ "0.0315305,0.0353418,0.0383824,0.0440278,0.0555815,0.0809495,0.137698"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); values ("0.0147828,0.0279808,0.0423709,0.0722028,0.133045,0.255185,0.499558", \ "0.0147764,0.0279830,0.0423699,0.0722042,0.133037,0.255180,0.499572", \ "0.0147971,0.0280073,0.0423858,0.0722093,0.133047,0.255185,0.499573", \ "0.0160248,0.0288306,0.0428809,0.0723372,0.133048,0.255179,0.499559", \ "0.0183702,0.0305036,0.0440834,0.0730574,0.133330,0.255179,0.499564", \ "0.0221700,0.0334515,0.0461895,0.0741089,0.133805,0.255538,0.499560", \ "0.0271195,0.0380598,0.0497445,0.0760957,0.134542,0.255944,0.499824"); } } internal_power () { related_pin : "A1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); values ("7.338174,8.016031,8.399585,8.831820,9.155984,9.336269,9.403618", \ "7.216639,7.902766,8.286411,8.721953,9.048107,9.229671,9.298636", \ "7.083034,7.728342,8.091376,8.551218,8.897250,9.086236,9.152488", \ "7.176003,7.786555,8.141902,8.576126,8.947076,9.141168,9.226348", \ "7.349104,7.832290,8.245913,8.784636,9.219883,9.442827,9.541429", \ "7.839394,8.186338,8.499278,8.978899,9.521168,9.969617,10.093680", \ "8.726597,8.902305,9.147573,9.529130,10.095990,10.558600,10.924110"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); values ("5.410786,5.778600,5.931224,5.967497,6.058793,6.245098,6.242365", \ "5.333180,5.696763,5.871150,6.040440,6.168983,6.161659,6.159402", \ "5.252452,5.583346,5.714627,5.797661,5.769513,5.980381,5.997345", \ "5.320021,5.587852,5.690858,5.751948,5.668962,5.884845,6.075435", \ "5.701017,5.916043,5.920336,5.957789,6.054200,5.945727,6.271734", \ "6.223302,6.590458,6.629084,6.491011,6.388523,6.592568,6.652071", \ "6.951659,7.449255,7.545560,7.507752,7.398750,7.125244,7.222810"); } } internal_power () { related_pin : "A2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); values ("8.265068,8.942937,9.359735,9.840243,10.211770,10.445300,10.552080", \ "8.191880,8.857103,9.282039,9.747161,10.118970,10.354290,10.468320", \ "8.058703,8.736789,9.155245,9.620385,10.015190,10.252900,10.364390", \ "8.196704,8.818235,9.179574,9.646582,10.053790,10.313070,10.443830", \ "8.357963,8.935500,9.369464,9.881693,10.307150,10.590820,10.727330", \ "8.866255,9.257253,9.570383,10.085770,10.648060,11.084090,11.257610", \ "9.694982,9.920803,10.194510,10.637950,11.172270,11.658090,12.037380"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); values ("5.387177,5.752549,5.927267,6.081756,6.192123,6.109259,6.104709", \ "5.375901,5.696309,5.854734,5.997751,6.176282,6.272876,6.268594", \ "5.264083,5.611394,5.719375,5.844437,5.986661,5.919026,5.925439", \ "5.186711,5.509338,5.598795,5.647535,5.797584,5.847564,5.877002", \ "5.362172,5.632686,5.660568,5.743246,5.739803,5.922817,5.975086", \ "5.635258,5.968814,6.054544,5.998132,5.947386,6.006721,6.222568", \ "6.129024,6.545142,6.649989,6.655226,6.581108,6.460957,6.514954"); } } } } /****************************************************************************************** Module : AND3_X1 Cell Description : Combinational cell (AND3_X1) with drive strength X1 *******************************************************************************************/ cell (AND3_X1) { drive_strength : 1; area : 1.330000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 14.318472; leakage_power () { when : "!A1 & !A2 & !A3"; value : 9.683825; } leakage_power () { when : "!A1 & !A2 & A3"; value : 12.688143; } leakage_power () { when : "!A1 & A2 & !A3"; value : 10.422507; } leakage_power () { when : "!A1 & A2 & A3"; value : 19.720746; } leakage_power () { when : "A1 & !A2 & !A3"; value : 9.800950; } leakage_power () { when : "A1 & !A2 & A3"; value : 14.932360; } leakage_power () { when : "A1 & A2 & !A3"; value : 12.223593; } leakage_power () { when : "A1 & A2 & A3"; value : 25.075649; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 0.869496; fall_capacitance : 0.823090; rise_capacitance : 0.869496; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 0.909154; fall_capacitance : 0.844424; rise_capacitance : 0.909154; } pin (A3) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 0.928543; fall_capacitance : 0.847373; rise_capacitance : 0.928543; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 60.379810; function : "((A1 & A2) & A3)"; timing () { related_pin : "A1"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.0838248,0.0942662,0.103742,0.118546,0.142193,0.182108,0.254654", \ "0.0886646,0.0991053,0.108591,0.123399,0.147044,0.186963,0.259505", \ "0.106437,0.116841,0.126317,0.141135,0.164793,0.204719,0.277265", \ "0.143028,0.153444,0.162847,0.177620,0.201335,0.241308,0.313904", \ "0.190972,0.203194,0.213847,0.229664,0.254460,0.294973,0.367675", \ "0.241537,0.256096,0.268604,0.286724,0.313853,0.356541,0.430581", \ "0.294504,0.311485,0.326092,0.346972,0.377020,0.422382,0.498216"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.0917515,0.109950,0.128966,0.163336,0.228386,0.356425,0.611535", \ "0.0955959,0.113785,0.132806,0.167173,0.232232,0.360264,0.615391", \ "0.110180,0.128365,0.147371,0.181679,0.246682,0.374730,0.629887", \ "0.139090,0.157279,0.176081,0.210120,0.274940,0.402913,0.658115", \ "0.171388,0.190638,0.209944,0.244091,0.308964,0.436640,0.691711", \ "0.199877,0.221273,0.241590,0.276259,0.341146,0.468967,0.723762", \ "0.222884,0.246840,0.269158,0.305223,0.370184,0.497451,0.752404"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.0152537,0.0192002,0.0234076,0.0310735,0.0455095,0.0741985,0.133714", \ "0.0152526,0.0191982,0.0234058,0.0310680,0.0455073,0.0741942,0.133705", \ "0.0152638,0.0192260,0.0234317,0.0310810,0.0455119,0.0742002,0.133703", \ "0.0158031,0.0196177,0.0237400,0.0312944,0.0456373,0.0742568,0.133725", \ "0.0209661,0.0243057,0.0278694,0.0346296,0.0478372,0.0752915,0.134048", \ "0.0273041,0.0307949,0.0341948,0.0405422,0.0530628,0.0793302,0.136060", \ "0.0343413,0.0382238,0.0417660,0.0478551,0.0596215,0.0844823,0.139457"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.0214493,0.0327020,0.0464491,0.0747408,0.133965,0.255547,0.499854", \ "0.0214410,0.0327034,0.0464502,0.0747445,0.133948,0.255568,0.499849", \ "0.0214410,0.0327025,0.0464543,0.0747405,0.133974,0.255569,0.499851", \ "0.0221198,0.0331234,0.0467377,0.0748916,0.133998,0.255575,0.499848", \ "0.0258095,0.0358864,0.0488385,0.0763103,0.134498,0.255602,0.499852", \ "0.0313731,0.0406793,0.0523213,0.0782078,0.135709,0.256140,0.499849", \ "0.0377477,0.0473875,0.0580715,0.0816462,0.136843,0.257001,0.500362"); } } timing () { related_pin : "A2"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.0924575,0.103196,0.112913,0.128020,0.152033,0.192351,0.265294", \ "0.0972259,0.107972,0.117686,0.132799,0.156811,0.197130,0.270071", \ "0.115084,0.125804,0.135517,0.150639,0.174661,0.214989,0.287934", \ "0.151851,0.162538,0.172155,0.187191,0.211250,0.251610,0.324574", \ "0.202925,0.215071,0.225655,0.241408,0.266158,0.306865,0.379930", \ "0.257216,0.271632,0.283988,0.301930,0.328918,0.371570,0.445694", \ "0.314025,0.330822,0.345200,0.365735,0.395444,0.440571,0.516400"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.0980813,0.116277,0.135292,0.169665,0.234728,0.362767,0.617873", \ "0.102711,0.120914,0.139931,0.174306,0.239370,0.367408,0.622518", \ "0.117318,0.135520,0.154526,0.188870,0.253909,0.381966,0.637109", \ "0.144071,0.162236,0.181167,0.215291,0.280212,0.408220,0.663404", \ "0.176686,0.195716,0.215006,0.249047,0.314014,0.441872,0.697016", \ "0.209074,0.229486,0.249557,0.284104,0.349261,0.477195,0.732206", \ "0.237630,0.260103,0.281511,0.317180,0.382567,0.510246,0.765296"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.0159499,0.0198877,0.0240815,0.0317383,0.0461734,0.0748418,0.134277", \ "0.0159505,0.0198865,0.0240817,0.0317380,0.0461670,0.0748346,0.134275", \ "0.0159544,0.0199044,0.0241023,0.0317502,0.0461735,0.0748376,0.134273", \ "0.0161794,0.0201070,0.0242760,0.0318742,0.0462504,0.0748719,0.134284", \ "0.0205898,0.0239288,0.0275300,0.0343430,0.0477415,0.0755793,0.134522", \ "0.0267385,0.0301405,0.0335349,0.0399341,0.0526289,0.0791456,0.136153", \ "0.0335272,0.0372585,0.0407181,0.0468047,0.0587320,0.0839360,0.139340"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.0214523,0.0327003,0.0464508,0.0747332,0.133961,0.255554,0.499856", \ "0.0214434,0.0327020,0.0464475,0.0747332,0.133958,0.255573,0.499858", \ "0.0214454,0.0326971,0.0464528,0.0747423,0.133967,0.255572,0.499850", \ "0.0218595,0.0329489,0.0466162,0.0748257,0.133978,0.255595,0.499852", \ "0.0241136,0.0348972,0.0482153,0.0759000,0.134313,0.255568,0.499849", \ "0.0277576,0.0380825,0.0507308,0.0774933,0.135317,0.255932,0.499843", \ "0.0327745,0.0428934,0.0547907,0.0801214,0.136354,0.256589,0.500169"); } } timing () { related_pin : "A3"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.0994799,0.110453,0.120351,0.135701,0.160014,0.200683,0.274018", \ "0.104124,0.115094,0.124994,0.140352,0.164662,0.205331,0.278666", \ "0.122147,0.133100,0.142996,0.158357,0.182678,0.223356,0.296692", \ "0.159053,0.169954,0.179754,0.194993,0.219332,0.260024,0.333377", \ "0.212554,0.224585,0.235107,0.250803,0.275548,0.316451,0.389851", \ "0.270118,0.284346,0.296545,0.314335,0.341185,0.383805,0.458016", \ "0.330481,0.347009,0.361154,0.381399,0.410815,0.455763,0.531635"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.100429,0.118631,0.137647,0.172022,0.237081,0.365130,0.620241", \ "0.105377,0.123578,0.142600,0.176969,0.242041,0.370085,0.625193", \ "0.118376,0.136571,0.155579,0.189936,0.254998,0.383056,0.638198", \ "0.139873,0.158112,0.177027,0.211284,0.276263,0.404310,0.659492", \ "0.166000,0.184940,0.204326,0.238897,0.303949,0.431773,0.686906", \ "0.193314,0.213268,0.233272,0.268007,0.333318,0.461290,0.716398", \ "0.218476,0.240028,0.261105,0.296770,0.362524,0.490405,0.745488"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.0165592,0.0204797,0.0246635,0.0323100,0.0467506,0.0754350,0.134884", \ "0.0165590,0.0204805,0.0246675,0.0323071,0.0467466,0.0754377,0.134879", \ "0.0165605,0.0204917,0.0246791,0.0323194,0.0467554,0.0754335,0.134875", \ "0.0166500,0.0206024,0.0247833,0.0323939,0.0467986,0.0754574,0.134881", \ "0.0203134,0.0236556,0.0272818,0.0341569,0.0477692,0.0759002,0.135017", \ "0.0262646,0.0295847,0.0329979,0.0394733,0.0523050,0.0790350,0.136317", \ "0.0328496,0.0364722,0.0398859,0.0459858,0.0580772,0.0835697,0.139357"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.0214463,0.0326976,0.0464499,0.0747368,0.133955,0.255566,0.499848", \ "0.0214453,0.0327016,0.0464475,0.0747348,0.133949,0.255569,0.499858", \ "0.0214474,0.0327010,0.0464496,0.0747454,0.133960,0.255557,0.499850", \ "0.0217963,0.0329244,0.0465952,0.0748165,0.133981,0.255555,0.499848", \ "0.0234538,0.0344913,0.0479441,0.0757095,0.134292,0.255597,0.499853", \ "0.0259537,0.0368586,0.0500247,0.0772060,0.135114,0.255808,0.499847", \ "0.0297259,0.0404858,0.0532368,0.0795564,0.136250,0.256351,0.500039"); } } internal_power () { related_pin : "A1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("2.064152,2.216157,2.341047,2.474813,2.605409,2.677943,2.703080", \ "2.051339,2.208083,2.326143,2.469768,2.592474,2.662654,2.690003", \ "2.016571,2.169347,2.286268,2.428629,2.554401,2.627579,2.655383", \ "2.019450,2.163395,2.272286,2.417773,2.546356,2.623607,2.653998", \ "2.056512,2.194393,2.321583,2.453729,2.598490,2.679697,2.713872", \ "2.154019,2.250190,2.352730,2.492242,2.664512,2.796835,2.836462", \ "2.338917,2.412061,2.487688,2.612782,2.782474,2.930253,3.022380"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("1.522022,1.618090,1.667246,1.708492,1.717560,1.771225,1.796042", \ "1.511935,1.607552,1.653431,1.673954,1.715259,1.768458,1.785888", \ "1.493918,1.589692,1.630001,1.669057,1.696544,1.691233,1.709831", \ "1.504020,1.593494,1.629756,1.642897,1.668047,1.688589,1.710469", \ "1.577913,1.653462,1.674743,1.704286,1.714254,1.703578,1.726271", \ "1.708640,1.805238,1.814799,1.798340,1.788246,1.769226,1.794420", \ "1.897490,1.996458,2.034644,2.029272,1.996618,1.932213,1.948577"); } } internal_power () { related_pin : "A2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("2.304869,2.471420,2.596927,2.747511,2.872609,2.966184,3.005162", \ "2.285914,2.453242,2.583483,2.731575,2.864127,2.948376,2.988964", \ "2.258287,2.423422,2.542625,2.697627,2.825601,2.914584,2.958065", \ "2.278731,2.423539,2.543142,2.688843,2.817983,2.916036,2.958407", \ "2.330567,2.462969,2.593202,2.737202,2.869015,2.966304,3.014859", \ "2.413406,2.518979,2.630014,2.783893,2.950186,3.081943,3.132098", \ "2.599719,2.671802,2.753585,2.885517,3.058974,3.212147,3.305027"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("1.519473,1.615289,1.664287,1.703422,1.701847,1.763249,1.779914", \ "1.513077,1.610657,1.654772,1.693940,1.714888,1.743896,1.760664", \ "1.494363,1.588882,1.631801,1.652018,1.671871,1.734749,1.752984", \ "1.481026,1.572922,1.616402,1.638347,1.615421,1.678544,1.699201", \ "1.500546,1.588123,1.619987,1.622873,1.662374,1.654325,1.677064", \ "1.584181,1.674464,1.701855,1.681907,1.710269,1.693763,1.720108", \ "1.695003,1.800367,1.828514,1.841662,1.788973,1.797502,1.818711"); } } internal_power () { related_pin : "A3"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("2.510185,2.664996,2.802848,2.942418,3.096011,3.196655,3.251682", \ "2.493850,2.648177,2.777283,2.936726,3.077841,3.182056,3.233689", \ "2.467239,2.618989,2.754221,2.912483,3.053836,3.152677,3.209645", \ "2.482070,2.627138,2.749898,2.901416,3.048859,3.152321,3.213986", \ "2.549960,2.699044,2.814064,2.961064,3.107653,3.208274,3.269415", \ "2.643649,2.747650,2.856603,3.011239,3.199056,3.323794,3.384892", \ "2.830050,2.899884,2.994542,3.130496,3.302779,3.459278,3.558582"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("1.527063,1.610802,1.657370,1.676700,1.737896,1.731729,1.747826", \ "1.517033,1.613067,1.660134,1.697197,1.724812,1.708055,1.792808", \ "1.491969,1.587954,1.639286,1.675537,1.706165,1.721849,1.739246", \ "1.479141,1.572589,1.604513,1.619894,1.676950,1.680741,1.692470", \ "1.483070,1.573078,1.602482,1.631653,1.662119,1.658710,1.681886", \ "1.538779,1.627571,1.648640,1.664381,1.627806,1.684304,1.712236", \ "1.627127,1.722009,1.758985,1.763737,1.739989,1.750872,1.774657"); } } } } /****************************************************************************************** Module : AND3_X2 Cell Description : Combinational cell (AND3_X2) with drive strength X2 *******************************************************************************************/ cell (AND3_X2) { drive_strength : 2; area : 1.596000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 28.785421; leakage_power () { when : "!A1 & !A2 & !A3"; value : 19.408025; } leakage_power () { when : "!A1 & !A2 & A3"; value : 25.492015; } leakage_power () { when : "!A1 & A2 & !A3"; value : 20.903619; } leakage_power () { when : "!A1 & A2 & A3"; value : 39.733921; } leakage_power () { when : "A1 & !A2 & !A3"; value : 19.643733; } leakage_power () { when : "A1 & !A2 & A3"; value : 30.035505; } leakage_power () { when : "A1 & A2 & !A3"; value : 24.548844; } leakage_power () { when : "A1 & A2 & A3"; value : 50.517703; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.570122; fall_capacitance : 1.478104; rise_capacitance : 1.570122; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.624021; fall_capacitance : 1.488834; rise_capacitance : 1.624021; } pin (A3) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.641268; fall_capacitance : 1.470578; rise_capacitance : 1.641268; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 120.544000; function : "((A1 & A2) & A3)"; timing () { related_pin : "A1"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.0777607,0.0888804,0.0978091,0.111935,0.134798,0.173915,0.245799", \ "0.0825555,0.0936817,0.102615,0.116746,0.139611,0.178728,0.250610", \ "0.100368,0.111457,0.120380,0.134525,0.157402,0.196531,0.268421", \ "0.136812,0.147959,0.156849,0.171006,0.193962,0.233144,0.305061", \ "0.182714,0.196014,0.206184,0.221416,0.245581,0.285417,0.357454", \ "0.231156,0.247014,0.258955,0.276393,0.302741,0.344610,0.418000", \ "0.281961,0.300486,0.314438,0.334540,0.363693,0.408084,0.483045"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.0856287,0.105555,0.124159,0.158127,0.222864,0.350552,0.605083", \ "0.0894413,0.109366,0.127973,0.161940,0.226681,0.354383,0.608909", \ "0.104019,0.123942,0.142521,0.176404,0.241098,0.368804,0.623390", \ "0.132378,0.152321,0.170720,0.204414,0.268912,0.396556,0.651175", \ "0.162743,0.183828,0.202679,0.236334,0.300945,0.428292,0.682786", \ "0.189106,0.212542,0.232288,0.266425,0.330881,0.458448,0.712657", \ "0.209948,0.236307,0.257896,0.293219,0.357613,0.484581,0.738989"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.0141569,0.0184519,0.0225592,0.0301104,0.0444824,0.0732516,0.133044", \ "0.0141634,0.0184514,0.0225586,0.0301099,0.0444820,0.0732505,0.133046", \ "0.0141767,0.0184846,0.0225883,0.0301264,0.0444909,0.0732535,0.133049", \ "0.0150384,0.0190233,0.0229916,0.0303963,0.0446429,0.0733255,0.133067", \ "0.0203530,0.0239257,0.0273803,0.0340444,0.0471843,0.0745486,0.133412", \ "0.0266434,0.0303514,0.0336471,0.0398324,0.0522207,0.0784960,0.135514", \ "0.0336116,0.0377452,0.0411368,0.0470671,0.0586509,0.0834615,0.138663"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.0200748,0.0326156,0.0464010,0.0748338,0.134199,0.255578,0.499277", \ "0.0200742,0.0326157,0.0464002,0.0748392,0.134197,0.255583,0.499282", \ "0.0200688,0.0326179,0.0464060,0.0748473,0.134206,0.255589,0.499281", \ "0.0210006,0.0331574,0.0467541,0.0750020,0.134233,0.255584,0.499282", \ "0.0247678,0.0358212,0.0487966,0.0764593,0.134776,0.255608,0.499278", \ "0.0303788,0.0405064,0.0520996,0.0781403,0.135904,0.256292,0.499274", \ "0.0367680,0.0471867,0.0577075,0.0813738,0.136918,0.257071,0.499866"); } } timing () { related_pin : "A2"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.0862919,0.0977405,0.106898,0.121325,0.144547,0.184055,0.256324", \ "0.0910366,0.102492,0.111652,0.126082,0.149304,0.188813,0.261080", \ "0.108899,0.120324,0.129473,0.143933,0.167166,0.206684,0.278954", \ "0.145653,0.157052,0.166136,0.180472,0.203754,0.243310,0.315618", \ "0.195010,0.208197,0.218299,0.233426,0.257551,0.297516,0.369913", \ "0.247241,0.262928,0.274705,0.291951,0.318159,0.359990,0.433469", \ "0.301956,0.320253,0.333957,0.353685,0.382468,0.426613,0.501573"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.0919334,0.111851,0.130454,0.164429,0.229181,0.356874,0.611395", \ "0.0965358,0.116456,0.135060,0.169035,0.233793,0.361498,0.616018", \ "0.111070,0.130988,0.149576,0.183515,0.248247,0.375968,0.630520", \ "0.137376,0.157322,0.175850,0.209691,0.274300,0.401979,0.656591", \ "0.168499,0.189382,0.208278,0.242228,0.306936,0.434464,0.689057", \ "0.198873,0.221320,0.240913,0.275004,0.339794,0.467448,0.721854", \ "0.225128,0.249883,0.270752,0.305863,0.370804,0.498165,0.752645"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.0148392,0.0191233,0.0232241,0.0307666,0.0451319,0.0738775,0.133596", \ "0.0148369,0.0191231,0.0232218,0.0307657,0.0451339,0.0738801,0.133592", \ "0.0148419,0.0191463,0.0232427,0.0307793,0.0451407,0.0738822,0.133595", \ "0.0151964,0.0194134,0.0234580,0.0309330,0.0452253,0.0739197,0.133606", \ "0.0199255,0.0235009,0.0270029,0.0337451,0.0470198,0.0747540,0.133868", \ "0.0260007,0.0296109,0.0329014,0.0391685,0.0517527,0.0783010,0.135584", \ "0.0326804,0.0366567,0.0399511,0.0458982,0.0576781,0.0828654,0.138529"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.0200762,0.0326162,0.0464011,0.0748329,0.134192,0.255578,0.499268", \ "0.0200814,0.0326161,0.0463995,0.0748328,0.134205,0.255582,0.499278", \ "0.0200703,0.0326163,0.0464018,0.0748441,0.134187,0.255580,0.499282", \ "0.0206469,0.0329398,0.0466095,0.0749367,0.134221,0.255587,0.499277", \ "0.0229572,0.0348897,0.0482154,0.0760338,0.134581,0.255600,0.499277", \ "0.0267221,0.0380442,0.0506544,0.0775189,0.135539,0.256036,0.499270", \ "0.0318135,0.0428718,0.0546591,0.0800393,0.136485,0.256699,0.499632"); } } timing () { related_pin : "A3"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.0931707,0.104880,0.114219,0.128889,0.152408,0.192266,0.264927", \ "0.0978188,0.109527,0.118870,0.133541,0.157059,0.196919,0.269577", \ "0.115852,0.127525,0.136863,0.151557,0.175096,0.214964,0.287618", \ "0.152790,0.164415,0.173645,0.188169,0.211723,0.251614,0.324337", \ "0.204861,0.217915,0.227952,0.243068,0.267153,0.307275,0.379993", \ "0.260452,0.275910,0.287521,0.304612,0.330678,0.372488,0.446074", \ "0.318753,0.336750,0.350216,0.369650,0.398144,0.442116,0.517138"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.0942743,0.114187,0.132793,0.166765,0.231520,0.359225,0.613742", \ "0.0991756,0.119091,0.137697,0.171673,0.236435,0.364145,0.618665", \ "0.112078,0.131994,0.150588,0.184538,0.249293,0.377006,0.631555", \ "0.133180,0.153178,0.171735,0.205618,0.270306,0.398015,0.652590", \ "0.158339,0.179100,0.198104,0.232191,0.296967,0.424563,0.679147", \ "0.184160,0.206111,0.225695,0.260153,0.325107,0.452793,0.707283", \ "0.207395,0.231139,0.251735,0.286938,0.352274,0.479829,0.734316"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.0154409,0.0197100,0.0237981,0.0313383,0.0457130,0.0744748,0.134187", \ "0.0154395,0.0197099,0.0237986,0.0313374,0.0457127,0.0744761,0.134188", \ "0.0154416,0.0197277,0.0238152,0.0313504,0.0457174,0.0744766,0.134188", \ "0.0155767,0.0198576,0.0239267,0.0314290,0.0457607,0.0744911,0.134189", \ "0.0196142,0.0232037,0.0267430,0.0335264,0.0469713,0.0750236,0.134348", \ "0.0254975,0.0290315,0.0323318,0.0386870,0.0514180,0.0782085,0.135729", \ "0.0319535,0.0358038,0.0390521,0.0450410,0.0569972,0.0824971,0.138560"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.0200745,0.0326153,0.0464015,0.0748392,0.134204,0.255584,0.499277", \ "0.0200760,0.0326148,0.0464004,0.0748368,0.134200,0.255584,0.499277", \ "0.0200736,0.0326158,0.0464012,0.0748421,0.134199,0.255599,0.499276", \ "0.0205461,0.0329000,0.0465784,0.0749243,0.134224,0.255592,0.499278", \ "0.0221989,0.0344718,0.0479392,0.0758421,0.134538,0.255593,0.499284", \ "0.0247745,0.0368473,0.0499831,0.0772477,0.135316,0.255926,0.499276", \ "0.0287261,0.0405437,0.0531965,0.0795484,0.136398,0.256457,0.499491"); } } internal_power () { related_pin : "A1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("3.974775,4.310388,4.524875,4.752332,4.969564,5.092123,5.139216", \ "3.932803,4.279441,4.487247,4.741491,4.938285,5.061504,5.108455", \ "3.857682,4.193853,4.411324,4.640021,4.862183,4.989638,5.037198", \ "3.901541,4.185790,4.382411,4.643296,4.853041,4.987698,5.042815", \ "3.952437,4.222714,4.462269,4.733336,4.965404,5.109206,5.165974", \ "4.161117,4.367547,4.532358,4.802687,5.118057,5.352510,5.423981", \ "4.571101,4.685107,4.827190,5.060073,5.363278,5.623627,5.809689"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("3.031905,3.250339,3.342955,3.384617,3.441167,3.442019,3.507227", \ "3.009802,3.227898,3.305048,3.379952,3.418910,3.421192,3.486487", \ "2.979842,3.188237,3.251393,3.353425,3.392034,3.396260,3.466651", \ "3.005086,3.208361,3.274063,3.296227,3.269021,3.407501,3.485210", \ "3.157713,3.331526,3.391142,3.375852,3.365053,3.359943,3.455923", \ "3.420753,3.619927,3.668252,3.659668,3.582834,3.565683,3.647680", \ "3.806985,4.039009,4.095668,4.061694,4.020858,3.967394,3.898215"); } } internal_power () { related_pin : "A2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("4.457832,4.788072,5.028714,5.301675,5.524108,5.672181,5.743632", \ "4.446709,4.758343,4.987298,5.263321,5.489568,5.641210,5.704971", \ "4.371115,4.709637,4.935650,5.194484,5.422401,5.580039,5.645772", \ "4.391769,4.694037,4.926985,5.181429,5.415031,5.571611,5.645876", \ "4.493645,4.790255,5.021510,5.280662,5.522565,5.687319,5.762655", \ "4.700944,4.900496,5.100309,5.353765,5.684057,5.917852,6.013548", \ "5.077164,5.216876,5.371162,5.597479,5.913700,6.180814,6.371565"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("3.050122,3.245451,3.348436,3.383495,3.410116,3.547264,3.476027", \ "3.013344,3.231263,3.325017,3.360157,3.483347,3.508233,3.573011", \ "2.986118,3.189128,3.265965,3.330565,3.347222,3.486957,3.419327", \ "2.955822,3.140775,3.227351,3.277662,3.243906,3.384560,3.460445", \ "3.009854,3.183423,3.252503,3.238903,3.235337,3.371907,3.452974", \ "3.163226,3.359162,3.417024,3.391950,3.391603,3.383149,3.467371", \ "3.406716,3.630032,3.686185,3.676410,3.630300,3.667160,3.604747"); } } internal_power () { related_pin : "A3"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("4.850925,5.199691,5.439493,5.707252,5.973049,6.146728,6.240872", \ "4.808759,5.164668,5.402532,5.670689,5.934602,6.104973,6.195123", \ "4.790954,5.113499,5.349257,5.624141,5.881596,6.052973,6.151337", \ "4.832295,5.118537,5.336358,5.611705,5.877444,6.059014,6.161351", \ "4.953369,5.247356,5.449126,5.728752,5.986681,6.164244,6.279377", \ "5.143337,5.358856,5.564612,5.834753,6.172002,6.404585,6.517470", \ "5.537174,5.687533,5.831684,6.064910,6.398893,6.672487,6.873211"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("3.042808,3.261312,3.325221,3.419503,3.482662,3.485252,3.548998", \ "3.021757,3.239927,3.322458,3.387441,3.434798,3.437723,3.502226", \ "2.978093,3.187701,3.268295,3.330514,3.322353,3.461735,3.529607", \ "2.949842,3.138655,3.221150,3.272963,3.362414,3.368992,3.442372", \ "2.963086,3.141412,3.209447,3.226181,3.228680,3.369657,3.449264", \ "3.062200,3.263256,3.339607,3.331366,3.334182,3.331789,3.418010", \ "3.261033,3.480874,3.535548,3.524495,3.554250,3.576568,3.607063"); } } } } /****************************************************************************************** Module : AND3_X4 Cell Description : Combinational cell (AND3_X4) with drive strength X4 *******************************************************************************************/ cell (AND3_X4) { drive_strength : 4; area : 2.926000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 57.560729; leakage_power () { when : "!A1 & !A2 & !A3"; value : 38.806550; } leakage_power () { when : "!A1 & !A2 & A3"; value : 50.974625; } leakage_power () { when : "!A1 & A2 & !A3"; value : 41.797739; } leakage_power () { when : "!A1 & A2 & A3"; value : 79.458285; } leakage_power () { when : "A1 & !A2 & !A3"; value : 39.277968; } leakage_power () { when : "A1 & !A2 & A3"; value : 60.061566; } leakage_power () { when : "A1 & A2 & !A3"; value : 49.088190; } leakage_power () { when : "A1 & A2 & A3"; value : 101.020910; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.023534; fall_capacitance : 2.837999; rise_capacitance : 3.023534; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.235020; fall_capacitance : 2.960864; rise_capacitance : 3.235020; } pin (A3) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.438773; fall_capacitance : 3.096946; rise_capacitance : 3.438773; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 241.089000; function : "((A1 & A2) & A3)"; timing () { related_pin : "A1"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("0.0746180,0.0863065,0.0950762,0.108985,0.131588,0.170460,0.242247", \ "0.0793915,0.0910897,0.0998640,0.113776,0.136382,0.175255,0.247042", \ "0.0972401,0.108900,0.117684,0.131623,0.154238,0.193083,0.264881", \ "0.133558,0.145326,0.154074,0.168098,0.190841,0.229793,0.301540", \ "0.178404,0.192537,0.202599,0.217708,0.241732,0.281461,0.353395", \ "0.225769,0.242636,0.254460,0.271684,0.297784,0.339391,0.412649", \ "0.275542,0.295201,0.309038,0.328902,0.357754,0.401815,0.476571"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("0.0816955,0.102733,0.121246,0.155128,0.219848,0.347575,0.602203", \ "0.0855048,0.106540,0.125054,0.158936,0.223662,0.351398,0.606027", \ "0.100085,0.121086,0.139574,0.173401,0.238097,0.365860,0.620557", \ "0.128075,0.149172,0.167484,0.201148,0.265578,0.393310,0.648104", \ "0.157410,0.179706,0.198438,0.231980,0.296604,0.424002,0.678691", \ "0.182558,0.207423,0.227027,0.260984,0.325398,0.453053,0.707342", \ "0.202131,0.230159,0.251595,0.286701,0.351005,0.478022,0.732581"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("0.0135336,0.0180472,0.0221282,0.0296570,0.0440451,0.0729352,0.133017", \ "0.0135305,0.0180466,0.0221279,0.0296549,0.0440442,0.0729353,0.133018", \ "0.0135494,0.0180810,0.0221573,0.0296732,0.0440508,0.0729372,0.133025", \ "0.0146470,0.0187121,0.0226234,0.0299791,0.0442253,0.0730162,0.133040", \ "0.0199785,0.0237031,0.0271237,0.0337388,0.0468996,0.0743187,0.133392", \ "0.0262675,0.0301311,0.0333667,0.0394978,0.0518630,0.0782060,0.135519", \ "0.0331940,0.0375181,0.0408445,0.0467074,0.0582305,0.0830877,0.138557"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("0.0189031,0.0321501,0.0459785,0.0745179,0.134023,0.255488,0.499221", \ "0.0189024,0.0321502,0.0459761,0.0745149,0.134021,0.255485,0.499238", \ "0.0188998,0.0321544,0.0459863,0.0745293,0.134019,0.255483,0.499231", \ "0.0199718,0.0327747,0.0463719,0.0746947,0.134057,0.255480,0.499238", \ "0.0238518,0.0353953,0.0483829,0.0761610,0.134645,0.255508,0.499230", \ "0.0294589,0.0400850,0.0516420,0.0777637,0.135701,0.256258,0.499213", \ "0.0358131,0.0467784,0.0572223,0.0809242,0.136677,0.257004,0.499888"); } } timing () { related_pin : "A2"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("0.0830987,0.0951443,0.104143,0.118353,0.141311,0.180575,0.252743", \ "0.0878257,0.0998770,0.108879,0.123090,0.146052,0.185316,0.257483", \ "0.105706,0.117737,0.126741,0.140985,0.163969,0.203195,0.275363", \ "0.142438,0.154435,0.163368,0.177556,0.200651,0.239995,0.312088", \ "0.190894,0.204888,0.214876,0.229812,0.253802,0.293657,0.365948", \ "0.242112,0.258768,0.270415,0.287441,0.313388,0.354962,0.428334", \ "0.295805,0.315231,0.328781,0.348263,0.376729,0.420540,0.495288"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("0.0879647,0.109001,0.127515,0.161404,0.226134,0.353868,0.608495", \ "0.0925568,0.113593,0.132108,0.165998,0.230734,0.358480,0.613105", \ "0.107063,0.128100,0.146588,0.180446,0.245153,0.372899,0.627556", \ "0.133109,0.154203,0.172636,0.206355,0.270979,0.398713,0.653417", \ "0.163447,0.185555,0.204385,0.238254,0.302920,0.430514,0.685217", \ "0.192715,0.216537,0.236031,0.270009,0.334751,0.462476,0.716966", \ "0.217684,0.244011,0.264784,0.299776,0.364621,0.492016,0.746639"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("0.0142062,0.0187103,0.0227861,0.0303101,0.0446917,0.0735571,0.133558", \ "0.0142061,0.0187098,0.0227844,0.0303089,0.0446911,0.0735560,0.133559", \ "0.0142136,0.0187363,0.0228075,0.0303217,0.0446953,0.0735580,0.133560", \ "0.0146493,0.0190375,0.0230481,0.0304888,0.0447916,0.0736003,0.133574", \ "0.0195192,0.0232445,0.0267166,0.0334344,0.0467128,0.0744860,0.133837", \ "0.0255699,0.0293310,0.0325746,0.0388070,0.0513696,0.0780041,0.135578", \ "0.0322246,0.0363395,0.0395878,0.0454681,0.0572162,0.0824674,0.138411"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("0.0189062,0.0321510,0.0459754,0.0745135,0.134027,0.255491,0.499233", \ "0.0189039,0.0321503,0.0459773,0.0745132,0.134018,0.255490,0.499234", \ "0.0189026,0.0321518,0.0459818,0.0745192,0.134028,0.255489,0.499227", \ "0.0195766,0.0325275,0.0462150,0.0746246,0.134052,0.255476,0.499223", \ "0.0219100,0.0344777,0.0478226,0.0757470,0.134442,0.255498,0.499235", \ "0.0257663,0.0376495,0.0502522,0.0771776,0.135346,0.255989,0.499221", \ "0.0308579,0.0425166,0.0542511,0.0796673,0.136269,0.256625,0.499631"); } } timing () { related_pin : "A3"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("0.0899398,0.102267,0.111451,0.125903,0.149162,0.188779,0.261336", \ "0.0945777,0.106907,0.116092,0.130543,0.153804,0.193420,0.265976", \ "0.112629,0.124933,0.134133,0.148620,0.171895,0.211482,0.284032", \ "0.149583,0.161813,0.170879,0.185251,0.208591,0.248302,0.320801", \ "0.200899,0.214749,0.224667,0.239585,0.263476,0.303417,0.376062", \ "0.255536,0.271927,0.283408,0.300270,0.326083,0.367644,0.441129", \ "0.312867,0.331951,0.345262,0.364441,0.392613,0.436259,0.511071"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("0.0903062,0.111344,0.129858,0.163750,0.228483,0.356219,0.610845", \ "0.0951997,0.116236,0.134750,0.168645,0.233390,0.361129,0.615755", \ "0.108080,0.129108,0.147609,0.181480,0.246208,0.373976,0.628615", \ "0.128984,0.150140,0.168625,0.202416,0.267066,0.394797,0.649468", \ "0.153656,0.175633,0.194559,0.228543,0.293311,0.420942,0.675609", \ "0.178718,0.202007,0.221504,0.255879,0.320846,0.448595,0.703168", \ "0.200897,0.226147,0.246668,0.281794,0.347063,0.474674,0.729286"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("0.0148049,0.0192964,0.0233617,0.0308831,0.0452721,0.0741531,0.134153", \ "0.0148051,0.0192980,0.0233631,0.0308829,0.0452710,0.0741547,0.134152", \ "0.0148076,0.0193160,0.0233824,0.0308938,0.0452779,0.0741562,0.134159", \ "0.0149633,0.0194557,0.0234997,0.0309744,0.0453181,0.0741692,0.134156", \ "0.0191862,0.0229312,0.0264438,0.0332146,0.0466419,0.0747394,0.134320", \ "0.0250215,0.0287167,0.0319821,0.0382953,0.0510278,0.0779135,0.135722", \ "0.0314708,0.0354455,0.0386587,0.0445851,0.0565177,0.0820912,0.138448"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("0.0189033,0.0321514,0.0459777,0.0745164,0.134016,0.255484,0.499233", \ "0.0189011,0.0321512,0.0459779,0.0745121,0.134020,0.255485,0.499232", \ "0.0188983,0.0321509,0.0459794,0.0745175,0.134029,0.255478,0.499238", \ "0.0194316,0.0324792,0.0461797,0.0746051,0.134040,0.255491,0.499224", \ "0.0210987,0.0340499,0.0475434,0.0755484,0.134373,0.255498,0.499229", \ "0.0237313,0.0364470,0.0495878,0.0769164,0.135132,0.255837,0.499217", \ "0.0277540,0.0401956,0.0528255,0.0792044,0.136194,0.256369,0.499484"); } } internal_power () { related_pin : "A1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("7.685587,8.372946,8.778996,9.288561,9.676791,9.891408,9.966764", \ "7.611909,8.313494,8.759085,9.226272,9.610132,9.829942,9.912043", \ "7.487305,8.133396,8.540997,9.062507,9.456669,9.682614,9.770072", \ "7.582455,8.147295,8.536962,9.025619,9.448385,9.698332,9.790365", \ "7.702154,8.239933,8.642386,9.192738,9.668541,9.947070,10.054900", \ "8.146926,8.522616,8.833488,9.343616,9.964921,10.427040,10.558780", \ "8.929911,9.176427,9.418251,9.882720,10.459850,10.965200,11.337750"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("5.824391,6.289969,6.425748,6.633912,6.560311,6.820361,6.927691", \ "5.779312,6.244316,6.411916,6.512491,6.631395,6.777247,6.885060", \ "5.699245,6.161138,6.295558,6.423518,6.458220,6.723162,6.570339", \ "5.741168,6.169755,6.319238,6.312307,6.516980,6.493637,6.627347", \ "6.100323,6.424903,6.550149,6.544103,6.478792,6.731257,6.597244", \ "6.643137,7.055891,7.096360,7.047047,6.983442,6.944182,7.082646", \ "7.381783,7.852877,7.977776,7.889533,7.778936,7.817957,7.665798"); } } internal_power () { related_pin : "A2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("8.641008,9.383534,9.849671,10.356140,10.786230,11.061720,11.188330", \ "8.577059,9.312411,9.764260,10.283190,10.715190,10.992180,11.116650", \ "8.456513,9.192786,9.619905,10.141130,10.589000,10.858250,10.983070", \ "8.560650,9.193298,9.601525,10.110500,10.563360,10.871500,11.007820", \ "8.764554,9.335006,9.787165,10.299390,10.778350,11.091040,11.251780", \ "9.143805,9.596825,9.954418,10.466420,11.090360,11.559120,11.737360", \ "9.978761,10.242060,10.495890,10.971750,11.556560,12.087630,12.456860"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("5.817885,6.304568,6.481275,6.634608,6.768995,6.876856,6.868673", \ "5.787512,6.252260,6.389873,6.585400,6.759969,6.681707,6.788857", \ "5.702072,6.165956,6.330126,6.441878,6.638746,6.637617,6.833297", \ "5.635730,6.078551,6.242084,6.274881,6.443723,6.443949,6.573132", \ "5.744742,6.156033,6.270548,6.354782,6.464162,6.458708,6.599904", \ "6.078694,6.509666,6.561066,6.595066,6.570432,6.548272,6.689127", \ "6.554695,7.011915,7.133883,7.080872,7.118850,7.080696,7.042141"); } } internal_power () { related_pin : "A3"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("9.499423,10.195420,10.662520,11.200680,11.685260,11.992100,12.184140", \ "9.413211,10.120050,10.577620,11.111090,11.604700,11.911630,12.103160", \ "9.315219,9.979227,10.473820,10.994240,11.489160,11.823960,12.006120", \ "9.389556,10.011980,10.473790,10.970740,11.493740,11.834980,12.030590", \ "9.643818,10.228780,10.710490,11.200890,11.699390,12.056760,12.269350", \ "10.050290,10.508040,10.897620,11.436460,12.054370,12.525110,12.744540", \ "10.862870,11.161650,11.459030,11.900150,12.520660,13.068180,13.462540"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("5.802654,6.297475,6.429948,6.562887,6.645486,6.906307,6.742557", \ "5.803049,6.221421,6.427529,6.481078,6.548868,6.811504,6.918409", \ "5.698027,6.162497,6.311226,6.493536,6.590745,6.587541,6.698640", \ "5.618383,6.069325,6.204217,6.372307,6.430119,6.524122,6.531387", \ "5.676356,6.052826,6.192276,6.286642,6.447007,6.434649,6.573559", \ "5.864219,6.329781,6.412096,6.414040,6.412239,6.401407,6.682749", \ "6.265630,6.708035,6.890114,6.854714,6.913037,6.860871,6.991378"); } } } } /****************************************************************************************** Module : AND4_X1 Cell Description : Combinational cell (AND4_X1) with drive strength X1 *******************************************************************************************/ cell (AND4_X1) { drive_strength : 1; area : 1.596000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 13.633118; leakage_power () { when : "!A1 & !A2 & !A3 & !A4"; value : 9.501235; } leakage_power () { when : "!A1 & !A2 & !A3 & A4"; value : 12.200128; } leakage_power () { when : "!A1 & !A2 & A3 & !A4"; value : 10.393893; } leakage_power () { when : "!A1 & !A2 & A3 & A4"; value : 15.203942; } leakage_power () { when : "!A1 & A2 & !A3 & !A4"; value : 9.794802; } leakage_power () { when : "!A1 & A2 & !A3 & A4"; value : 12.938696; } leakage_power () { when : "!A1 & A2 & A3 & !A4"; value : 10.593401; } leakage_power () { when : "!A1 & A2 & A3 & A4"; value : 22.231311; } leakage_power () { when : "A1 & !A2 & !A3 & !A4"; value : 9.385733; } leakage_power () { when : "A1 & !A2 & !A3 & A4"; value : 12.317158; } leakage_power () { when : "A1 & !A2 & A3 & !A4"; value : 10.162357; } leakage_power () { when : "A1 & !A2 & A3 & A4"; value : 17.446496; } leakage_power () { when : "A1 & A2 & !A3 & !A4"; value : 9.773975; } leakage_power () { when : "A1 & A2 & !A3 & A4"; value : 14.738840; } leakage_power () { when : "A1 & A2 & A3 & !A4"; value : 12.124017; } leakage_power () { when : "A1 & A2 & A3 & A4"; value : 29.323897; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 0.847523; fall_capacitance : 0.811704; rise_capacitance : 0.847523; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 0.901126; fall_capacitance : 0.841640; rise_capacitance : 0.901126; } pin (A3) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 0.906192; fall_capacitance : 0.840930; rise_capacitance : 0.906192; } pin (A4) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 0.908035; fall_capacitance : 0.828903; rise_capacitance : 0.908035; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 60.215150; function : "(((A1 & A2) & A3) & A4)"; timing () { related_pin : "A1"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.0901669,0.101060,0.110977,0.126408,0.150843,0.191607,0.264728", \ "0.0952041,0.106097,0.116019,0.131453,0.155893,0.196653,0.269772", \ "0.113098,0.123982,0.133897,0.149332,0.173779,0.214557,0.287679", \ "0.149620,0.160458,0.170276,0.185638,0.210141,0.250953,0.324099", \ "0.199179,0.211677,0.222635,0.238897,0.264282,0.305544,0.378836", \ "0.251438,0.266286,0.279130,0.297793,0.325662,0.369191,0.443820", \ "0.305829,0.323123,0.338137,0.359634,0.390555,0.436955,0.513675"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.122832,0.143459,0.164473,0.201126,0.267772,0.396050,0.650662", \ "0.126385,0.147000,0.168014,0.204662,0.271324,0.399599,0.654207", \ "0.139535,0.160140,0.181134,0.217765,0.284401,0.412664,0.667289", \ "0.166833,0.187388,0.208243,0.244678,0.311186,0.439357,0.694004", \ "0.204054,0.225171,0.246361,0.282773,0.349222,0.477175,0.731668", \ "0.238955,0.261729,0.283694,0.320769,0.387664,0.515783,0.769959", \ "0.268663,0.293881,0.317676,0.355987,0.423122,0.551171,0.805516"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.0162693,0.0203345,0.0246376,0.0324152,0.0469133,0.0754389,0.134322", \ "0.0162725,0.0203354,0.0246373,0.0324088,0.0469117,0.0754415,0.134312", \ "0.0162783,0.0203543,0.0246617,0.0324221,0.0469182,0.0754397,0.134318", \ "0.0166049,0.0206252,0.0248842,0.0325841,0.0470110,0.0754879,0.134329", \ "0.0214722,0.0249528,0.0286304,0.0355062,0.0488386,0.0763680,0.134636", \ "0.0278663,0.0315070,0.0350518,0.0415669,0.0542391,0.0804560,0.136595", \ "0.0350095,0.0390676,0.0427642,0.0490488,0.0610089,0.0858929,0.140332"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.0266500,0.0384028,0.0522230,0.0797787,0.136986,0.256581,0.499893", \ "0.0266359,0.0384013,0.0522234,0.0797732,0.136990,0.256584,0.499890", \ "0.0266364,0.0384013,0.0522217,0.0797750,0.137005,0.256590,0.499891", \ "0.0266478,0.0384326,0.0522706,0.0798270,0.137020,0.256616,0.499896", \ "0.0293312,0.0404599,0.0539118,0.0808946,0.137414,0.256652,0.499893", \ "0.0346026,0.0447938,0.0571584,0.0832118,0.139160,0.257254,0.499905", \ "0.0410338,0.0513211,0.0627301,0.0867238,0.140680,0.258516,0.500458"); } } timing () { related_pin : "A2"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.0988684,0.110060,0.120211,0.135947,0.160748,0.201913,0.275439", \ "0.103944,0.115135,0.125292,0.141032,0.165831,0.206996,0.280520", \ "0.121824,0.132996,0.143144,0.158886,0.183697,0.224869,0.298402", \ "0.158385,0.169505,0.179556,0.195175,0.220016,0.261218,0.334768", \ "0.210696,0.223120,0.234002,0.250207,0.275592,0.317097,0.390751", \ "0.266555,0.281263,0.293980,0.312472,0.340200,0.383687,0.458392", \ "0.324692,0.341814,0.356625,0.377806,0.408411,0.454574,0.531271"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.133151,0.153777,0.174796,0.211437,0.278095,0.406368,0.660985", \ "0.137310,0.157925,0.178942,0.215593,0.282259,0.410540,0.665138", \ "0.151051,0.171670,0.192687,0.229329,0.295977,0.424257,0.678876", \ "0.177454,0.197998,0.218950,0.255509,0.322061,0.450283,0.704909", \ "0.213822,0.234930,0.256124,0.292425,0.358947,0.487016,0.741607", \ "0.251913,0.274203,0.296078,0.333050,0.400158,0.528358,0.782742", \ "0.287239,0.311343,0.334494,0.372613,0.440135,0.568432,0.822897"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.0169730,0.0210279,0.0253186,0.0330816,0.0475771,0.0760894,0.134904", \ "0.0169708,0.0210267,0.0253174,0.0330827,0.0475760,0.0760846,0.134895", \ "0.0169747,0.0210406,0.0253332,0.0330887,0.0475825,0.0760883,0.134901", \ "0.0171244,0.0211988,0.0254745,0.0331930,0.0476436,0.0761217,0.134909", \ "0.0211688,0.0246349,0.0283310,0.0352665,0.0488556,0.0767255,0.135136", \ "0.0273960,0.0309370,0.0344653,0.0410231,0.0538426,0.0802678,0.136715", \ "0.0342988,0.0382138,0.0418235,0.0480862,0.0601950,0.0853821,0.140216"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.0266528,0.0383961,0.0522224,0.0797724,0.136991,0.256601,0.499890", \ "0.0266398,0.0383990,0.0522240,0.0797750,0.137001,0.256593,0.499891", \ "0.0266359,0.0383999,0.0522231,0.0797752,0.137000,0.256590,0.499888", \ "0.0266237,0.0384220,0.0522535,0.0798042,0.137019,0.256590,0.499888", \ "0.0284457,0.0399202,0.0534737,0.0805553,0.137300,0.256643,0.499889", \ "0.0318137,0.0429215,0.0560142,0.0825996,0.138720,0.257042,0.499904", \ "0.0368079,0.0476728,0.0601035,0.0854507,0.140171,0.258080,0.500274"); } } timing () { related_pin : "A3"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.106425,0.117844,0.128173,0.144149,0.169241,0.210749,0.284662", \ "0.111192,0.122612,0.132944,0.148919,0.174012,0.215520,0.289435", \ "0.129087,0.140492,0.150822,0.166802,0.191905,0.233419,0.307337", \ "0.165625,0.176968,0.187193,0.203027,0.228149,0.269686,0.343626", \ "0.220012,0.232326,0.243156,0.259297,0.284727,0.326441,0.400434", \ "0.279047,0.293587,0.306144,0.324474,0.352072,0.395517,0.470286", \ "0.340611,0.357507,0.372086,0.392989,0.423289,0.469273,0.545978"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.138954,0.159574,0.180586,0.217243,0.283907,0.412166,0.666782", \ "0.143524,0.164142,0.185156,0.221804,0.288481,0.416754,0.671370", \ "0.156470,0.177103,0.198120,0.234757,0.301410,0.429697,0.684317", \ "0.178743,0.199300,0.220278,0.256778,0.323378,0.451610,0.706253", \ "0.208760,0.229856,0.251142,0.287887,0.354496,0.482587,0.737153", \ "0.241434,0.263511,0.285385,0.322465,0.389647,0.517960,0.772421", \ "0.272974,0.296539,0.319508,0.357672,0.425410,0.553890,0.808476"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.0175632,0.0216162,0.0258927,0.0336438,0.0481488,0.0766755,0.135503", \ "0.0175641,0.0216143,0.0258906,0.0336444,0.0481479,0.0766793,0.135499", \ "0.0175635,0.0216229,0.0259032,0.0336509,0.0481519,0.0766735,0.135500", \ "0.0176312,0.0217142,0.0259913,0.0337193,0.0481896,0.0766946,0.135511", \ "0.0209022,0.0243823,0.0280861,0.0351323,0.0489698,0.0770742,0.135634", \ "0.0269486,0.0304357,0.0339598,0.0405826,0.0535277,0.0801268,0.136874", \ "0.0336660,0.0374658,0.0410301,0.0473074,0.0595538,0.0850012,0.140200"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.0266393,0.0384001,0.0522238,0.0797782,0.136998,0.256599,0.499882", \ "0.0266390,0.0384045,0.0522241,0.0797793,0.137004,0.256588,0.499887", \ "0.0266590,0.0384002,0.0522219,0.0797776,0.136999,0.256606,0.499893", \ "0.0266153,0.0384143,0.0522465,0.0797958,0.137003,0.256614,0.499884", \ "0.0281267,0.0397161,0.0533335,0.0804833,0.137271,0.256639,0.499888", \ "0.0305961,0.0421193,0.0555258,0.0823389,0.138549,0.257036,0.499901", \ "0.0344962,0.0459157,0.0589499,0.0849789,0.140021,0.257899,0.500216"); } } timing () { related_pin : "A4"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.112469,0.124138,0.134679,0.150923,0.176342,0.218234,0.292578", \ "0.117143,0.128801,0.139338,0.155582,0.181004,0.222897,0.297242", \ "0.135109,0.146772,0.157302,0.173547,0.198976,0.240876,0.315224", \ "0.171745,0.183349,0.193756,0.209852,0.235287,0.277196,0.351552", \ "0.227745,0.240025,0.250834,0.267009,0.292598,0.334582,0.408944", \ "0.289600,0.304032,0.316512,0.334782,0.362318,0.405782,0.480714", \ "0.354290,0.371012,0.385447,0.406169,0.436300,0.482191,0.558972"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.141215,0.161841,0.182855,0.219504,0.286168,0.414450,0.669076", \ "0.146097,0.166718,0.187734,0.224389,0.291051,0.419334,0.673961", \ "0.158477,0.179102,0.200125,0.236764,0.303438,0.431702,0.686330", \ "0.177523,0.198074,0.219020,0.255558,0.322172,0.450428,0.705058", \ "0.201773,0.222802,0.244139,0.280862,0.347509,0.475665,0.730270", \ "0.227677,0.249476,0.271337,0.308698,0.375889,0.504251,0.758759", \ "0.253260,0.276218,0.298887,0.336932,0.404836,0.533413,0.787998"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.0182200,0.0222576,0.0265251,0.0342809,0.0487885,0.0773375,0.136165", \ "0.0182095,0.0222576,0.0265281,0.0342808,0.0487835,0.0773402,0.136171", \ "0.0182078,0.0222627,0.0265402,0.0342833,0.0487955,0.0773401,0.136164", \ "0.0182052,0.0222959,0.0265829,0.0343232,0.0488082,0.0773414,0.136163", \ "0.0208205,0.0242905,0.0280388,0.0352170,0.0492778,0.0775377,0.136199", \ "0.0266889,0.0301319,0.0336860,0.0403618,0.0534028,0.0801398,0.137153", \ "0.0332568,0.0369889,0.0405171,0.0468309,0.0591718,0.0848288,0.140305"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.0266367,0.0383981,0.0522245,0.0797696,0.137000,0.256618,0.499895", \ "0.0266369,0.0383987,0.0522238,0.0797731,0.136997,0.256608,0.499891", \ "0.0266487,0.0384010,0.0522227,0.0797781,0.137005,0.256615,0.499889", \ "0.0266063,0.0384096,0.0522391,0.0797898,0.136997,0.256614,0.499885", \ "0.0278004,0.0394675,0.0531340,0.0803809,0.137239,0.256640,0.499884", \ "0.0296669,0.0413793,0.0549942,0.0820106,0.138310,0.256960,0.499898", \ "0.0323941,0.0442004,0.0577113,0.0843277,0.139775,0.257697,0.500143"); } } internal_power () { related_pin : "A1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("2.164003,2.333410,2.459690,2.613891,2.743464,2.837919,2.869371", \ "2.166713,2.320758,2.454438,2.604411,2.743987,2.828406,2.862878", \ "2.134423,2.292883,2.419363,2.560111,2.705077,2.795500,2.833753", \ "2.137780,2.284950,2.405234,2.551071,2.697290,2.790626,2.826586", \ "2.178742,2.317438,2.447569,2.587884,2.738279,2.833428,2.875491", \ "2.256805,2.360553,2.465213,2.628265,2.801763,2.941844,2.987207", \ "2.428510,2.502968,2.586915,2.717546,2.905779,3.065551,3.160334"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("1.644840,1.743626,1.807159,1.851834,1.852887,1.927030,1.903296", \ "1.638957,1.737945,1.796518,1.823263,1.847972,1.853880,1.898225", \ "1.616430,1.720675,1.770272,1.813655,1.862702,1.869046,1.913951", \ "1.616754,1.724622,1.778059,1.788889,1.819957,1.823348,1.869166", \ "1.683999,1.783758,1.828421,1.845968,1.848799,1.844732,1.889150", \ "1.826076,1.915355,1.946649,1.961979,1.965667,1.954720,1.997835", \ "2.022396,2.112306,2.155068,2.135973,2.128378,2.076438,2.113313"); } } internal_power () { related_pin : "A2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("2.425623,2.577346,2.719903,2.875086,3.020535,3.127498,3.171228", \ "2.413917,2.579353,2.709279,2.865852,3.021167,3.118896,3.164572", \ "2.384943,2.549378,2.676116,2.842905,2.982356,3.088236,3.138824", \ "2.395473,2.545054,2.669532,2.825667,2.973376,3.082689,3.132253", \ "2.442490,2.593220,2.708038,2.857611,3.014273,3.122571,3.177434", \ "2.515571,2.624650,2.743083,2.904251,3.090998,3.227146,3.281897", \ "2.684890,2.771816,2.860904,3.003707,3.185415,3.350866,3.446961"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("1.643195,1.753955,1.811947,1.836222,1.850637,1.925166,1.901550", \ "1.631261,1.741635,1.793746,1.835278,1.838268,1.912497,1.889630", \ "1.610435,1.720794,1.772187,1.815406,1.843440,1.850028,1.894670", \ "1.603963,1.700318,1.760875,1.787713,1.800640,1.804385,1.902850", \ "1.622278,1.715873,1.775505,1.807276,1.804291,1.804050,1.849831", \ "1.697580,1.796282,1.825001,1.827699,1.833266,1.858198,1.871312", \ "1.830717,1.920179,1.958091,1.973357,1.906966,1.959052,1.933131"); } } internal_power () { related_pin : "A3"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("2.642591,2.796921,2.931323,3.100889,3.263957,3.379297,3.434766", \ "2.627823,2.779675,2.915513,3.077481,3.249615,3.361921,3.418347", \ "2.598215,2.761950,2.896405,3.055598,3.221088,3.332094,3.393865", \ "2.594618,2.752851,2.882697,3.039716,3.211191,3.325442,3.387300", \ "2.666926,2.815873,2.937677,3.086448,3.246842,3.363834,3.431940", \ "2.741100,2.858197,2.975416,3.135085,3.337499,3.465913,3.537635", \ "2.908296,3.003507,3.093125,3.235549,3.425598,3.599845,3.697210"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("1.645133,1.743850,1.797721,1.854584,1.839734,1.914111,1.959056", \ "1.640309,1.739238,1.791313,1.847993,1.822186,1.896590,1.941509", \ "1.616896,1.715655,1.778414,1.800054,1.837769,1.845293,1.890043", \ "1.598403,1.707384,1.765942,1.787292,1.785246,1.858385,1.903900", \ "1.597057,1.699857,1.754958,1.771505,1.792464,1.794963,1.874996", \ "1.654850,1.754048,1.788097,1.807338,1.810665,1.807644,1.854112", \ "1.756006,1.844571,1.891988,1.911955,1.904646,1.904663,1.882005"); } } internal_power () { related_pin : "A4"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("2.818790,2.984657,3.122486,3.296759,3.465708,3.588911,3.663265", \ "2.792471,2.957667,3.102776,3.277579,3.442850,3.569760,3.643454", \ "2.768044,2.942278,3.071624,3.248069,3.419282,3.547214,3.618064", \ "2.781540,2.940862,3.078986,3.227740,3.415888,3.541828,3.620069", \ "2.869946,3.010907,3.135965,3.291568,3.455732,3.584595,3.665092", \ "2.935343,3.063182,3.185186,3.344246,3.551921,3.688577,3.768998", \ "3.124371,3.214470,3.309915,3.452966,3.639805,3.826290,3.931058"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("1.642091,1.752772,1.798188,1.836359,1.876917,1.883946,1.928264", \ "1.633745,1.744241,1.804277,1.825671,1.854671,1.862303,1.906355", \ "1.616745,1.715205,1.780981,1.818931,1.811410,1.886132,1.930498", \ "1.599086,1.695750,1.756358,1.776227,1.801309,1.875533,1.853334", \ "1.592526,1.695392,1.751299,1.766341,1.821898,1.827238,1.873938", \ "1.637435,1.727019,1.773415,1.807872,1.788205,1.788548,1.836187", \ "1.709754,1.801735,1.850107,1.888575,1.865297,1.890211,1.870290"); } } } } /****************************************************************************************** Module : AND4_X2 Cell Description : Combinational cell (AND4_X2) with drive strength X2 *******************************************************************************************/ cell (AND4_X2) { drive_strength : 2; area : 1.862000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 27.401495; leakage_power () { when : "!A1 & !A2 & !A3 & !A4"; value : 19.038380; } leakage_power () { when : "!A1 & !A2 & !A3 & A4"; value : 24.503540; } leakage_power () { when : "!A1 & !A2 & A3 & !A4"; value : 20.845280; } leakage_power () { when : "!A1 & !A2 & A3 & A4"; value : 30.586494; } leakage_power () { when : "!A1 & A2 & !A3 & !A4"; value : 19.632035; } leakage_power () { when : "!A1 & A2 & !A3 & A4"; value : 25.998878; } leakage_power () { when : "!A1 & A2 & A3 & !A4"; value : 21.249030; } leakage_power () { when : "!A1 & A2 & A3 & A4"; value : 44.817599; } leakage_power () { when : "A1 & !A2 & !A3 & !A4"; value : 18.802881; } leakage_power () { when : "A1 & !A2 & !A3 & A4"; value : 24.739153; } leakage_power () { when : "A1 & !A2 & A3 & !A4"; value : 20.375234; } leakage_power () { when : "A1 & !A2 & A3 & A4"; value : 35.126781; } leakage_power () { when : "A1 & A2 & !A3 & !A4"; value : 19.587678; } leakage_power () { when : "A1 & A2 & !A3 & A4"; value : 29.642239; } leakage_power () { when : "A1 & A2 & A3 & !A4"; value : 24.345689; } leakage_power () { when : "A1 & A2 & A3 & A4"; value : 59.133035; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.527617; fall_capacitance : 1.456657; rise_capacitance : 1.527617; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.600182; fall_capacitance : 1.484664; rise_capacitance : 1.600182; } pin (A3) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.612459; fall_capacitance : 1.478772; rise_capacitance : 1.612459; } pin (A4) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.626963; fall_capacitance : 1.464593; rise_capacitance : 1.626963; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 120.339200; function : "(((A1 & A2) & A3) & A4)"; timing () { related_pin : "A1"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); values ("0.0822753,0.0939308,0.103250,0.117910,0.141433,0.181235,0.253584", \ "0.0872672,0.0989260,0.108248,0.122911,0.146435,0.186238,0.258587", \ "0.105204,0.116822,0.126141,0.140814,0.164349,0.204161,0.276513", \ "0.141625,0.153251,0.162517,0.177128,0.200730,0.240607,0.313023", \ "0.188821,0.202515,0.213002,0.228663,0.253364,0.293793,0.366321", \ "0.238362,0.254716,0.267022,0.284973,0.312008,0.354617,0.428539", \ "0.290001,0.309082,0.323488,0.344206,0.374156,0.419471,0.495221"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); values ("0.112473,0.135049,0.155486,0.191476,0.257583,0.385537,0.639886", \ "0.115980,0.138558,0.158993,0.194985,0.261099,0.389063,0.643401", \ "0.129058,0.151641,0.172059,0.208041,0.274127,0.402070,0.656427", \ "0.156359,0.178873,0.199143,0.234887,0.300891,0.428765,0.683139", \ "0.191479,0.214657,0.235259,0.271093,0.337008,0.464639,0.718878", \ "0.223593,0.248719,0.270016,0.306284,0.372568,0.500463,0.754391", \ "0.250454,0.278392,0.301372,0.338796,0.405155,0.532791,0.787013"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); values ("0.0148242,0.0192567,0.0234533,0.0311063,0.0455321,0.0741653,0.133482", \ "0.0148276,0.0192551,0.0234504,0.0311024,0.0455293,0.0741636,0.133478", \ "0.0148349,0.0192846,0.0234777,0.0311175,0.0455347,0.0741680,0.133476", \ "0.0154202,0.0196835,0.0237869,0.0313350,0.0456611,0.0742255,0.133496", \ "0.0206279,0.0243747,0.0279405,0.0347185,0.0479233,0.0753086,0.133842", \ "0.0269823,0.0308879,0.0342948,0.0406335,0.0531614,0.0793922,0.135924", \ "0.0340549,0.0383899,0.0419166,0.0480160,0.0597802,0.0846101,0.139373"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); values ("0.0244323,0.0375173,0.0513102,0.0789909,0.136568,0.256458,0.499577", \ "0.0244333,0.0375152,0.0513087,0.0789829,0.136568,0.256467,0.499577", \ "0.0244272,0.0375142,0.0513084,0.0789886,0.136580,0.256452,0.499577", \ "0.0245364,0.0376042,0.0513953,0.0790545,0.136611,0.256476,0.499576", \ "0.0275808,0.0397610,0.0532039,0.0803067,0.137066,0.256513,0.499578", \ "0.0329991,0.0440505,0.0562616,0.0823630,0.138776,0.257247,0.499581", \ "0.0394520,0.0505899,0.0617082,0.0856834,0.140061,0.258440,0.500212"); } } timing () { related_pin : "A2"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); values ("0.0908748,0.102862,0.112412,0.127375,0.151255,0.191453,0.264199", \ "0.0959221,0.107911,0.117467,0.132429,0.156311,0.196510,0.269255", \ "0.113812,0.125777,0.135326,0.150297,0.174188,0.214396,0.287141", \ "0.150372,0.162287,0.171734,0.186589,0.210523,0.250789,0.323562", \ "0.200739,0.214343,0.224759,0.240381,0.265038,0.305644,0.378528", \ "0.254006,0.270180,0.282338,0.300118,0.326992,0.369566,0.443559", \ "0.309480,0.328352,0.342519,0.362874,0.392480,0.437550,0.513276"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); values ("0.122744,0.145315,0.165753,0.201741,0.267862,0.395821,0.650161", \ "0.126871,0.149442,0.169878,0.205870,0.271989,0.399960,0.654300", \ "0.140569,0.163142,0.183577,0.219556,0.285660,0.413625,0.667982", \ "0.166731,0.189212,0.209613,0.245550,0.311522,0.439412,0.693784", \ "0.201492,0.224709,0.245401,0.281333,0.347312,0.475086,0.729404", \ "0.237116,0.261693,0.283001,0.319303,0.385803,0.513765,0.767872", \ "0.269490,0.296180,0.318708,0.356071,0.422891,0.550816,0.805067"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); values ("0.0155137,0.0199323,0.0241189,0.0317641,0.0461852,0.0748018,0.134047", \ "0.0155133,0.0199315,0.0241192,0.0317604,0.0461849,0.0748018,0.134044", \ "0.0155124,0.0199515,0.0241365,0.0317746,0.0461902,0.0748044,0.134045", \ "0.0157580,0.0201613,0.0243132,0.0319012,0.0462635,0.0748376,0.134054", \ "0.0202641,0.0239969,0.0275943,0.0344272,0.0478160,0.0755775,0.134310", \ "0.0264199,0.0302115,0.0336144,0.0400250,0.0527237,0.0792002,0.136008", \ "0.0332186,0.0373991,0.0408303,0.0469345,0.0588757,0.0840432,0.139238"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); values ("0.0244288,0.0375169,0.0513087,0.0789901,0.136571,0.256451,0.499572", \ "0.0244298,0.0375156,0.0513089,0.0789870,0.136567,0.256466,0.499577", \ "0.0244294,0.0375162,0.0513102,0.0789926,0.136573,0.256460,0.499576", \ "0.0244812,0.0375728,0.0513638,0.0790284,0.136590,0.256452,0.499577", \ "0.0265163,0.0392445,0.0527799,0.0799404,0.136905,0.256510,0.499577", \ "0.0300779,0.0422507,0.0552284,0.0818556,0.138351,0.256999,0.499587", \ "0.0351797,0.0470647,0.0593061,0.0845999,0.139631,0.258025,0.499985"); } } timing () { related_pin : "A3"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); values ("0.0982776,0.110520,0.120249,0.135447,0.159621,0.200165,0.273295", \ "0.103037,0.115281,0.125015,0.140215,0.164388,0.204931,0.278061", \ "0.120901,0.133124,0.142854,0.158061,0.182244,0.222793,0.295928", \ "0.157510,0.169664,0.179296,0.194298,0.218503,0.259078,0.332265", \ "0.210341,0.223814,0.234165,0.249698,0.274330,0.315117,0.388329", \ "0.266883,0.282831,0.294829,0.312438,0.339183,0.381715,0.455792", \ "0.325877,0.344469,0.358387,0.378446,0.407757,0.452637,0.528392"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); values ("0.128499,0.151085,0.171517,0.207511,0.273633,0.401599,0.655939", \ "0.133027,0.155615,0.176053,0.212041,0.278172,0.406144,0.660484", \ "0.145920,0.168492,0.188928,0.224911,0.291023,0.418998,0.673352", \ "0.167943,0.190448,0.210819,0.246733,0.312761,0.440705,0.695080", \ "0.196800,0.219994,0.240763,0.276909,0.342988,0.470826,0.725158", \ "0.227643,0.251979,0.273312,0.309929,0.376528,0.504587,0.758793", \ "0.256681,0.282755,0.305162,0.342641,0.409762,0.537883,0.792206"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); values ("0.0161032,0.0205146,0.0246873,0.0323241,0.0467520,0.0753897,0.134631", \ "0.0161060,0.0205119,0.0246863,0.0323224,0.0467510,0.0753882,0.134636", \ "0.0161052,0.0205240,0.0247003,0.0323335,0.0467575,0.0753897,0.134633", \ "0.0162041,0.0206359,0.0248021,0.0324090,0.0467963,0.0754056,0.134638", \ "0.0199742,0.0237193,0.0273493,0.0342305,0.0478191,0.0758787,0.134788", \ "0.0259291,0.0296482,0.0330687,0.0395549,0.0523882,0.0790820,0.136152", \ "0.0325257,0.0365923,0.0399702,0.0461104,0.0581962,0.0836596,0.139238"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); values ("0.0244407,0.0375184,0.0513080,0.0789894,0.136575,0.256465,0.499569", \ "0.0244370,0.0375180,0.0513106,0.0789902,0.136578,0.256459,0.499577", \ "0.0244297,0.0375153,0.0513087,0.0789899,0.136572,0.256461,0.499576", \ "0.0244621,0.0375607,0.0513529,0.0790225,0.136591,0.256465,0.499578", \ "0.0261044,0.0389999,0.0526017,0.0798410,0.136887,0.256494,0.499575", \ "0.0287070,0.0414309,0.0547519,0.0816023,0.138165,0.256929,0.499584", \ "0.0327743,0.0453349,0.0582291,0.0842064,0.139513,0.257795,0.499919"); } } timing () { related_pin : "A4"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); values ("0.104150,0.116682,0.126615,0.142085,0.166589,0.207523,0.281088", \ "0.108821,0.121353,0.131287,0.146756,0.171261,0.212195,0.285757", \ "0.126780,0.139301,0.149232,0.164707,0.189218,0.230157,0.303724", \ "0.163494,0.175930,0.185735,0.201038,0.225548,0.266496,0.340073", \ "0.218261,0.231701,0.242039,0.257590,0.282333,0.323369,0.396948", \ "0.277711,0.293531,0.305452,0.322989,0.349678,0.392252,0.466473", \ "0.339899,0.358279,0.372044,0.391932,0.421066,0.465879,0.541742"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); values ("0.130763,0.153335,0.173768,0.209764,0.275892,0.403854,0.658192", \ "0.135579,0.158162,0.178597,0.214594,0.280719,0.408693,0.663033", \ "0.147857,0.170439,0.190871,0.226859,0.292980,0.420957,0.675310", \ "0.166640,0.189175,0.209557,0.245504,0.311563,0.439527,0.693891", \ "0.190042,0.213132,0.233929,0.270088,0.336164,0.464040,0.718360", \ "0.214582,0.238601,0.259907,0.296676,0.363308,0.491343,0.745563", \ "0.238325,0.263670,0.285795,0.323291,0.390582,0.518815,0.773147"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); values ("0.0167525,0.0211570,0.0253268,0.0329640,0.0474027,0.0760590,0.135302", \ "0.0167533,0.0211568,0.0253273,0.0329634,0.0474015,0.0760549,0.135301", \ "0.0167505,0.0211648,0.0253403,0.0329732,0.0474082,0.0760581,0.135307", \ "0.0167555,0.0212031,0.0253814,0.0330022,0.0474146,0.0760567,0.135298", \ "0.0198742,0.0236339,0.0272776,0.0342363,0.0480464,0.0763082,0.135349", \ "0.0256609,0.0293415,0.0327761,0.0393168,0.0522570,0.0791040,0.136413", \ "0.0321008,0.0360647,0.0394267,0.0455907,0.0578091,0.0834865,0.139368"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); values ("0.0244287,0.0375158,0.0513096,0.0789852,0.136574,0.256457,0.499576", \ "0.0244409,0.0375175,0.0513094,0.0789873,0.136568,0.256470,0.499577", \ "0.0244362,0.0375180,0.0513096,0.0789859,0.136569,0.256462,0.499576", \ "0.0244588,0.0375479,0.0513406,0.0790101,0.136592,0.256450,0.499577", \ "0.0257264,0.0387215,0.0523665,0.0797026,0.136834,0.256494,0.499563", \ "0.0276290,0.0406435,0.0541932,0.0812729,0.137931,0.256852,0.499585", \ "0.0305261,0.0435510,0.0569627,0.0835796,0.139298,0.257584,0.499827"); } } internal_power () { related_pin : "A1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); values ("4.082987,4.433303,4.647217,4.925917,5.153441,5.292785,5.343258", \ "4.058994,4.408971,4.622384,4.902343,5.132262,5.274148,5.324477", \ "4.029363,4.344037,4.564941,4.828105,5.064683,5.209271,5.265881", \ "4.027495,4.332240,4.545951,4.805561,5.042463,5.191994,5.258112", \ "4.108438,4.375923,4.614572,4.866887,5.140959,5.290395,5.362457", \ "4.288978,4.485146,4.662714,4.951218,5.277589,5.517313,5.593465", \ "4.674745,4.792094,4.938094,5.167394,5.488589,5.774816,5.955621"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); values ("3.193947,3.447526,3.554426,3.634787,3.641522,3.793787,3.755982", \ "3.180516,3.410983,3.528669,3.574486,3.630097,3.648430,3.745083", \ "3.131915,3.385318,3.494573,3.539084,3.654404,3.673069,3.771896", \ "3.160545,3.384182,3.469793,3.536931,3.569916,3.581150,3.684416", \ "3.305849,3.499725,3.576644,3.609773,3.682259,3.684324,3.649534", \ "3.581197,3.791358,3.852535,3.867407,3.867823,3.855779,3.817502", \ "3.973868,4.186417,4.262465,4.290268,4.222660,4.194863,4.143811"); } } internal_power () { related_pin : "A2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); values ("4.582854,4.930708,5.165616,5.445555,5.702821,5.870867,5.950020", \ "4.564128,4.910352,5.162708,5.430924,5.700252,5.860132,5.933213", \ "4.531873,4.868858,5.087191,5.366653,5.638893,5.799889,5.879754", \ "4.528440,4.859891,5.057336,5.343751,5.600308,5.777457,5.865528", \ "4.620020,4.934618,5.146431,5.431501,5.692634,5.877420,5.967202", \ "4.808807,5.029473,5.217444,5.515130,5.849707,6.092353,6.187648", \ "5.168508,5.323764,5.474691,5.708637,6.041357,6.337777,6.528248"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); values ("3.191315,3.443836,3.561865,3.635156,3.637877,3.790975,3.811553", \ "3.188601,3.418865,3.525111,3.628934,3.621227,3.766690,3.728029", \ "3.145043,3.375562,3.497621,3.570344,3.618950,3.638267,3.736908", \ "3.115097,3.339643,3.457220,3.496129,3.535170,3.551112,3.653687", \ "3.147910,3.375993,3.483631,3.533191,3.574210,3.582563,3.685841", \ "3.328144,3.537552,3.609086,3.599791,3.573588,3.568614,3.727903", \ "3.572804,3.801015,3.864885,3.870641,3.864226,3.792040,3.883397"); } } internal_power () { related_pin : "A3"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); values ("5.018891,5.372922,5.609092,5.914706,6.188605,6.377454,6.478766", \ "4.988747,5.337660,5.592525,5.884917,6.146423,6.345823,6.444783", \ "4.932381,5.273191,5.519320,5.811407,6.100559,6.281964,6.384075", \ "4.958070,5.271849,5.513624,5.773227,6.071384,6.269765,6.380330", \ "5.081805,5.399109,5.618201,5.887327,6.161325,6.359125,6.470540", \ "5.247781,5.487959,5.699468,5.991674,6.335761,6.570915,6.695264", \ "5.629146,5.792261,5.935415,6.195943,6.530064,6.832610,7.029760"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); values ("3.195622,3.449106,3.562355,3.632293,3.651146,3.771713,3.732326", \ "3.185080,3.414853,3.544924,3.614209,3.714969,3.735873,3.696993", \ "3.136254,3.365753,3.471620,3.573417,3.609752,3.629590,3.728040", \ "3.102989,3.352004,3.459847,3.523801,3.565945,3.656985,3.622725", \ "3.107067,3.333929,3.428888,3.525920,3.546157,3.559318,3.663113", \ "3.220129,3.442960,3.532916,3.572712,3.496521,3.634174,3.603981", \ "3.433539,3.634842,3.747618,3.768522,3.717190,3.771593,3.733625"); } } internal_power () { related_pin : "A4"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); values ("5.377536,5.722777,5.981727,6.292164,6.589936,6.801301,6.926325", \ "5.340661,5.694773,5.937060,6.255179,6.551880,6.757536,6.896667", \ "5.303355,5.636110,5.883885,6.198041,6.486669,6.710633,6.844223", \ "5.318214,5.649417,5.877144,6.186120,6.472701,6.705142,6.838046", \ "5.472928,5.779359,5.999243,6.287054,6.573934,6.803958,6.937600", \ "5.664968,5.895745,6.118656,6.410801,6.772389,7.010776,7.157312", \ "6.021608,6.210480,6.375322,6.618940,6.971171,7.283712,7.489738"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); values ("3.190730,3.443140,3.545178,3.646994,3.691398,3.710893,3.807478", \ "3.176501,3.425657,3.531129,3.621576,3.647360,3.743964,3.763741", \ "3.136548,3.366512,3.493535,3.594552,3.562830,3.713104,3.675633", \ "3.102386,3.329504,3.425842,3.539488,3.540673,3.556262,3.657242", \ "3.096190,3.321180,3.429253,3.522963,3.494474,3.615103,3.582674", \ "3.169586,3.396379,3.478128,3.538189,3.568650,3.579142,3.690231", \ "3.334367,3.541768,3.660091,3.685130,3.711922,3.704649,3.676198"); } } } } /****************************************************************************************** Module : AND4_X4 Cell Description : Combinational cell (AND4_X4) with drive strength X4 *******************************************************************************************/ cell (AND4_X4) { drive_strength : 4; area : 3.458000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 54.794194; leakage_power () { when : "!A1 & !A2 & !A3 & !A4"; value : 38.067165; } leakage_power () { when : "!A1 & !A2 & !A3 & A4"; value : 48.997675; } leakage_power () { when : "!A1 & !A2 & A3 & !A4"; value : 41.681155; } leakage_power () { when : "!A1 & !A2 & A3 & A4"; value : 61.163565; } leakage_power () { when : "!A1 & A2 & !A3 & !A4"; value : 39.254665; } leakage_power () { when : "!A1 & A2 & !A3 & A4"; value : 51.988275; } leakage_power () { when : "!A1 & A2 & A3 & !A4"; value : 42.488569; } leakage_power () { when : "!A1 & A2 & A3 & A4"; value : 89.625755; } leakage_power () { when : "A1 & !A2 & !A3 & !A4"; value : 37.596263; } leakage_power () { when : "A1 & !A2 & !A3 & A4"; value : 49.468808; } leakage_power () { when : "A1 & !A2 & A3 & !A4"; value : 40.742121; } leakage_power () { when : "A1 & !A2 & A3 & A4"; value : 70.244044; } leakage_power () { when : "A1 & A2 & !A3 & !A4"; value : 39.165857; } leakage_power () { when : "A1 & A2 & !A3 & A4"; value : 59.274940; } leakage_power () { when : "A1 & A2 & A3 & !A4"; value : 48.681883; } leakage_power () { when : "A1 & A2 & A3 & A4"; value : 118.266355; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 2.972751; fall_capacitance : 2.835298; rise_capacitance : 2.972751; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.229206; fall_capacitance : 3.018123; rise_capacitance : 3.229206; } pin (A3) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.408660; fall_capacitance : 3.140255; rise_capacitance : 3.408660; } pin (A4) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.626697; fall_capacitance : 3.298579; rise_capacitance : 3.626697; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 240.848500; function : "(((A1 & A2) & A3) & A4)"; timing () { related_pin : "A1"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("0.0786814,0.0910720,0.100278,0.114758,0.138059,0.177662,0.249989", \ "0.0836527,0.0960474,0.105258,0.119742,0.143043,0.182647,0.254974", \ "0.101595,0.113975,0.123188,0.137687,0.161011,0.200593,0.272921", \ "0.137966,0.150349,0.159508,0.174059,0.197492,0.237175,0.309478", \ "0.184013,0.198759,0.209197,0.224754,0.249411,0.289753,0.362279", \ "0.232401,0.249981,0.262249,0.280071,0.306920,0.349350,0.423252", \ "0.282873,0.303370,0.317735,0.338306,0.368045,0.413124,0.488755"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("0.106907,0.130901,0.151243,0.187116,0.253177,0.381276,0.635995", \ "0.110402,0.134396,0.154741,0.190615,0.256679,0.384788,0.639509", \ "0.123478,0.147454,0.167802,0.203664,0.269690,0.397828,0.652570", \ "0.150764,0.174665,0.194833,0.230507,0.296305,0.424426,0.679219", \ "0.184889,0.209557,0.230069,0.265772,0.331669,0.459446,0.714073", \ "0.215676,0.242530,0.263730,0.299830,0.366015,0.494101,0.748408", \ "0.241231,0.271075,0.293968,0.331180,0.397395,0.525152,0.779798"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("0.0140749,0.0187715,0.0229555,0.0305996,0.0450603,0.0738448,0.133529", \ "0.0140718,0.0187718,0.0229546,0.0305983,0.0450578,0.0738434,0.133523", \ "0.0140851,0.0188032,0.0229802,0.0306148,0.0450651,0.0738474,0.133528", \ "0.0148430,0.0192698,0.0233401,0.0308543,0.0452032,0.0739116,0.133548", \ "0.0201597,0.0241006,0.0276436,0.0344006,0.0476260,0.0750704,0.133899", \ "0.0264793,0.0306036,0.0339846,0.0402854,0.0528082,0.0791361,0.136024", \ "0.0334824,0.0381040,0.0415939,0.0476503,0.0593766,0.0842751,0.139361"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("0.0228178,0.0366771,0.0504998,0.0782772,0.136114,0.256320,0.499783", \ "0.0228156,0.0366761,0.0504983,0.0782819,0.136114,0.256322,0.499792", \ "0.0228093,0.0366753,0.0504997,0.0782884,0.136130,0.256315,0.499786", \ "0.0229594,0.0367911,0.0506025,0.0783604,0.136151,0.256328,0.499779", \ "0.0262228,0.0389959,0.0524637,0.0796895,0.136633,0.256383,0.499793", \ "0.0317369,0.0433076,0.0554730,0.0816356,0.138316,0.257150,0.499801", \ "0.0380912,0.0498791,0.0609140,0.0848868,0.139521,0.258336,0.500483"); } } timing () { related_pin : "A2"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("0.0872035,0.0999499,0.109387,0.124169,0.147826,0.187823,0.260539", \ "0.0922274,0.104981,0.114421,0.129205,0.152864,0.192860,0.265574", \ "0.110120,0.122850,0.132310,0.147120,0.170796,0.210770,0.283465", \ "0.146688,0.159359,0.168716,0.183456,0.207232,0.247299,0.319990", \ "0.196122,0.210728,0.221093,0.236590,0.261107,0.301574,0.374495", \ "0.248243,0.265642,0.277743,0.295373,0.322062,0.364448,0.438426", \ "0.302598,0.322864,0.336970,0.357178,0.386533,0.431358,0.506971"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("0.117146,0.141138,0.161481,0.197354,0.263421,0.391533,0.646248", \ "0.121262,0.145251,0.165594,0.201467,0.267541,0.395654,0.650373", \ "0.134919,0.158903,0.179245,0.215122,0.281157,0.409275,0.664002", \ "0.161023,0.184876,0.205171,0.240917,0.306912,0.434958,0.689709", \ "0.195047,0.219768,0.240380,0.276292,0.342199,0.470111,0.724854", \ "0.229584,0.255783,0.277025,0.313193,0.379636,0.507767,0.762266", \ "0.260524,0.289098,0.311572,0.348804,0.415503,0.543586,0.798254"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("0.0147490,0.0194380,0.0236147,0.0312526,0.0457074,0.0744739,0.134079", \ "0.0147499,0.0194365,0.0236118,0.0312509,0.0457061,0.0744694,0.134078", \ "0.0147511,0.0194579,0.0236334,0.0312620,0.0457110,0.0744756,0.134085", \ "0.0150555,0.0196975,0.0238313,0.0314002,0.0457918,0.0745123,0.134093", \ "0.0197519,0.0236887,0.0272688,0.0341000,0.0474829,0.0753056,0.134354", \ "0.0258543,0.0298748,0.0332511,0.0396358,0.0523357,0.0789315,0.136085", \ "0.0326099,0.0370300,0.0404377,0.0464930,0.0584209,0.0836805,0.139214"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("0.0228151,0.0366777,0.0504990,0.0782839,0.136113,0.256308,0.499791", \ "0.0228177,0.0366766,0.0504977,0.0782803,0.136112,0.256319,0.499778", \ "0.0228147,0.0366762,0.0504995,0.0782869,0.136127,0.256322,0.499786", \ "0.0228952,0.0367498,0.0505651,0.0783286,0.136135,0.256326,0.499788", \ "0.0250272,0.0384806,0.0520613,0.0793110,0.136474,0.256367,0.499782", \ "0.0286984,0.0415162,0.0544804,0.0811558,0.137914,0.256896,0.499791", \ "0.0338423,0.0463807,0.0585682,0.0838812,0.139121,0.257903,0.500252"); } } timing () { related_pin : "A3"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("0.0945689,0.107597,0.117218,0.132242,0.156195,0.196540,0.269647", \ "0.0993175,0.112350,0.121972,0.136996,0.160949,0.201294,0.274399", \ "0.117175,0.130191,0.139829,0.154875,0.178846,0.219162,0.292255", \ "0.153820,0.166745,0.176263,0.191164,0.215234,0.255646,0.328712", \ "0.205885,0.220354,0.230651,0.246037,0.270520,0.311186,0.384377", \ "0.261371,0.278494,0.290429,0.307888,0.334437,0.376789,0.450854", \ "0.319271,0.339217,0.353077,0.372966,0.402031,0.446670,0.522321"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("0.122919,0.146906,0.167249,0.203123,0.269196,0.397313,0.652031", \ "0.127430,0.151425,0.171768,0.207642,0.273721,0.401845,0.656565", \ "0.140288,0.164278,0.184618,0.220482,0.286547,0.414676,0.669411", \ "0.162232,0.186141,0.206409,0.242217,0.308199,0.436261,0.690993", \ "0.190597,0.215283,0.235974,0.271939,0.338018,0.466056,0.720771", \ "0.220638,0.246596,0.267864,0.304362,0.370914,0.499142,0.753729", \ "0.248499,0.276401,0.298763,0.336142,0.403180,0.531421,0.786162"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("0.0153471,0.0200186,0.0241826,0.0318156,0.0462791,0.0750615,0.134673", \ "0.0153477,0.0200182,0.0241832,0.0318132,0.0462783,0.0750647,0.134672", \ "0.0153482,0.0200333,0.0241979,0.0318256,0.0462826,0.0750645,0.134675", \ "0.0154519,0.0201560,0.0243068,0.0319012,0.0463223,0.0750809,0.134676", \ "0.0194545,0.0233936,0.0270115,0.0338867,0.0474546,0.0755879,0.134832", \ "0.0253487,0.0292913,0.0326846,0.0391447,0.0519945,0.0788173,0.136229", \ "0.0319022,0.0361769,0.0395414,0.0456438,0.0577222,0.0832875,0.139220"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("0.0228144,0.0366771,0.0504984,0.0782807,0.136120,0.256314,0.499785", \ "0.0228180,0.0366758,0.0504985,0.0782775,0.136125,0.256318,0.499788", \ "0.0228122,0.0366766,0.0504988,0.0782816,0.136121,0.256321,0.499785", \ "0.0228814,0.0367356,0.0505507,0.0783171,0.136134,0.256321,0.499779", \ "0.0245747,0.0382286,0.0518701,0.0792080,0.136444,0.256358,0.499783", \ "0.0272171,0.0406867,0.0540058,0.0809218,0.137720,0.256826,0.499790", \ "0.0313824,0.0446488,0.0575151,0.0835197,0.139025,0.257691,0.500167"); } } timing () { related_pin : "A4"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("0.100374,0.113724,0.123551,0.138848,0.163137,0.203876,0.277416", \ "0.105040,0.118387,0.128217,0.143514,0.167803,0.208541,0.282085", \ "0.123021,0.136353,0.146204,0.161512,0.185827,0.226520,0.300068", \ "0.159752,0.172991,0.182678,0.197793,0.222167,0.262959,0.336459", \ "0.213910,0.228343,0.238626,0.254042,0.278606,0.319462,0.393024", \ "0.272343,0.289334,0.301182,0.318568,0.345074,0.387470,0.461684", \ "0.333483,0.353219,0.366910,0.386619,0.415514,0.460083,0.535840"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("0.125171,0.149166,0.169510,0.205381,0.271457,0.399581,0.654295", \ "0.129985,0.153979,0.174322,0.210198,0.276273,0.404404,0.659122", \ "0.142250,0.166226,0.186569,0.222438,0.288511,0.416635,0.671373", \ "0.160975,0.184917,0.205215,0.241020,0.307048,0.435141,0.689887", \ "0.184025,0.208595,0.229298,0.265306,0.331407,0.459357,0.714038", \ "0.208013,0.233589,0.254810,0.291418,0.358008,0.486215,0.740846", \ "0.230956,0.257994,0.280078,0.317486,0.384707,0.513074,0.767816"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("0.0159972,0.0206670,0.0248263,0.0324593,0.0469332,0.0757362,0.135345", \ "0.0159990,0.0206649,0.0248263,0.0324575,0.0469338,0.0757368,0.135348", \ "0.0159959,0.0206757,0.0248393,0.0324687,0.0469359,0.0757394,0.135345", \ "0.0159982,0.0207124,0.0248757,0.0324930,0.0469416,0.0757303,0.135334", \ "0.0193474,0.0233028,0.0269430,0.0338739,0.0476427,0.0760083,0.135386", \ "0.0250550,0.0289700,0.0323789,0.0388945,0.0518625,0.0788511,0.136488", \ "0.0314334,0.0356347,0.0389788,0.0451060,0.0573251,0.0831209,0.139360"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("0.0228197,0.0366778,0.0504983,0.0782766,0.136126,0.256325,0.499784", \ "0.0228185,0.0366761,0.0504993,0.0782788,0.136111,0.256310,0.499791", \ "0.0228090,0.0366757,0.0504990,0.0782781,0.136114,0.256315,0.499782", \ "0.0228680,0.0367202,0.0505371,0.0783112,0.136126,0.256322,0.499791", \ "0.0241595,0.0379297,0.0516165,0.0790431,0.136383,0.256352,0.499785", \ "0.0260602,0.0398695,0.0534348,0.0805896,0.137479,0.256728,0.499774", \ "0.0289836,0.0428193,0.0562341,0.0829000,0.138817,0.257453,0.500057"); } } internal_power () { related_pin : "A1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("7.800528,8.491695,8.933192,9.442403,9.867217,10.111670,10.205570", \ "7.749137,8.469028,8.868231,9.381344,9.817207,10.074460,10.159370", \ "7.608022,8.316450,8.749369,9.264316,9.688605,9.953759,10.047530", \ "7.683564,8.317025,8.699241,9.212805,9.663285,9.934367,10.042760", \ "7.828047,8.371117,8.821926,9.348997,9.851235,10.144390,10.265460", \ "8.198565,8.615695,8.974887,9.502926,10.122210,10.593390,10.733860", \ "9.020246,9.245450,9.513916,9.936226,10.535380,11.100800,11.461200"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("6.168227,6.699252,6.943792,7.032405,7.100381,7.377899,7.241965", \ "6.098977,6.642163,6.893299,7.047606,7.077219,7.355965,7.220654", \ "6.041481,6.588528,6.817730,6.969957,7.122188,7.130802,7.271073", \ "6.065905,6.591106,6.787694,6.961297,6.957645,7.056855,7.099436", \ "6.364074,6.812687,7.017094,7.132622,6.961966,7.208964,7.355350", \ "6.974700,7.411693,7.558555,7.578924,7.401984,7.622771,7.491464", \ "7.759892,8.211923,8.360862,8.386024,8.193391,8.108684,8.223558"); } } internal_power () { related_pin : "A2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("8.805063,9.513792,9.953551,10.493320,10.986990,11.282140,11.419190", \ "8.752140,9.482327,9.914296,10.463480,10.943930,11.248760,11.393430", \ "8.641008,9.342102,9.786813,10.335540,10.833500,11.132910,11.278310", \ "8.688380,9.330201,9.765988,10.276900,10.788380,11.107880,11.265750", \ "8.866515,9.493925,9.919655,10.457080,10.958160,11.291120,11.461400", \ "9.252469,9.693177,10.053590,10.584000,11.239980,11.718170,11.903240", \ "10.041240,10.316310,10.573400,11.058470,11.651530,12.226340,12.586480"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("6.164398,6.709091,6.935503,7.114899,7.094319,7.373671,7.509281", \ "6.116059,6.658581,6.890980,7.101469,7.042273,7.323364,7.458714", \ "6.027736,6.571043,6.813644,6.978969,7.055396,7.070197,7.205486", \ "5.972192,6.504295,6.688515,6.810215,6.922722,7.164654,7.042067", \ "6.076592,6.552592,6.741663,6.917338,6.998388,6.989115,7.139873", \ "6.397776,6.885681,7.054631,7.091407,7.055557,7.021984,7.168529", \ "6.941064,7.418161,7.608609,7.596278,7.468087,7.543778,7.401196"); } } internal_power () { related_pin : "A3"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("9.684763,10.390390,10.868760,11.407180,11.932380,12.293830,12.486550", \ "9.622406,10.323490,10.791180,11.346170,11.867660,12.221640,12.420500", \ "9.498604,10.215060,10.670290,11.215130,11.749280,12.110680,12.302350", \ "9.567680,10.198730,10.629010,11.172810,11.708380,12.083520,12.287890", \ "9.741444,10.401020,10.825300,11.342880,11.883120,12.269330,12.486910", \ "10.145410,10.617120,11.013150,11.563760,12.216740,12.692020,12.922090", \ "10.948150,11.224320,11.536470,12.005890,12.624140,13.204060,13.593600"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("6.173948,6.672076,6.925246,7.126325,7.053672,7.334314,7.470215", \ "6.108498,6.650589,6.888530,7.089374,7.248221,7.262309,7.398099", \ "6.045438,6.587789,6.806634,7.002152,7.036427,7.091396,7.187268", \ "5.945991,6.496570,6.711065,6.898117,6.825968,7.104993,7.251565", \ "5.983886,6.504737,6.718040,6.832677,6.930585,6.928876,7.081607", \ "6.240212,6.715673,6.892717,6.852563,6.871127,7.140532,7.005341", \ "6.631172,7.112652,7.291277,7.349154,7.239384,7.211506,7.326492"); } } internal_power () { related_pin : "A4"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("10.354230,11.103170,11.586140,12.162270,12.735750,13.141200,13.393330", \ "10.286060,11.026820,11.501180,12.096210,12.646620,13.063620,13.317940", \ "10.186260,10.926140,11.408860,11.989090,12.561510,12.965140,13.213520", \ "10.245620,10.910290,11.382350,11.944140,12.531790,12.955260,13.219070", \ "10.536090,11.178540,11.601230,12.162340,12.720140,13.141070,13.403780", \ "10.965670,11.445970,11.838530,12.418810,13.097590,13.564360,13.846250", \ "11.727850,12.060920,12.363430,12.848590,13.514230,14.117790,14.528560"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("6.162663,6.707359,6.950808,7.014126,7.201650,7.213081,7.348104", \ "6.127587,6.671461,6.898692,7.104029,7.171149,7.394406,7.259653", \ "6.010732,6.578383,6.804548,6.903611,6.947462,7.213904,7.353577", \ "5.942409,6.483629,6.700755,6.821819,6.892215,7.172386,7.045985", \ "5.954282,6.471811,6.644128,6.759649,6.757715,7.103014,7.185915", \ "6.121607,6.602739,6.823001,6.838937,6.995188,6.993167,7.150400", \ "6.403133,6.924541,7.140896,7.144518,7.192119,7.282538,7.162600"); } } } } /****************************************************************************************** Module : ANTENNA_X1 Cell Description : Physical cell (ANTENNA_X1) *******************************************************************************************/ cell (ANTENNA_X1) { drive_strength : 1; area : 0.266000; dont_touch : true; dont_use : true; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 0.000000; leakage_power () { when : "!A"; value : 0.000000; } leakage_power () { when : "A"; value : 0.000000; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 0.023409; fall_capacitance : 0.023409; rise_capacitance : 0.023056; internal_power () { fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.000000,0.000000,0.000000,0.000000,0.000000,0.000000,0.000000"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.000000,0.000000,0.000000,0.000000,0.000000,0.000000,0.000000"); } } } } /****************************************************************************************** Module : AOI21_X1 Cell Description : Combinational cell (AOI21_X1) with drive strength X1 *******************************************************************************************/ cell (AOI21_X1) { drive_strength : 1; area : 1.064000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 16.329580; leakage_power () { when : "!A & !B1 & !B2"; value : 15.506470; } leakage_power () { when : "!A & !B1 & B2"; value : 29.743018; } leakage_power () { when : "!A & B1 & !B2"; value : 20.045617; } leakage_power () { when : "!A & B1 & B2"; value : 17.229238; } leakage_power () { when : "A & !B1 & !B2"; value : 7.395047; } leakage_power () { when : "A & !B1 & B2"; value : 12.396968; } leakage_power () { when : "A & B1 & !B2"; value : 12.396512; } leakage_power () { when : "A & B1 & B2"; value : 15.923773; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.571622; fall_capacitance : 1.469103; rise_capacitance : 1.571622; } pin (B1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.602820; fall_capacitance : 1.401339; rise_capacitance : 1.602820; } pin (B2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.611177; fall_capacitance : 1.369637; rise_capacitance : 1.611177; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 25.274300; function : "!(A | (B1 & B2))"; timing () { related_pin : "A"; when : "!B1 & !B2"; sdf_cond : "(B1 == 1'b0) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.012238,0.013451,0.015635,0.019827,0.027824,0.043188,0.073140", \ "0.017742,0.018898,0.021021,0.025150,0.033107,0.048451,0.078399", \ "0.034132,0.035822,0.038753,0.043882,0.052421,0.067461,0.097280", \ "0.050799,0.053572,0.058340,0.066691,0.080394,0.101800,0.134109", \ "0.064154,0.068238,0.075048,0.087334,0.107481,0.138472,0.184200", \ "0.071654,0.077239,0.086678,0.103268,0.130933,0.173240,0.234800", \ "0.071768,0.078968,0.091264,0.112773,0.148691,0.203783,0.283448"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.078284,0.084941,0.097234,0.121598,0.170017,0.266432,0.458840", \ "0.082160,0.088895,0.101309,0.125915,0.174654,0.271411,0.464062", \ "0.100405,0.107092,0.119382,0.143874,0.192622,0.289631,0.482661", \ "0.134053,0.140833,0.152954,0.177239,0.225779,0.322620,0.515654", \ "0.174060,0.182836,0.198210,0.226035,0.276119,0.372738,0.565735", \ "0.216245,0.227378,0.246622,0.281173,0.341261,0.443475,0.636277", \ "0.261130,0.274967,0.298636,0.340566,0.411981,0.530373,0.729193"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.008638,0.009587,0.011306,0.014660,0.021243,0.034324,0.060569", \ "0.008225,0.009213,0.011017,0.014476,0.021152,0.034290,0.060553", \ "0.013820,0.014547,0.015782,0.018026,0.022567,0.034227,0.060546", \ "0.024469,0.025583,0.027411,0.030643,0.036082,0.045021,0.063450", \ "0.038825,0.040485,0.043318,0.047864,0.055193,0.066692,0.084824", \ "0.056880,0.059213,0.063246,0.069704,0.079424,0.094039,0.116064", \ "0.078323,0.081531,0.086925,0.095789,0.108675,0.127122,0.153705"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.040269,0.046107,0.056942,0.078523,0.121652,0.207539,0.379000", \ "0.040297,0.046128,0.056923,0.078529,0.121629,0.207543,0.378998", \ "0.040311,0.046130,0.056932,0.078533,0.121613,0.207553,0.378999", \ "0.042757,0.047840,0.057672,0.078608,0.121591,0.207610,0.378989", \ "0.055994,0.061006,0.070143,0.087207,0.124103,0.207456,0.379055", \ "0.073770,0.079145,0.088917,0.107404,0.141807,0.212881,0.379007", \ "0.096532,0.102257,0.112695,0.132283,0.168530,0.235685,0.383100"); } } timing () { related_pin : "A"; when : "!B1 & B2"; sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.010205,0.011390,0.013549,0.017744,0.025818,0.041334,0.071444", \ "0.015913,0.017026,0.019094,0.023179,0.031149,0.046595,0.076675", \ "0.031084,0.032956,0.036145,0.041617,0.050567,0.065658,0.095521", \ "0.045973,0.048992,0.054214,0.063125,0.077537,0.099600,0.132382", \ "0.057005,0.061511,0.068976,0.082170,0.103354,0.135361,0.181925", \ "0.061789,0.067943,0.078232,0.096095,0.125233,0.169004,0.231779", \ "0.058797,0.066708,0.080078,0.103142,0.141077,0.198203,0.279505"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.089041,0.097544,0.113244,0.144295,0.206077,0.329177,0.574751", \ "0.092530,0.101101,0.116952,0.148303,0.210504,0.334013,0.579923", \ "0.110433,0.118902,0.134587,0.165834,0.228032,0.351792,0.598218", \ "0.142676,0.151145,0.166536,0.197476,0.259418,0.382967,0.629394", \ "0.181266,0.191527,0.209702,0.243153,0.305267,0.428577,0.674921", \ "0.222326,0.234738,0.256417,0.296093,0.366611,0.492014,0.737917", \ "0.267064,0.281972,0.307701,0.353990,0.434624,0.573534,0.821147"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.007364,0.008394,0.010247,0.013816,0.020632,0.033856,0.060062", \ "0.007265,0.008164,0.009955,0.013558,0.020449,0.033755,0.060027", \ "0.013958,0.014669,0.015890,0.018117,0.022400,0.033665,0.059952", \ "0.025127,0.026218,0.027985,0.031128,0.036426,0.045212,0.063147", \ "0.040115,0.041693,0.044421,0.048798,0.055860,0.067110,0.084981", \ "0.058756,0.061063,0.064943,0.071197,0.080517,0.094737,0.116396", \ "0.080854,0.084010,0.089268,0.097944,0.110322,0.128183,0.154236"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.047627,0.055157,0.069250,0.097313,0.153338,0.265258,0.488103", \ "0.047641,0.055169,0.069250,0.097309,0.153351,0.265229,0.488100", \ "0.047674,0.055179,0.069256,0.097326,0.153314,0.265151,0.488119", \ "0.049289,0.056168,0.069534,0.097296,0.153330,0.265184,0.488125", \ "0.060625,0.067347,0.079473,0.102967,0.154162,0.265165,0.488310", \ "0.075867,0.082895,0.095875,0.120565,0.166915,0.267243,0.488130", \ "0.095973,0.103278,0.116711,0.142419,0.190779,0.282994,0.489162"); } } timing () { related_pin : "A"; when : "B1 & !B2"; sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.010435,0.011616,0.013773,0.017964,0.026034,0.041551,0.071678", \ "0.016130,0.017243,0.019311,0.023393,0.031363,0.046814,0.076908", \ "0.031502,0.033332,0.036469,0.041896,0.050788,0.065872,0.095750", \ "0.046640,0.049634,0.054769,0.063614,0.077917,0.099895,0.132616", \ "0.058140,0.062593,0.069927,0.082959,0.103974,0.135836,0.182265", \ "0.063460,0.069520,0.079673,0.097291,0.126184,0.169729,0.232302", \ "0.061180,0.068918,0.082158,0.105027,0.142545,0.199249,0.280271"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.108996,0.117541,0.133379,0.164666,0.226898,0.350181,0.596120", \ "0.112805,0.121435,0.137385,0.168881,0.231431,0.355071,0.601297", \ "0.130504,0.139079,0.154959,0.186419,0.249026,0.372984,0.619616", \ "0.162707,0.171232,0.186740,0.217883,0.280184,0.404065,0.650767", \ "0.205179,0.214804,0.232194,0.264000,0.326011,0.449659,0.696125", \ "0.251304,0.262653,0.282821,0.320537,0.388817,0.513104,0.759338", \ "0.301911,0.315278,0.338833,0.382219,0.459623,0.595580,0.842481"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.008075,0.009135,0.011038,0.014699,0.021710,0.035288,0.061979", \ "0.007909,0.008858,0.010728,0.014437,0.021524,0.035185,0.061943", \ "0.015011,0.015685,0.016842,0.018992,0.023407,0.035082,0.061876", \ "0.028058,0.028903,0.030386,0.033180,0.038167,0.046747,0.065031", \ "0.046736,0.047746,0.049728,0.053153,0.059293,0.069775,0.087132", \ "0.070063,0.071389,0.073941,0.078517,0.086111,0.098760,0.119283", \ "0.096788,0.098687,0.102076,0.108262,0.118090,0.133680,0.158005"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.057480,0.065138,0.079432,0.107813,0.164470,0.276716,0.500339", \ "0.057485,0.065143,0.079430,0.107808,0.164459,0.276725,0.500343", \ "0.057487,0.065145,0.079431,0.107823,0.164488,0.276699,0.500339", \ "0.057814,0.065334,0.079467,0.107778,0.164349,0.276690,0.500330", \ "0.067293,0.073930,0.085996,0.111043,0.164413,0.276685,0.500337", \ "0.081721,0.088952,0.102217,0.127339,0.174593,0.277865,0.500340", \ "0.100563,0.108195,0.122170,0.148566,0.197680,0.291509,0.500914"); } } timing () { related_pin : "B1"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.0179712,0.0200344,0.0238471,0.0314288,0.0465389,0.0766882,0.136946", \ "0.0224969,0.0246018,0.0284789,0.0361326,0.0513112,0.0815205,0.141812", \ "0.0364903,0.0394805,0.0444867,0.0530618,0.0681748,0.0983653,0.158667", \ "0.0484500,0.0533248,0.0614507,0.0753131,0.0973712,0.131073,0.190948", \ "0.0545780,0.0616395,0.0732818,0.0933212,0.124956,0.172565,0.242283", \ "0.0534902,0.0628695,0.0783345,0.104934,0.147246,0.210550,0.302007", \ "0.0441697,0.0559406,0.0755396,0.108993,0.162562,0.242929,0.358450"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.0631268,0.0717963,0.0877057,0.118985,0.180950,0.304103,0.549753", \ "0.0640750,0.0729380,0.0891880,0.121099,0.183830,0.307654,0.553780", \ "0.0779897,0.0864105,0.102189,0.133720,0.196439,0.320856,0.567728", \ "0.111220,0.120311,0.135482,0.165531,0.226787,0.350140,0.596940", \ "0.149941,0.161872,0.182129,0.218106,0.279034,0.400089,0.644920", \ "0.192754,0.207443,0.232612,0.276999,0.351519,0.474056,0.715812", \ "0.240460,0.257784,0.287572,0.340400,0.429491,0.572482,0.812741"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.0105048,0.0122711,0.0155646,0.0221378,0.0352939,0.0616169,0.114230", \ "0.0105004,0.0122693,0.0155590,0.0221378,0.0353016,0.0616232,0.114231", \ "0.0160276,0.0173052,0.0195390,0.0239916,0.0353912,0.0616141,0.114232", \ "0.0285840,0.0303427,0.0333206,0.0385419,0.0475415,0.0654592,0.114225", \ "0.0453399,0.0477587,0.0520579,0.0589022,0.0701585,0.0885875,0.123214", \ "0.0661218,0.0693525,0.0750057,0.0840532,0.0982828,0.120664,0.156206", \ "0.0908023,0.0949641,0.101985,0.113582,0.131462,0.158664,0.200117"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.0475384,0.0551124,0.0692561,0.0973107,0.153357,0.265177,0.488134", \ "0.0474471,0.0550713,0.0692248,0.0973105,0.153414,0.265216,0.488097", \ "0.0466658,0.0546048,0.0690408,0.0972407,0.153311,0.265192,0.488122", \ "0.0557164,0.0608444,0.0718848,0.0970073,0.153251,0.265174,0.488372", \ "0.0751329,0.0813307,0.0924274,0.111551,0.156314,0.265101,0.488299", \ "0.0968324,0.104265,0.117380,0.140476,0.179810,0.269444,0.488161", \ "0.121233,0.129951,0.145321,0.172337,0.218006,0.296508,0.489208"); } } timing () { related_pin : "B2"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.0207049,0.0227558,0.0265559,0.0341307,0.0492305,0.0793713,0.139636", \ "0.0258293,0.0279273,0.0317834,0.0394219,0.0545799,0.0847800,0.145073", \ "0.0394424,0.0420046,0.0464855,0.0547245,0.0700547,0.100397,0.160789", \ "0.0550931,0.0589697,0.0654883,0.0768164,0.0960596,0.129003,0.189691", \ "0.0672454,0.0731062,0.0828800,0.0993769,0.125574,0.166673,0.233941", \ "0.0729392,0.0813833,0.0948589,0.118041,0.154028,0.207249,0.287522", \ "0.0709948,0.0820385,0.100069,0.130307,0.177812,0.246733,0.344840"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.0815543,0.0900883,0.105819,0.137046,0.199101,0.322391,0.568229", \ "0.0833199,0.0919788,0.107963,0.139492,0.201943,0.325515,0.571589", \ "0.0972360,0.105782,0.121646,0.153126,0.215695,0.339654,0.586123", \ "0.131087,0.139101,0.154179,0.184914,0.246463,0.369754,0.615907", \ "0.176801,0.187355,0.205600,0.238648,0.298569,0.420049,0.664652", \ "0.226326,0.239413,0.262158,0.303065,0.373352,0.494083,0.736027", \ "0.280452,0.295990,0.323072,0.371982,0.456054,0.593731,0.833093"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.0105080,0.0122704,0.0155571,0.0221387,0.0353037,0.0616134,0.114245", \ "0.0105049,0.0122727,0.0155596,0.0221421,0.0353016,0.0616126,0.114233", \ "0.0128371,0.0144086,0.0172522,0.0229455,0.0353275,0.0616205,0.114236", \ "0.0201467,0.0217081,0.0245523,0.0301214,0.0412030,0.0636466,0.114228", \ "0.0325762,0.0343847,0.0374885,0.0431273,0.0538080,0.0752240,0.119146", \ "0.0492141,0.0514794,0.0553933,0.0618791,0.0730010,0.0937399,0.135916", \ "0.0694331,0.0722782,0.0771533,0.0853170,0.0981494,0.119529,0.160414"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.0574916,0.0651583,0.0794432,0.107863,0.164384,0.276707,0.500335", \ "0.0574774,0.0651521,0.0794408,0.107795,0.164479,0.276763,0.500335", \ "0.0572944,0.0650478,0.0793885,0.107777,0.164370,0.276750,0.500332", \ "0.0601767,0.0667677,0.0797253,0.107470,0.164229,0.276698,0.500338", \ "0.0786395,0.0848687,0.0956338,0.116715,0.165295,0.276658,0.500345", \ "0.100246,0.107758,0.120894,0.144069,0.184621,0.279179,0.500339", \ "0.123916,0.132931,0.148546,0.175983,0.221870,0.302773,0.500767"); } } internal_power () { related_pin : "A"; when : "!B1 & !B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.628530,0.653053,0.676829,0.706595,0.749790,0.789494,0.832491", \ "0.615014,0.627906,0.651357,0.690566,0.732070,0.771413,0.809391", \ "0.637978,0.630945,0.642161,0.670655,0.707024,0.749024,0.795317", \ "0.742012,0.742468,0.735043,0.742316,0.732927,0.747977,0.795404", \ "0.885229,0.878724,0.870350,0.864558,0.854360,0.831757,0.821853", \ "1.096891,1.090548,1.078072,1.057089,1.022909,0.977118,0.921026", \ "1.394188,1.385327,1.366679,1.331727,1.276706,1.194719,1.097023"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("3.009769,3.010233,3.019834,3.016904,3.034819,3.018557,3.018051", \ "2.954326,2.964346,2.967662,2.992252,2.998316,3.031223,3.038189", \ "2.904009,2.911219,2.915105,2.926776,2.957062,2.963701,2.979106", \ "2.890569,2.895415,2.893901,2.904270,2.909264,2.940745,2.962542", \ "2.915780,2.916039,2.909607,2.908845,2.911592,2.909418,2.921759", \ "2.879013,2.895831,2.922725,2.966574,2.929549,2.939623,2.939025", \ "2.961129,2.965870,2.985829,3.008611,3.065324,3.025008,2.994144"); } } internal_power () { related_pin : "A"; when : "!B1 & B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.466954,0.482835,0.517433,0.574886,0.639788,0.696351,0.754847", \ "0.441822,0.468271,0.504077,0.550838,0.611875,0.672146,0.725836", \ "0.514124,0.516273,0.518015,0.538856,0.585054,0.647136,0.700636", \ "0.637590,0.635199,0.641097,0.638580,0.634618,0.646786,0.691106", \ "0.788133,0.782316,0.774123,0.767844,0.749384,0.733741,0.717131", \ "1.000528,0.996219,0.979097,0.959602,0.922926,0.877567,0.820353", \ "1.296508,1.285877,1.263617,1.228298,1.169232,1.086515,0.988372"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("2.740773,2.750184,2.751065,2.765576,2.773422,2.753790,2.761505", \ "2.686659,2.693026,2.701340,2.722047,2.739490,2.758976,2.741165", \ "2.640367,2.644738,2.653482,2.663849,2.674263,2.698691,2.722587", \ "2.624297,2.632411,2.626519,2.632672,2.630972,2.651169,2.675939", \ "2.642760,2.646104,2.642909,2.630951,2.638321,2.654513,2.675881", \ "2.608479,2.634043,2.674650,2.703424,2.662742,2.662197,2.670559", \ "2.681648,2.690579,2.713653,2.753052,2.794941,2.735386,2.723087"); } } internal_power () { related_pin : "A"; when : "B1 & !B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.532926,0.548858,0.561804,0.607374,0.653611,0.702776,0.759429", \ "0.509171,0.522218,0.541485,0.578993,0.628194,0.681663,0.729880", \ "0.526468,0.529659,0.540021,0.570275,0.605803,0.648478,0.696500", \ "0.651896,0.645553,0.642688,0.643284,0.637030,0.655225,0.697703", \ "0.800657,0.794832,0.785172,0.772450,0.753665,0.732375,0.721165", \ "1.017487,1.006752,0.990400,0.962930,0.923018,0.875831,0.820062", \ "1.320558,1.305321,1.279940,1.242011,1.174923,1.084932,0.990317"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("3.326785,3.337524,3.347864,3.348470,3.360063,3.377646,3.398013", \ "3.280519,3.292559,3.305647,3.327716,3.358696,3.386046,3.377915", \ "3.230101,3.241256,3.253096,3.271730,3.295064,3.325715,3.360012", \ "3.216575,3.221961,3.224463,3.232539,3.248190,3.277366,3.313133", \ "3.224401,3.228027,3.238162,3.228726,3.255045,3.280991,3.311949", \ "3.220934,3.250878,3.291175,3.281870,3.277376,3.289166,3.309519", \ "3.274238,3.286750,3.309276,3.363866,3.373779,3.357861,3.360295"); } } internal_power () { related_pin : "B1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.236061,0.232810,0.229018,0.227652,0.222985,0.228684,0.233314", \ "0.218672,0.219921,0.219778,0.228860,0.233839,0.242884,0.253384", \ "0.193707,0.195768,0.204435,0.216887,0.237493,0.254292,0.266733", \ "0.270449,0.263708,0.251962,0.241429,0.234111,0.248236,0.269525", \ "0.399365,0.385984,0.368376,0.346090,0.311322,0.275790,0.281123", \ "0.614953,0.596520,0.567316,0.524090,0.461099,0.390421,0.334181", \ "0.907702,0.886907,0.854972,0.792340,0.698152,0.582174,0.463488"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("1.921834,1.933904,1.942729,1.949312,1.970789,1.951229,1.960062", \ "1.837927,1.854539,1.878334,1.893462,1.913834,1.937744,1.955435", \ "1.790854,1.797297,1.803952,1.817988,1.857158,1.894347,1.927281", \ "1.854347,1.852133,1.847872,1.835813,1.843581,1.866268,1.899536", \ "2.014968,2.006571,1.988598,1.957300,1.934513,1.898205,1.906058", \ "2.154762,2.165028,2.188820,2.173955,2.114402,2.047400,1.989803", \ "2.451664,2.446947,2.447052,2.437629,2.401205,2.288101,2.151708"); } } internal_power () { related_pin : "B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.233146,0.232832,0.225949,0.223464,0.229398,0.230228,0.231432", \ "0.220935,0.220896,0.223976,0.234428,0.236790,0.245648,0.251032", \ "0.172670,0.183689,0.192886,0.206326,0.228705,0.249291,0.264142", \ "0.195762,0.198067,0.200584,0.198781,0.206479,0.233159,0.256940", \ "0.252105,0.247696,0.246804,0.244608,0.238305,0.224257,0.251600", \ "0.374701,0.366925,0.354274,0.336579,0.315885,0.290349,0.263489", \ "0.572370,0.560061,0.537315,0.503139,0.453854,0.400119,0.341620"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("2.469002,2.473211,2.483888,2.496019,2.505960,2.523304,2.542563", \ "2.407929,2.415317,2.430509,2.448231,2.479130,2.502456,2.525765", \ "2.360518,2.371560,2.382548,2.391305,2.413143,2.444347,2.475406", \ "2.415965,2.417847,2.415544,2.414049,2.409466,2.432384,2.460486", \ "2.558522,2.554886,2.549704,2.536198,2.501571,2.504461,2.480862", \ "2.719687,2.738178,2.770367,2.742661,2.682093,2.626747,2.607497", \ "2.985317,2.991139,3.005404,3.020030,2.991646,2.890840,2.774895"); } } } } /****************************************************************************************** Module : AOI21_X2 Cell Description : Combinational cell (AOI21_X2) with drive strength X2 *******************************************************************************************/ cell (AOI21_X2) { drive_strength : 2; area : 1.862000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 32.652646; leakage_power () { when : "!A & !B1 & !B2"; value : 31.012845; } leakage_power () { when : "!A & !B1 & B2"; value : 59.485960; } leakage_power () { when : "!A & B1 & !B2"; value : 40.091238; } leakage_power () { when : "!A & B1 & B2"; value : 34.458400; } leakage_power () { when : "A & !B1 & !B2"; value : 14.776765; } leakage_power () { when : "A & !B1 & B2"; value : 24.780655; } leakage_power () { when : "A & B1 & !B2"; value : 24.779695; } leakage_power () { when : "A & B1 & B2"; value : 31.835611; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.015197; fall_capacitance : 2.817519; rise_capacitance : 3.015197; } pin (B1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.040426; fall_capacitance : 2.645797; rise_capacitance : 3.040426; } pin (B2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.355443; fall_capacitance : 2.875464; rise_capacitance : 3.355443; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 50.609780; function : "!(A | (B1 & B2))"; timing () { related_pin : "A"; when : "!B1 & !B2"; sdf_cond : "(B1 == 1'b0) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); values ("0.011703,0.013432,0.015600,0.019761,0.027710,0.043015,0.072909", \ "0.017208,0.018860,0.020970,0.025075,0.032987,0.048273,0.078167", \ "0.033144,0.035644,0.038574,0.043720,0.052277,0.067289,0.097048", \ "0.049001,0.053129,0.057943,0.066318,0.080075,0.101539,0.133876", \ "0.061311,0.067300,0.074333,0.086710,0.106949,0.138046,0.183858", \ "0.067629,0.075824,0.085386,0.102312,0.130131,0.172624,0.234344", \ "0.066463,0.077023,0.089470,0.111285,0.147535,0.202927,0.282830"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); values ("0.073389,0.082911,0.095200,0.119567,0.167956,0.264405,0.456891", \ "0.077252,0.086870,0.099280,0.123875,0.172606,0.269401,0.462131", \ "0.095517,0.105026,0.117330,0.141821,0.190565,0.287562,0.480690", \ "0.128727,0.138503,0.150666,0.175004,0.223529,0.320360,0.513487", \ "0.167107,0.179927,0.195340,0.223408,0.273749,0.370397,0.563418", \ "0.207697,0.224020,0.243441,0.278199,0.338552,0.441048,0.633932", \ "0.251312,0.271526,0.295370,0.337498,0.409171,0.527930,0.727000"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); values ("0.008084,0.009423,0.011125,0.014455,0.021015,0.034090,0.060333", \ "0.007697,0.009069,0.010857,0.014290,0.020937,0.034061,0.060334", \ "0.013376,0.014411,0.015662,0.017916,0.022425,0.034040,0.060319", \ "0.023827,0.025381,0.027235,0.030488,0.035966,0.044904,0.063280", \ "0.037942,0.040358,0.043081,0.047664,0.055048,0.066578,0.084710", \ "0.055701,0.059121,0.063071,0.069457,0.079247,0.093901,0.115944", \ "0.076709,0.081436,0.086841,0.095584,0.108471,0.126974,0.153572"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); values ("0.037345,0.045629,0.056455,0.078049,0.121096,0.206955,0.378373", \ "0.037342,0.045646,0.056465,0.078049,0.121090,0.206963,0.378375", \ "0.037373,0.045651,0.056456,0.078046,0.121094,0.206958,0.378369", \ "0.040602,0.047688,0.057427,0.078148,0.121078,0.206936,0.378375", \ "0.053944,0.061050,0.070134,0.087198,0.123852,0.206906,0.378402", \ "0.071706,0.079366,0.089009,0.107421,0.141779,0.212601,0.378349", \ "0.094252,0.102469,0.112764,0.132259,0.168452,0.235640,0.382699"); } } timing () { related_pin : "A"; when : "!B1 & B2"; sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); values ("0.009736,0.011433,0.013589,0.017769,0.025802,0.041250,0.071281", \ "0.015457,0.017048,0.019108,0.023177,0.031114,0.046498,0.076502", \ "0.030125,0.032868,0.036047,0.041522,0.050478,0.065548,0.095345", \ "0.044145,0.048694,0.053905,0.062855,0.077299,0.099406,0.132201", \ "0.054077,0.060691,0.068373,0.081646,0.102920,0.135026,0.181641", \ "0.057600,0.066642,0.077072,0.095263,0.124549,0.168478,0.231385", \ "0.053274,0.064890,0.078410,0.101838,0.140067,0.197444,0.278971"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); values ("0.083141,0.095292,0.110960,0.142044,0.203820,0.326948,0.572702", \ "0.086577,0.098851,0.114669,0.146048,0.208249,0.331780,0.577848", \ "0.104496,0.116619,0.132293,0.163528,0.225723,0.349555,0.596114", \ "0.136259,0.148403,0.163835,0.194831,0.256768,0.380362,0.626922", \ "0.173233,0.188162,0.206322,0.240016,0.302319,0.425656,0.672029", \ "0.212791,0.230896,0.252754,0.292453,0.363226,0.488979,0.735039", \ "0.256312,0.278074,0.303964,0.350383,0.431323,0.570574,0.818368"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); values ("0.006872,0.008328,0.010166,0.013690,0.020451,0.033624,0.059805", \ "0.006825,0.008097,0.009868,0.013442,0.020276,0.033533,0.059781", \ "0.013503,0.014537,0.015772,0.018015,0.022272,0.033489,0.059726", \ "0.024478,0.025985,0.027787,0.030948,0.036279,0.045091,0.062979", \ "0.039192,0.041526,0.044138,0.048538,0.055667,0.066943,0.084854", \ "0.057495,0.060884,0.064733,0.070843,0.080279,0.094539,0.116258", \ "0.079152,0.083804,0.089119,0.097614,0.110013,0.127968,0.154087"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); values ("0.043989,0.054714,0.068723,0.096756,0.152729,0.264564,0.487821", \ "0.043988,0.054720,0.068724,0.096772,0.152728,0.264527,0.487827", \ "0.044012,0.054722,0.068733,0.096751,0.152751,0.264523,0.487812", \ "0.046286,0.055952,0.069119,0.096776,0.152743,0.264513,0.487856", \ "0.057822,0.067384,0.079555,0.102870,0.153831,0.264478,0.487904", \ "0.073053,0.083130,0.095915,0.120462,0.166883,0.266923,0.487814", \ "0.093056,0.103530,0.116829,0.142303,0.190682,0.283024,0.488862"); } } timing () { related_pin : "A"; when : "B1 & !B2"; sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); values ("0.009965,0.011657,0.013808,0.017983,0.026015,0.041465,0.071511", \ "0.015669,0.017261,0.019322,0.023389,0.031325,0.046715,0.076734", \ "0.030531,0.033229,0.036374,0.041802,0.050697,0.065760,0.095577", \ "0.044836,0.049320,0.054477,0.063338,0.077682,0.099690,0.132432", \ "0.055240,0.061752,0.069308,0.082417,0.103528,0.135479,0.181980", \ "0.059357,0.068223,0.078483,0.096429,0.125487,0.169186,0.231888", \ "0.055753,0.067120,0.080508,0.103617,0.141436,0.198460,0.279705"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); values ("0.102981,0.115248,0.131075,0.162383,0.224484,0.347897,0.593895", \ "0.106799,0.119144,0.135065,0.166588,0.229075,0.352785,0.599074", \ "0.124498,0.136763,0.152607,0.184062,0.246578,0.370622,0.617363", \ "0.156273,0.168433,0.183997,0.215274,0.277554,0.401420,0.648177", \ "0.197643,0.211564,0.228902,0.260988,0.323013,0.446644,0.693181", \ "0.242501,0.258995,0.279315,0.317119,0.385502,0.509970,0.756265", \ "0.292135,0.311565,0.335206,0.378786,0.456427,0.592605,0.839563"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); values ("0.007546,0.009042,0.010933,0.014552,0.021506,0.035037,0.061725", \ "0.007429,0.008771,0.010607,0.014285,0.021322,0.034945,0.061697", \ "0.014591,0.015535,0.016700,0.018864,0.023238,0.034887,0.061647", \ "0.027475,0.028641,0.030135,0.032976,0.038008,0.046611,0.064860", \ "0.046129,0.047573,0.049424,0.052886,0.059102,0.069633,0.086984", \ "0.069342,0.071281,0.073831,0.078245,0.085910,0.098624,0.119159", \ "0.095832,0.098584,0.102013,0.108109,0.117914,0.133531,0.157882"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); values ("0.053733,0.064647,0.078873,0.107239,0.163680,0.275979,0.499868", \ "0.053729,0.064647,0.078869,0.107211,0.163754,0.275971,0.499862", \ "0.053730,0.064637,0.078882,0.107206,0.163692,0.275975,0.499857", \ "0.054193,0.064833,0.078900,0.107202,0.163649,0.275961,0.499857", \ "0.064339,0.073980,0.085957,0.110845,0.163834,0.275938,0.499862", \ "0.078668,0.089063,0.102167,0.127183,0.174411,0.277314,0.499877", \ "0.097339,0.108316,0.122141,0.148397,0.197530,0.291378,0.500408"); } } timing () { related_pin : "B1"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); values ("0.0170760,0.0200292,0.0238432,0.0314271,0.0465349,0.0766846,0.136939", \ "0.0215912,0.0246107,0.0284835,0.0361383,0.0513184,0.0815228,0.141815", \ "0.0350957,0.0394817,0.0444865,0.0530641,0.0681875,0.0983722,0.158661", \ "0.0461020,0.0532794,0.0614544,0.0753110,0.0973840,0.131082,0.190959", \ "0.0511643,0.0614911,0.0732446,0.0932929,0.124977,0.172580,0.242301", \ "0.0489480,0.0626519,0.0782253,0.104917,0.147260,0.210613,0.302076", \ "0.0384759,0.0556561,0.0752936,0.108886,0.162563,0.242959,0.358506"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); values ("0.0599158,0.0723433,0.0882212,0.119509,0.181448,0.304683,0.550465", \ "0.0606940,0.0733959,0.0896665,0.121565,0.184248,0.308150,0.554400", \ "0.0747509,0.0868869,0.102664,0.134172,0.196869,0.321337,0.568390", \ "0.107307,0.120587,0.135916,0.166011,0.227282,0.350658,0.597366", \ "0.144610,0.161968,0.182395,0.218446,0.279579,0.400640,0.645477", \ "0.186035,0.207404,0.232656,0.277297,0.351917,0.474582,0.716424", \ "0.232442,0.257625,0.287499,0.340436,0.429688,0.572881,0.813298"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); values ("0.00969585,0.0122163,0.0155074,0.0220881,0.0352435,0.0615580,0.114168", \ "0.00969418,0.0122152,0.0155099,0.0220888,0.0352443,0.0615583,0.114170", \ "0.0154103,0.0172327,0.0194812,0.0239365,0.0353446,0.0615540,0.114178", \ "0.0276982,0.0302441,0.0331941,0.0384258,0.0474454,0.0653844,0.114168", \ "0.0441958,0.0477442,0.0518891,0.0587497,0.0700369,0.0884797,0.123111", \ "0.0645481,0.0693091,0.0748937,0.0838083,0.0981002,0.120509,0.156088", \ "0.0888013,0.0948902,0.101958,0.113460,0.131286,0.158496,0.199971"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); values ("0.0439112,0.0547003,0.0687328,0.0967775,0.152746,0.264558,0.487828", \ "0.0438295,0.0546891,0.0687460,0.0967665,0.152730,0.264560,0.487832", \ "0.0430535,0.0543474,0.0686090,0.0967404,0.152712,0.264520,0.487832", \ "0.0535934,0.0607074,0.0716408,0.0966518,0.152712,0.264558,0.487828", \ "0.0722061,0.0811742,0.0920991,0.111206,0.155903,0.264468,0.487838", \ "0.0932839,0.104085,0.117151,0.140089,0.179540,0.268989,0.487825", \ "0.117063,0.129727,0.145067,0.172034,0.217724,0.296259,0.488965"); } } timing () { related_pin : "B2"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); values ("0.0197798,0.0227167,0.0265170,0.0340882,0.0491885,0.0793317,0.139592", \ "0.0248862,0.0278915,0.0317489,0.0393872,0.0545531,0.0847496,0.145036", \ "0.0382330,0.0419421,0.0464195,0.0546739,0.0700110,0.100354,0.160746", \ "0.0532157,0.0588970,0.0654129,0.0767503,0.0960041,0.128954,0.189657", \ "0.0643128,0.0730142,0.0828766,0.0993292,0.125534,0.166627,0.233900", \ "0.0687963,0.0810998,0.0948387,0.118080,0.154061,0.207225,0.287478", \ "0.0654919,0.0817083,0.0997820,0.130385,0.177946,0.246813,0.344849"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); values ("0.0783294,0.0905283,0.106286,0.137480,0.199509,0.322810,0.568740", \ "0.0799466,0.0923651,0.108320,0.139835,0.202228,0.325884,0.572046", \ "0.0938864,0.106176,0.122025,0.153493,0.215976,0.339995,0.586569", \ "0.127904,0.139388,0.154638,0.185350,0.246877,0.370159,0.616387", \ "0.172162,0.187464,0.205814,0.239031,0.299035,0.420514,0.665167", \ "0.220385,0.239366,0.262184,0.303189,0.373646,0.494397,0.736454", \ "0.273292,0.295811,0.322956,0.371961,0.456165,0.593985,0.833429"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); values ("0.00969615,0.0122141,0.0155060,0.0220860,0.0352488,0.0615543,0.114167", \ "0.00969208,0.0122139,0.0155088,0.0220842,0.0352469,0.0615537,0.114173", \ "0.0121022,0.0143545,0.0172051,0.0229017,0.0352764,0.0615612,0.114168", \ "0.0193908,0.0216179,0.0244584,0.0300379,0.0411447,0.0636004,0.114174", \ "0.0316727,0.0342784,0.0373661,0.0430263,0.0536874,0.0751362,0.119089", \ "0.0480948,0.0513751,0.0552235,0.0617038,0.0728315,0.0935950,0.135815", \ "0.0680245,0.0721849,0.0770380,0.0850759,0.0979040,0.119347,0.160257"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); values ("0.0537671,0.0646423,0.0788960,0.107226,0.163695,0.275990,0.499866", \ "0.0537455,0.0646384,0.0788563,0.107198,0.163660,0.275996,0.499874", \ "0.0536051,0.0645833,0.0788347,0.107201,0.163620,0.275974,0.499874", \ "0.0572545,0.0664973,0.0792982,0.106981,0.163580,0.275957,0.499870", \ "0.0757019,0.0846336,0.0954060,0.116319,0.164804,0.275912,0.499871", \ "0.0966355,0.107563,0.120567,0.143729,0.184288,0.278526,0.499874", \ "0.119561,0.132677,0.148284,0.175591,0.221526,0.302416,0.500328"); } } internal_power () { related_pin : "A"; when : "!B1 & !B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); values ("1.224320,1.255751,1.301513,1.368290,1.437353,1.518832,1.595012", \ "1.177258,1.217577,1.252935,1.317120,1.394189,1.478064,1.557564", \ "1.216775,1.228953,1.231009,1.288021,1.348345,1.436870,1.517913", \ "1.418895,1.430112,1.432249,1.432682,1.411359,1.442873,1.518643", \ "1.712626,1.696051,1.691180,1.675366,1.643729,1.583690,1.564600", \ "2.147000,2.123279,2.098050,2.052883,1.980263,1.875187,1.767898", \ "2.751513,2.710979,2.680831,2.602860,2.480971,2.312486,2.114795"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); values ("5.863405,5.877872,5.900020,5.892059,5.892400,5.936509,5.927839", \ "5.750641,5.779137,5.795410,5.798340,5.818845,5.878819,5.880167", \ "5.667491,5.681265,5.691491,5.712038,5.755627,5.744961,5.849990", \ "5.631096,5.640236,5.649810,5.663331,5.689489,5.703629,5.724893", \ "5.685149,5.685402,5.674971,5.673773,5.679544,5.646275,5.742370", \ "5.602857,5.647606,5.699465,5.783559,5.775150,5.709017,5.778100", \ "5.811391,5.823901,5.842846,5.876715,6.015453,5.892326,5.814484"); } } internal_power () { related_pin : "A"; when : "!B1 & B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); values ("0.895211,0.955132,1.030916,1.120907,1.241516,1.352400,1.447648", \ "0.856505,0.926734,0.988849,1.064238,1.189443,1.298995,1.389917", \ "0.979789,0.985012,1.001453,1.051675,1.132849,1.240755,1.334553", \ "1.235136,1.229188,1.237064,1.215441,1.215546,1.241530,1.324261", \ "1.527964,1.518931,1.498927,1.487065,1.450789,1.395631,1.374293", \ "1.967182,1.941440,1.916426,1.858916,1.786677,1.688048,1.575865", \ "2.561674,2.528758,2.488569,2.412327,2.282734,2.105783,1.913033"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); values ("5.335445,5.347468,5.363837,5.373488,5.374226,5.389720,5.408465", \ "5.217762,5.243460,5.264292,5.286154,5.306391,5.337248,5.367404", \ "5.128919,5.148254,5.168535,5.169416,5.177374,5.219391,5.266564", \ "5.108155,5.116743,5.119186,5.111743,5.159346,5.195407,5.180509", \ "5.153362,5.152284,5.148708,5.143563,5.119763,5.141642,5.181329", \ "5.059466,5.121139,5.209516,5.242420,5.232144,5.225740,5.175560", \ "5.249331,5.265896,5.305410,5.369826,5.448854,5.326289,5.289168"); } } internal_power () { related_pin : "A"; when : "B1 & !B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); values ("1.019641,1.070958,1.111033,1.180796,1.271265,1.362261,1.449157", \ "0.986731,1.023079,1.060439,1.130013,1.210973,1.310132,1.395593", \ "1.026218,1.014878,1.048119,1.086959,1.157591,1.249967,1.340445", \ "1.260953,1.255351,1.240586,1.228509,1.225674,1.252125,1.328813", \ "1.549154,1.542992,1.516277,1.494235,1.455389,1.406375,1.377290", \ "1.991810,1.966704,1.925310,1.867209,1.793253,1.690653,1.576408", \ "2.607622,2.560360,2.510379,2.421423,2.291363,2.111052,1.916436"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); values ("6.496633,6.521370,6.545621,6.579086,6.607101,6.642664,6.686966", \ "6.403406,6.432074,6.460268,6.502445,6.546103,6.593120,6.646923", \ "6.315961,6.331308,6.358372,6.395712,6.418002,6.505261,6.548053", \ "6.272260,6.294416,6.309068,6.319475,6.338574,6.453014,6.527282", \ "6.308087,6.315587,6.325898,6.345541,6.353040,6.396483,6.460802", \ "6.284628,6.353947,6.442923,6.447404,6.400381,6.416858,6.457812", \ "6.417251,6.450934,6.495641,6.589742,6.669602,6.573211,6.568442"); } } internal_power () { related_pin : "B1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); values ("0.469910,0.462955,0.456352,0.454576,0.453670,0.457043,0.466710", \ "0.425182,0.440001,0.451203,0.464536,0.476025,0.497675,0.509399", \ "0.386492,0.390956,0.408870,0.438329,0.477285,0.510810,0.535295", \ "0.537922,0.521161,0.501710,0.477939,0.465953,0.499640,0.539424", \ "0.815215,0.773038,0.737297,0.689862,0.619926,0.553945,0.564190", \ "1.246429,1.188532,1.132269,1.042988,0.924755,0.781820,0.668234", \ "1.838108,1.778355,1.711944,1.586471,1.394384,1.165798,0.926161"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); values ("3.823665,3.852738,3.877746,3.867281,3.870151,3.886851,3.913534", \ "3.652493,3.694583,3.729501,3.787020,3.818023,3.869271,3.896187", \ "3.564898,3.579839,3.601903,3.648438,3.706554,3.774969,3.842310", \ "3.698960,3.690232,3.691359,3.683473,3.682195,3.720401,3.782551", \ "4.003517,3.997007,3.964713,3.926233,3.867184,3.785993,3.799783", \ "4.295325,4.312998,4.355694,4.348204,4.234532,4.085551,3.968597", \ "4.892946,4.876977,4.867496,4.863823,4.791743,4.548250,4.290266"); } } internal_power () { related_pin : "B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); values ("0.462210,0.463604,0.458645,0.456233,0.457203,0.461967,0.465282", \ "0.437100,0.444351,0.453339,0.468981,0.480462,0.502039,0.504352", \ "0.339743,0.358135,0.387114,0.423940,0.460997,0.507002,0.534582", \ "0.389686,0.396348,0.399595,0.396771,0.413561,0.470968,0.518291", \ "0.502307,0.495932,0.496112,0.489093,0.479212,0.453202,0.503442", \ "0.755918,0.727862,0.701192,0.673569,0.631228,0.580217,0.526561", \ "1.151855,1.119654,1.075410,1.006997,0.906798,0.799392,0.682107"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); values ("4.921775,4.942093,4.951101,4.972762,5.004039,5.035108,5.076421", \ "4.796692,4.826719,4.844035,4.878081,4.947907,4.993602,4.978292", \ "4.704144,4.730076,4.749822,4.765886,4.819545,4.902194,4.944619", \ "4.818745,4.822217,4.816910,4.812601,4.816828,4.857884,4.917454", \ "5.117375,5.094554,5.079576,5.055701,5.005256,5.004258,4.960353", \ "5.413960,5.460413,5.512054,5.490811,5.363311,5.246006,5.144752", \ "5.949457,5.966336,5.980034,6.029978,5.974881,5.775387,5.542223"); } } } } /****************************************************************************************** Module : AOI21_X4 Cell Description : Combinational cell (AOI21_X4) with drive strength X4 *******************************************************************************************/ cell (AOI21_X4) { drive_strength : 4; area : 3.458000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 65.305282; leakage_power () { when : "!A & !B1 & !B2"; value : 62.025785; } leakage_power () { when : "!A & !B1 & B2"; value : 118.971730; } leakage_power () { when : "!A & B1 & !B2"; value : 80.182470; } leakage_power () { when : "!A & B1 & B2"; value : 68.916800; } leakage_power () { when : "A & !B1 & !B2"; value : 29.553588; } leakage_power () { when : "A & !B1 & B2"; value : 49.561367; } leakage_power () { when : "A & B1 & !B2"; value : 49.559362; } leakage_power () { when : "A & B1 & B2"; value : 63.671156; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 5.931793; fall_capacitance : 5.544806; rise_capacitance : 5.931793; } pin (B1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 6.239238; fall_capacitance : 5.439901; rise_capacitance : 6.239238; } pin (B2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 6.460567; fall_capacitance : 5.488423; rise_capacitance : 6.460567; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 101.013000; function : "!(A | (B1 & B2))"; timing () { related_pin : "A"; when : "!B1 & !B2"; sdf_cond : "(B1 == 1'b0) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); values ("0.011620,0.013622,0.015796,0.019966,0.027927,0.043238,0.073118", \ "0.017143,0.019053,0.021166,0.025276,0.033197,0.048491,0.078366", \ "0.033069,0.035963,0.038873,0.043978,0.052499,0.067495,0.097243", \ "0.048910,0.053683,0.058442,0.066747,0.080425,0.101797,0.134049", \ "0.061151,0.068051,0.075077,0.087347,0.107447,0.138411,0.184078", \ "0.067427,0.076815,0.086342,0.103191,0.130819,0.173103,0.234615", \ "0.066209,0.078308,0.090631,0.112436,0.148430,0.203543,0.283178"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); values ("0.073320,0.084262,0.096532,0.120858,0.169178,0.265442,0.457645", \ "0.077160,0.088218,0.100616,0.125172,0.173816,0.270410,0.462869", \ "0.095483,0.106381,0.118673,0.143127,0.191792,0.288615,0.481435", \ "0.128770,0.139964,0.152102,0.176392,0.224815,0.321462,0.514325", \ "0.167060,0.181717,0.196988,0.224910,0.275072,0.371565,0.564216", \ "0.207409,0.226099,0.245348,0.279822,0.339900,0.442119,0.634640", \ "0.250493,0.273696,0.297363,0.339193,0.410548,0.528968,0.727686"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); values ("0.008015,0.009561,0.011268,0.014606,0.021167,0.034228,0.060440", \ "0.007616,0.009199,0.010993,0.014432,0.021084,0.034202,0.060437", \ "0.013318,0.014495,0.015736,0.017991,0.022518,0.034143,0.060432", \ "0.023699,0.025455,0.027302,0.030558,0.036013,0.044956,0.063351", \ "0.037726,0.040445,0.043126,0.047705,0.055067,0.066583,0.084715", \ "0.055342,0.059267,0.063124,0.069434,0.079229,0.093871,0.115904", \ "0.076194,0.081638,0.086932,0.095503,0.108381,0.126886,0.153482"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); values ("0.036498,0.046001,0.056809,0.078366,0.121334,0.207001,0.378136", \ "0.036502,0.046006,0.056817,0.078373,0.121338,0.207018,0.378137", \ "0.036537,0.046016,0.056817,0.078378,0.121334,0.207014,0.378129", \ "0.039760,0.047917,0.057680,0.078483,0.121317,0.207014,0.378121", \ "0.052918,0.061071,0.070151,0.087229,0.124006,0.206999,0.378124", \ "0.070538,0.079305,0.088943,0.107298,0.141675,0.212608,0.378110", \ "0.093061,0.102484,0.112711,0.132155,0.168293,0.235449,0.382431"); } } timing () { related_pin : "A"; when : "!B1 & B2"; sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); values ("0.009634,0.011591,0.013748,0.017930,0.025972,0.041430,0.071456", \ "0.015375,0.017207,0.019269,0.023342,0.031284,0.046678,0.076674", \ "0.029966,0.033148,0.036298,0.041749,0.050667,0.065722,0.095508", \ "0.043860,0.049177,0.054355,0.063235,0.077603,0.099627,0.132358", \ "0.053757,0.061411,0.069075,0.082238,0.103370,0.135349,0.181828", \ "0.057204,0.067585,0.077985,0.096071,0.125183,0.168923,0.231621", \ "0.052797,0.066119,0.079528,0.102919,0.140875,0.197992,0.279278"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); values ("0.082848,0.096797,0.112434,0.143456,0.205121,0.328012,0.573359", \ "0.086286,0.100350,0.116150,0.147462,0.209541,0.332853,0.578527", \ "0.104251,0.118140,0.133798,0.164979,0.227046,0.350640,0.596795", \ "0.136188,0.150099,0.165500,0.196452,0.258241,0.381645,0.627809", \ "0.173153,0.190191,0.208211,0.241760,0.303893,0.427001,0.672905", \ "0.212463,0.233232,0.254892,0.294259,0.364804,0.490274,0.735907", \ "0.255474,0.280488,0.306192,0.352288,0.432841,0.571708,0.819132"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); values ("0.006732,0.008419,0.010263,0.013800,0.020578,0.033758,0.059924", \ "0.006722,0.008183,0.009964,0.013549,0.020400,0.033665,0.059897", \ "0.013444,0.014615,0.015851,0.018079,0.022359,0.033591,0.059835", \ "0.024391,0.026072,0.027862,0.031020,0.036340,0.045141,0.063050", \ "0.039003,0.041619,0.044201,0.048585,0.055699,0.066965,0.084869", \ "0.057192,0.061061,0.064780,0.070840,0.080276,0.094516,0.116212", \ "0.078696,0.084057,0.089282,0.097544,0.109954,0.127894,0.154013"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); values ("0.042828,0.055077,0.069064,0.097055,0.152907,0.264448,0.487290", \ "0.042842,0.055082,0.069068,0.097052,0.152909,0.264443,0.487289", \ "0.042857,0.055100,0.069073,0.097054,0.152902,0.264450,0.487292", \ "0.045142,0.056227,0.069456,0.097079,0.152893,0.264438,0.487289", \ "0.056535,0.067450,0.079573,0.102982,0.153970,0.264407,0.487292", \ "0.071599,0.083107,0.095822,0.120365,0.166796,0.266829,0.487287", \ "0.091536,0.103591,0.116751,0.142107,0.190460,0.282794,0.488308"); } } timing () { related_pin : "A"; when : "B1 & !B2"; sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); values ("0.009865,0.011816,0.013968,0.018147,0.026187,0.041649,0.071689", \ "0.015588,0.017421,0.019483,0.023555,0.031497,0.046895,0.076907", \ "0.030379,0.033512,0.036625,0.042027,0.050888,0.065936,0.095742", \ "0.044595,0.049811,0.054918,0.063720,0.077985,0.099919,0.132589", \ "0.054943,0.062440,0.069986,0.082993,0.103978,0.135801,0.182171", \ "0.058983,0.069150,0.079368,0.097229,0.126090,0.169609,0.232125", \ "0.055306,0.068344,0.081573,0.104608,0.142213,0.198995,0.279998"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); values ("0.102710,0.116798,0.132562,0.163803,0.225775,0.349009,0.594606", \ "0.106511,0.120677,0.136560,0.168020,0.230309,0.353895,0.599778", \ "0.124237,0.138324,0.154135,0.185547,0.247890,0.371794,0.618087", \ "0.156227,0.170160,0.185695,0.216913,0.279048,0.402686,0.649110", \ "0.197631,0.213624,0.230735,0.262660,0.324598,0.448055,0.694187", \ "0.242331,0.261236,0.281456,0.318826,0.387043,0.511277,0.757158", \ "0.291545,0.313875,0.337396,0.380730,0.457998,0.593799,0.840365"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); values ("0.007411,0.009147,0.011043,0.014675,0.021648,0.035199,0.061863", \ "0.007333,0.008876,0.010725,0.014415,0.021469,0.035102,0.061836", \ "0.014547,0.015618,0.016785,0.018948,0.023349,0.035014,0.061776", \ "0.027437,0.028712,0.030216,0.033043,0.038077,0.046692,0.064957", \ "0.046059,0.047674,0.049470,0.052943,0.059158,0.069678,0.087020", \ "0.069201,0.071440,0.073890,0.078262,0.085921,0.098629,0.119146", \ "0.095597,0.098766,0.102175,0.108072,0.117878,0.133488,0.157834"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); values ("0.052561,0.065025,0.079234,0.107505,0.163802,0.275918,0.499360", \ "0.052547,0.065025,0.079231,0.107513,0.163799,0.275917,0.499336", \ "0.052573,0.065029,0.079234,0.107517,0.163800,0.275927,0.499350", \ "0.053029,0.065217,0.079293,0.107511,0.163788,0.275911,0.499337", \ "0.063095,0.074085,0.086082,0.110992,0.164046,0.275890,0.499357", \ "0.077256,0.089113,0.102197,0.127129,0.174416,0.277243,0.499352", \ "0.095840,0.108439,0.122153,0.148330,0.197379,0.291233,0.499861"); } } timing () { related_pin : "B1"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); values ("0.0167309,0.0201195,0.0239248,0.0314922,0.0465629,0.0766409,0.136750", \ "0.0212311,0.0247010,0.0285623,0.0361985,0.0513412,0.0814756,0.141617", \ "0.0345446,0.0396178,0.0445942,0.0531334,0.0682138,0.0983226,0.158466", \ "0.0451782,0.0535200,0.0616531,0.0754363,0.0974142,0.131035,0.190768", \ "0.0498432,0.0617900,0.0735653,0.0934747,0.125040,0.172529,0.242112", \ "0.0471820,0.0629970,0.0785963,0.105197,0.147369,0.210553,0.301845", \ "0.0362488,0.0560764,0.0756501,0.109229,0.162694,0.242920,0.358245"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); values ("0.0580983,0.0723881,0.0882158,0.119436,0.181258,0.304256,0.549662", \ "0.0589088,0.0734940,0.0897006,0.121542,0.184102,0.307756,0.553627", \ "0.0731130,0.0870438,0.102759,0.134191,0.196781,0.321000,0.567656", \ "0.105467,0.120803,0.135996,0.166044,0.227214,0.350337,0.596652", \ "0.142310,0.162319,0.182750,0.218512,0.279476,0.400388,0.644843", \ "0.183280,0.207900,0.233106,0.277554,0.352001,0.474427,0.715818", \ "0.229253,0.258263,0.287999,0.340844,0.429819,0.572650,0.812607"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); values ("0.00940441,0.0122908,0.0155737,0.0221439,0.0352769,0.0615347,0.114048", \ "0.00939276,0.0122911,0.0155746,0.0221430,0.0352752,0.0615356,0.114048", \ "0.0151741,0.0172686,0.0195165,0.0239683,0.0353709,0.0615342,0.114051", \ "0.0273747,0.0302661,0.0332016,0.0384330,0.0474405,0.0653741,0.114046", \ "0.0436968,0.0478019,0.0518375,0.0586887,0.0699766,0.0884189,0.123018", \ "0.0639221,0.0694214,0.0748454,0.0837650,0.0980207,0.120400,0.155955", \ "0.0879889,0.0950365,0.102051,0.113370,0.131171,0.158351,0.199786"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); values ("0.0427135,0.0550514,0.0690705,0.0970508,0.152914,0.264444,0.487294", \ "0.0426154,0.0550159,0.0690465,0.0970538,0.152898,0.264441,0.487298", \ "0.0416636,0.0546638,0.0689155,0.0970334,0.152915,0.264446,0.487289", \ "0.0528653,0.0608909,0.0718518,0.0968891,0.152884,0.264429,0.487292", \ "0.0711058,0.0813452,0.0921423,0.111289,0.156012,0.264396,0.487291", \ "0.0919570,0.104380,0.117262,0.140107,0.179540,0.268920,0.487295", \ "0.115496,0.130103,0.145324,0.172068,0.217695,0.296225,0.488416"); } } timing () { related_pin : "B2"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); values ("0.0194559,0.0228257,0.0266193,0.0341736,0.0492347,0.0793107,0.139424", \ "0.0245487,0.0279976,0.0318456,0.0394670,0.0545940,0.0847202,0.144862", \ "0.0378003,0.0420602,0.0465264,0.0547545,0.0700570,0.100326,0.160571", \ "0.0525194,0.0590908,0.0655633,0.0768593,0.0960546,0.128924,0.189487", \ "0.0631791,0.0733674,0.0831430,0.0995262,0.125622,0.166588,0.233716", \ "0.0671940,0.0814356,0.0952850,0.118359,0.154193,0.207176,0.287239", \ "0.0634309,0.0821309,0.100227,0.130809,0.178142,0.246788,0.344589"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); values ("0.0766443,0.0906281,0.106321,0.137467,0.199319,0.322478,0.567999", \ "0.0782320,0.0924887,0.108407,0.139868,0.202088,0.325567,0.571322", \ "0.0922470,0.106373,0.122180,0.153572,0.215911,0.339726,0.585889", \ "0.126287,0.139556,0.154834,0.185442,0.246867,0.369924,0.615747", \ "0.170160,0.187779,0.206082,0.239147,0.299045,0.420302,0.664582", \ "0.217988,0.239833,0.262540,0.303576,0.373767,0.494265,0.735885", \ "0.270509,0.296423,0.323450,0.372296,0.456300,0.593794,0.832771"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); values ("0.00939456,0.0122898,0.0155751,0.0221442,0.0352768,0.0615326,0.114051", \ "0.00940266,0.0122908,0.0155736,0.0221433,0.0352754,0.0615370,0.114053", \ "0.0118331,0.0144159,0.0172625,0.0229515,0.0353055,0.0615352,0.114052", \ "0.0191114,0.0216568,0.0244975,0.0300725,0.0411600,0.0635895,0.114051", \ "0.0313369,0.0343031,0.0373839,0.0430252,0.0536795,0.0751023,0.118993", \ "0.0476876,0.0514428,0.0552004,0.0616906,0.0728018,0.0935250,0.135708", \ "0.0674912,0.0722735,0.0770533,0.0850119,0.0978367,0.119233,0.160071"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); values ("0.0525664,0.0650263,0.0792284,0.107510,0.163800,0.275921,0.499343", \ "0.0525491,0.0650157,0.0792183,0.107507,0.163800,0.275922,0.499347", \ "0.0523251,0.0649312,0.0791955,0.107496,0.163787,0.275916,0.499342", \ "0.0562246,0.0667871,0.0795891,0.107279,0.163780,0.275900,0.499363", \ "0.0745552,0.0848189,0.0954918,0.116437,0.164945,0.275860,0.499365", \ "0.0953006,0.107836,0.120749,0.143757,0.184369,0.278475,0.499345", \ "0.117960,0.133080,0.148529,0.175693,0.221544,0.302370,0.499792"); } } internal_power () { related_pin : "A"; when : "!B1 & !B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); values ("2.422674,2.523814,2.589827,2.734737,2.875451,3.038070,3.185969", \ "2.294372,2.442794,2.514538,2.646653,2.801183,2.947600,3.102490", \ "2.433154,2.443415,2.450932,2.550823,2.693520,2.870198,3.034014", \ "2.842421,2.853334,2.862911,2.837801,2.820956,2.869405,3.023209", \ "3.427379,3.379935,3.376156,3.330779,3.250822,3.174851,3.118794", \ "4.272467,4.215985,4.154878,4.081937,3.942983,3.752475,3.517298", \ "5.487602,5.412409,5.335190,5.191884,4.952825,4.605141,4.223110"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); values ("11.994430,12.042990,12.064720,12.105480,12.156200,12.123590,12.088960", \ "11.769930,11.839570,11.879080,11.887160,12.010410,11.973780,12.092200", \ "11.602970,11.634330,11.672100,11.715390,11.727740,11.876600,11.934690", \ "11.553050,11.577020,11.584870,11.612510,11.648700,11.619850,11.852040", \ "11.630230,11.631440,11.626160,11.628190,11.567190,11.673090,11.709870", \ "11.458190,11.573240,11.670110,11.857810,11.758240,11.794360,11.776130", \ "11.860330,11.896580,11.925870,12.007220,12.284990,12.153470,12.023160"); } } internal_power () { related_pin : "A"; when : "!B1 & B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); values ("1.714304,1.906271,2.043771,2.245314,2.472987,2.681905,2.882989", \ "1.701594,1.840564,1.941273,2.139681,2.345515,2.574006,2.765619", \ "1.957100,1.987584,1.982841,2.091858,2.269691,2.467002,2.663129", \ "2.446714,2.452650,2.447941,2.445754,2.424436,2.465489,2.627538", \ "3.058774,3.022036,3.001304,2.943426,2.872159,2.797781,2.720864", \ "3.919454,3.855911,3.809182,3.696885,3.547953,3.356749,3.131238", \ "5.110713,5.020591,4.955914,4.802828,4.548452,4.203461,3.807957"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); values ("10.935360,10.981800,10.994060,11.014140,10.998620,11.012010,11.064650", \ "10.698730,10.755060,10.796160,10.840460,10.876760,10.908010,10.984500", \ "10.540520,10.580410,10.605050,10.607670,10.705040,10.800320,10.783970", \ "10.484930,10.498320,10.499300,10.553310,10.538290,10.620050,10.736760", \ "10.561100,10.577170,10.548520,10.542830,10.576580,10.506280,10.598940", \ "10.379050,10.512660,10.698810,10.719950,10.670660,10.670170,10.584700", \ "10.723560,10.774920,10.858870,10.985470,11.110310,10.990220,10.805650"); } } internal_power () { related_pin : "A"; when : "B1 & !B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); values ("2.014278,2.104381,2.215417,2.334123,2.524310,2.710136,2.897923", \ "1.945200,2.034430,2.111733,2.252647,2.426785,2.603533,2.781531", \ "2.013202,2.038829,2.062673,2.172072,2.305849,2.487304,2.670715", \ "2.502022,2.483215,2.482699,2.443178,2.434739,2.499591,2.638453", \ "3.100111,3.060736,3.024509,2.974986,2.897400,2.792388,2.736920", \ "3.982374,3.911375,3.836382,3.715142,3.558825,3.358758,3.128709", \ "5.199839,5.092567,4.994038,4.825498,4.552909,4.205853,3.811389"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); values ("13.274910,13.329770,13.349980,13.416980,13.434280,13.517140,13.622320", \ "13.088270,13.151520,13.213260,13.264430,13.307730,13.416740,13.542370", \ "12.894120,12.952590,13.003500,13.072050,13.180090,13.316710,13.345220", \ "12.824940,12.872190,12.891510,12.961940,13.011340,13.131260,13.303880", \ "12.890890,12.911880,12.929630,12.932790,12.919930,13.018420,13.161660", \ "12.807580,12.982680,13.165180,13.118810,13.129380,13.175880,13.277150", \ "13.065620,13.153390,13.238610,13.431080,13.533450,13.486450,13.414670"); } } internal_power () { related_pin : "B1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); values ("0.943640,0.921329,0.913992,0.912476,0.905148,0.925820,0.931113", \ "0.866844,0.881392,0.896743,0.930520,0.955714,0.996383,1.016696", \ "0.779144,0.794952,0.821127,0.872967,0.950982,1.016916,1.068137", \ "1.089014,1.054264,1.012177,0.956133,0.939858,1.003358,1.076319", \ "1.634807,1.545834,1.486020,1.373947,1.236053,1.098144,1.121574", \ "2.491981,2.380926,2.255312,2.082966,1.838024,1.558600,1.333914", \ "3.684826,3.542570,3.408262,3.165357,2.786529,2.325524,1.851115"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); values ("7.675055,7.740405,7.773900,7.796532,7.881357,7.800448,7.855179", \ "7.333505,7.435425,7.518767,7.636555,7.732320,7.747355,7.836668", \ "7.144893,7.196980,7.223404,7.273119,7.434376,7.578130,7.730006", \ "7.436383,7.419829,7.399147,7.340466,7.386358,7.470109,7.501835", \ "8.018071,8.027633,7.945081,7.817861,7.753287,7.605519,7.519882", \ "8.612072,8.662190,8.724855,8.751961,8.478702,8.211249,7.860000", \ "9.835272,9.807559,9.781304,9.745909,9.605470,9.132959,8.628445"); } } internal_power () { related_pin : "B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); values ("0.951159,0.926360,0.914703,0.909496,0.909700,0.917998,0.924366", \ "0.868426,0.888546,0.908122,0.935303,0.960753,0.994308,1.014279", \ "0.683901,0.731237,0.779482,0.845148,0.928249,1.005684,1.063887", \ "0.776774,0.790176,0.797154,0.795361,0.825783,0.937480,1.028017", \ "1.013652,1.000407,0.989011,0.976265,0.949647,0.901529,1.005884", \ "1.514522,1.460501,1.414762,1.343657,1.260458,1.158736,1.052179", \ "2.316163,2.221765,2.141867,2.013488,1.815019,1.597286,1.365558"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); values ("9.874678,9.902649,9.950958,9.959248,10.021600,10.098190,10.067630", \ "9.621140,9.687686,9.738160,9.771433,9.911483,10.014290,10.001530", \ "9.437559,9.497571,9.552034,9.623360,9.736641,9.786315,9.934839", \ "9.671243,9.679340,9.689256,9.725776,9.654806,9.745775,9.883247", \ "10.270860,10.221960,10.208230,10.128040,10.033630,10.040600,9.972095", \ "10.855300,10.955740,11.074460,11.010100,10.766390,10.529830,10.342740", \ "11.945790,11.986910,12.006490,12.091320,11.970400,11.585900,11.130400"); } } } } /****************************************************************************************** Module : AOI22_X1 Cell Description : Combinational cell (AOI22_X1) with drive strength X1 *******************************************************************************************/ cell (AOI22_X1) { drive_strength : 1; area : 1.330000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 19.165521; leakage_power () { when : "!A1 & !A2 & !B1 & !B2"; value : 6.353210; } leakage_power () { when : "!A1 & !A2 & !B1 & B2"; value : 20.596427; } leakage_power () { when : "!A1 & !A2 & B1 & !B2"; value : 10.894078; } leakage_power () { when : "!A1 & !A2 & B1 & B2"; value : 14.526079; } leakage_power () { when : "!A1 & A2 & !B1 & !B2"; value : 20.596418; } leakage_power () { when : "!A1 & A2 & !B1 & B2"; value : 34.832975; } leakage_power () { when : "!A1 & A2 & B1 & !B2"; value : 25.135567; } leakage_power () { when : "!A1 & A2 & B1 & B2"; value : 19.426350; } leakage_power () { when : "A1 & !A2 & !B1 & !B2"; value : 10.894173; } leakage_power () { when : "A1 & !A2 & !B1 & B2"; value : 25.135576; } leakage_power () { when : "A1 & !A2 & B1 & !B2"; value : 15.435602; } leakage_power () { when : "A1 & !A2 & B1 & B2"; value : 19.424792; } leakage_power () { when : "A1 & A2 & !B1 & !B2"; value : 17.258925; } leakage_power () { when : "A1 & A2 & !B1 & B2"; value : 22.324962; } leakage_power () { when : "A1 & A2 & B1 & !B2"; value : 22.322957; } leakage_power () { when : "A1 & A2 & B1 & B2"; value : 21.490244; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.644323; fall_capacitance : 1.455024; rise_capacitance : 1.644323; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.627676; fall_capacitance : 1.394153; rise_capacitance : 1.627676; } pin (B1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.548200; fall_capacitance : 1.488907; rise_capacitance : 1.548200; } pin (B2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.579840; fall_capacitance : 1.459048; rise_capacitance : 1.579840; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 24.574490; function : "!((A1 & A2) | (B1 & B2))"; timing () { related_pin : "A1"; when : "A2 & !B1 & !B2"; sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.017927,0.019880,0.023583,0.030952,0.045639,0.074936,0.133487", \ "0.022365,0.024360,0.028132,0.035576,0.050327,0.079685,0.138273", \ "0.036162,0.039008,0.043926,0.052354,0.067083,0.096415,0.155012", \ "0.047981,0.052616,0.060590,0.074169,0.095901,0.129116,0.187262", \ "0.054430,0.061080,0.072361,0.091914,0.123012,0.169866,0.238565", \ "0.054252,0.063012,0.077918,0.103696,0.144968,0.207177,0.297263", \ "0.046592,0.057494,0.076238,0.108445,0.160448,0.239069,0.352675"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.046565,0.052449,0.063479,0.085227,0.128277,0.213915,0.384603", \ "0.048947,0.054943,0.066210,0.088370,0.131931,0.218006,0.389072", \ "0.065084,0.070733,0.081607,0.103397,0.146876,0.233290,0.404865", \ "0.096226,0.103440,0.116099,0.137909,0.180057,0.265485,0.436642", \ "0.130588,0.140232,0.157004,0.186575,0.235255,0.319060,0.488638", \ "0.168424,0.180439,0.201527,0.238477,0.299976,0.397055,0.564247", \ "0.210392,0.224699,0.249888,0.294292,0.368564,0.486377,0.666808"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.010479,0.012151,0.015346,0.021737,0.034516,0.060086,0.111194", \ "0.010472,0.012150,0.015345,0.021736,0.034519,0.060085,0.111201", \ "0.016148,0.017357,0.019521,0.023758,0.034689,0.060093,0.111210", \ "0.028777,0.030464,0.033365,0.038428,0.047197,0.064325,0.111185", \ "0.045426,0.047747,0.051957,0.058680,0.069775,0.087762,0.120982", \ "0.065783,0.068876,0.074478,0.083466,0.097583,0.119618,0.154438", \ "0.089828,0.093814,0.100756,0.112265,0.130147,0.157142,0.198003"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.033726,0.038972,0.048892,0.068725,0.108350,0.187569,0.345689", \ "0.033670,0.038925,0.048872,0.068743,0.108349,0.187584,0.345701", \ "0.033562,0.038468,0.048668,0.068701,0.108334,0.187570,0.345727", \ "0.047183,0.050825,0.056881,0.071720,0.108179,0.187521,0.345698", \ "0.065457,0.070108,0.078555,0.092951,0.119493,0.187836,0.345751", \ "0.086483,0.092242,0.102682,0.120563,0.150462,0.204588,0.345603", \ "0.110247,0.117126,0.129491,0.151069,0.186720,0.244420,0.359889"); } } timing () { related_pin : "A1"; when : "A2 & !B1 & B2"; sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.017929,0.019880,0.023584,0.030950,0.045626,0.074918,0.133443", \ "0.022425,0.024420,0.028186,0.035627,0.050376,0.079719,0.138285", \ "0.036424,0.039249,0.044144,0.052538,0.067251,0.096574,0.155142", \ "0.048392,0.053020,0.060938,0.074510,0.096199,0.129323,0.187459", \ "0.054517,0.061218,0.072560,0.092158,0.123314,0.170124,0.238753", \ "0.053403,0.062309,0.077435,0.103475,0.145031,0.207327,0.297433", \ "0.044044,0.055222,0.074380,0.107144,0.159763,0.238869,0.352710"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.061112,0.069344,0.084807,0.115253,0.175491,0.295171,0.533971", \ "0.062201,0.070599,0.086412,0.117475,0.178449,0.298796,0.537888", \ "0.076370,0.084300,0.099634,0.130258,0.191239,0.312135,0.552012", \ "0.109962,0.118525,0.133316,0.162200,0.221716,0.341524,0.581012", \ "0.148884,0.160140,0.179808,0.214867,0.274137,0.391648,0.629234", \ "0.191839,0.205735,0.230199,0.273481,0.346328,0.465761,0.700419", \ "0.239649,0.256048,0.285052,0.336583,0.423689,0.563776,0.797597"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.010478,0.012153,0.015347,0.021735,0.034521,0.060077,0.111187", \ "0.010472,0.012149,0.015346,0.021736,0.034521,0.060079,0.111198", \ "0.016026,0.017244,0.019425,0.023687,0.034675,0.060073,0.111189", \ "0.028525,0.030195,0.033134,0.038225,0.047030,0.064253,0.111186", \ "0.045292,0.047606,0.051791,0.058502,0.069580,0.087586,0.120895", \ "0.066024,0.069087,0.074596,0.083527,0.097513,0.119484,0.154317", \ "0.090666,0.094633,0.101539,0.112893,0.130495,0.157249,0.197961"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.047764,0.055006,0.068816,0.096125,0.150610,0.259292,0.475966", \ "0.047564,0.054890,0.068766,0.096153,0.150612,0.259267,0.475886", \ "0.046332,0.054051,0.068356,0.096003,0.150626,0.259257,0.475898", \ "0.055525,0.060368,0.071098,0.095597,0.150440,0.259268,0.475888", \ "0.074937,0.080807,0.091633,0.110353,0.153757,0.259181,0.475900", \ "0.096691,0.103737,0.116539,0.139085,0.177658,0.264066,0.475891", \ "0.121129,0.129386,0.144318,0.170760,0.215504,0.291899,0.477475"); } } timing () { related_pin : "A1"; when : "A2 & B1 & !B2"; sdf_cond : "(A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.018327,0.020280,0.023985,0.031360,0.046056,0.075381,0.133978", \ "0.022824,0.024821,0.028588,0.036035,0.050800,0.080183,0.138823", \ "0.037032,0.039809,0.044649,0.052971,0.067675,0.097041,0.155684", \ "0.049452,0.054018,0.061864,0.075269,0.096779,0.129794,0.188005", \ "0.056237,0.062818,0.074023,0.093359,0.124232,0.170839,0.239319", \ "0.055942,0.064650,0.079595,0.105223,0.146454,0.208416,0.298270", \ "0.047553,0.058472,0.077425,0.109724,0.161778,0.240412,0.353886"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.081388,0.089596,0.105154,0.135701,0.196288,0.316193,0.555151", \ "0.083200,0.091559,0.107302,0.138325,0.199433,0.319901,0.559278", \ "0.096399,0.104559,0.120200,0.151099,0.212300,0.333403,0.573452", \ "0.130243,0.137672,0.152293,0.182245,0.242360,0.362675,0.602457", \ "0.176025,0.185999,0.203720,0.235974,0.294116,0.412424,0.650535", \ "0.225507,0.237876,0.259951,0.299851,0.368599,0.486132,0.721523", \ "0.279512,0.294178,0.320486,0.368147,0.450436,0.585409,0.818354"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.011913,0.013717,0.017159,0.023981,0.037516,0.063906,0.115269", \ "0.011913,0.013715,0.017144,0.023977,0.037515,0.063905,0.115269", \ "0.017912,0.019103,0.021176,0.025870,0.037639,0.063906,0.115269", \ "0.033556,0.034865,0.037325,0.041913,0.050372,0.068017,0.115264", \ "0.055817,0.057223,0.060081,0.065337,0.074998,0.091912,0.124903", \ "0.082122,0.083859,0.087374,0.093823,0.105407,0.125276,0.158606", \ "0.112540,0.114679,0.118924,0.126926,0.141038,0.164774,0.203259"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.057820,0.065100,0.079075,0.106631,0.161634,0.270768,0.488049", \ "0.057786,0.065081,0.079008,0.106638,0.161635,0.270766,0.488052", \ "0.057418,0.064848,0.078897,0.106615,0.161564,0.270825,0.488055", \ "0.059813,0.066129,0.078857,0.106007,0.161401,0.270813,0.488068", \ "0.078597,0.084512,0.095138,0.115300,0.162479,0.270668,0.488052", \ "0.100709,0.107717,0.120546,0.142958,0.182169,0.273397,0.488059", \ "0.125513,0.133818,0.148875,0.175070,0.219759,0.297893,0.488714"); } } timing () { related_pin : "A2"; when : "A1 & !B1 & !B2"; sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.020657,0.022600,0.026295,0.033647,0.048323,0.077610,0.136168", \ "0.025701,0.027687,0.031438,0.038858,0.053592,0.082936,0.141526", \ "0.039167,0.041601,0.045971,0.054035,0.068945,0.098435,0.157121", \ "0.054694,0.058376,0.064741,0.075838,0.094710,0.126945,0.185976", \ "0.066802,0.072406,0.081930,0.098076,0.123813,0.164157,0.230058", \ "0.072856,0.080765,0.093856,0.116515,0.151859,0.204212,0.283053", \ "0.071825,0.082185,0.099552,0.128906,0.175371,0.243098,0.339650"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.059451,0.065235,0.076183,0.097926,0.141041,0.226918,0.397742", \ "0.062365,0.068237,0.079348,0.101270,0.144660,0.230685,0.401758", \ "0.078331,0.084126,0.095117,0.116967,0.160374,0.246636,0.417923", \ "0.112700,0.119036,0.130117,0.151257,0.193831,0.279339,0.450326", \ "0.153139,0.161604,0.176642,0.203527,0.249306,0.333063,0.502710", \ "0.196943,0.207593,0.226554,0.260452,0.318151,0.411337,0.578562", \ "0.244715,0.257485,0.280263,0.321201,0.391063,0.504141,0.681168"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.010476,0.012148,0.015346,0.021743,0.034526,0.060093,0.111213", \ "0.010480,0.012150,0.015348,0.021745,0.034523,0.060087,0.111207", \ "0.012872,0.014363,0.017129,0.022621,0.034580,0.060086,0.111202", \ "0.020289,0.021761,0.024504,0.029913,0.040624,0.062321,0.111186", \ "0.032746,0.034458,0.037492,0.042997,0.053340,0.074080,0.116515", \ "0.049288,0.051437,0.055308,0.061684,0.072541,0.092671,0.133542", \ "0.069171,0.071903,0.076722,0.084808,0.097473,0.118447,0.158131"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.040705,0.045996,0.056051,0.076124,0.116085,0.195841,0.354326", \ "0.040696,0.045998,0.056058,0.076094,0.116080,0.195710,0.354310", \ "0.040492,0.045890,0.055983,0.076070,0.116029,0.195763,0.354296", \ "0.048555,0.052245,0.060001,0.077105,0.115928,0.195658,0.354304", \ "0.067306,0.071917,0.080229,0.094837,0.123823,0.195489,0.354305", \ "0.088028,0.093872,0.104339,0.122439,0.152566,0.209308,0.354227", \ "0.110648,0.117875,0.130619,0.152729,0.188754,0.246775,0.366180"); } } timing () { related_pin : "A2"; when : "A1 & !B1 & B2"; sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.020659,0.022602,0.026292,0.033652,0.048312,0.077596,0.136124", \ "0.025761,0.027744,0.031492,0.038909,0.053637,0.082973,0.141538", \ "0.039388,0.041814,0.046180,0.054220,0.069119,0.098606,0.157261", \ "0.055044,0.058732,0.065101,0.076163,0.094991,0.127186,0.186187", \ "0.067167,0.072770,0.082320,0.098449,0.124173,0.164480,0.230311", \ "0.072887,0.080839,0.094029,0.116756,0.152166,0.204511,0.283323", \ "0.070882,0.081397,0.099016,0.128630,0.175386,0.243288,0.339879"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.079576,0.087658,0.103002,0.133363,0.193623,0.313407,0.552249", \ "0.081462,0.089661,0.105185,0.135848,0.196578,0.316646,0.555730", \ "0.095534,0.103643,0.119058,0.149670,0.210453,0.330922,0.570380", \ "0.129752,0.137224,0.151749,0.181591,0.241367,0.361156,0.600234", \ "0.175630,0.185603,0.203322,0.235490,0.293607,0.411544,0.649095", \ "0.225308,0.237686,0.259820,0.299642,0.368294,0.485714,0.720625", \ "0.279553,0.294258,0.320604,0.368272,0.450437,0.585206,0.817866"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.010477,0.012150,0.015351,0.021736,0.034521,0.060082,0.111196", \ "0.010485,0.012150,0.015347,0.021738,0.034522,0.060086,0.111195", \ "0.012822,0.014314,0.017080,0.022589,0.034572,0.060076,0.111195", \ "0.020114,0.021602,0.024357,0.029790,0.040552,0.062279,0.111192", \ "0.032524,0.034236,0.037272,0.042798,0.053180,0.073968,0.116466", \ "0.049134,0.051282,0.055137,0.061503,0.072354,0.092530,0.133451", \ "0.069319,0.072044,0.076830,0.084838,0.097403,0.118322,0.158023"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.057808,0.065096,0.079043,0.106671,0.161667,0.270795,0.488056", \ "0.057752,0.065065,0.078995,0.106622,0.161652,0.270788,0.488051", \ "0.057377,0.064820,0.078871,0.106544,0.161546,0.270761,0.488047", \ "0.060009,0.066310,0.078953,0.106018,0.161408,0.270750,0.488044", \ "0.078464,0.084390,0.095012,0.115433,0.162519,0.270715,0.488051", \ "0.100098,0.107226,0.120028,0.142737,0.182239,0.273486,0.488049", \ "0.123796,0.132348,0.147550,0.174363,0.219422,0.297993,0.488741"); } } timing () { related_pin : "A2"; when : "A1 & B1 & !B2"; sdf_cond : "(A1 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.021055,0.022999,0.026693,0.034057,0.048740,0.078064,0.136662", \ "0.026163,0.028147,0.031897,0.039322,0.054067,0.083441,0.142073", \ "0.039891,0.042297,0.046639,0.054639,0.069552,0.099062,0.157808", \ "0.055851,0.059481,0.065747,0.076752,0.095506,0.127667,0.186733", \ "0.068485,0.074026,0.083397,0.099338,0.124899,0.165079,0.230887", \ "0.074888,0.082735,0.095711,0.118102,0.153198,0.205301,0.284009", \ "0.073758,0.084041,0.101380,0.130610,0.176911,0.244403,0.340736"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.099740,0.107852,0.123273,0.153828,0.214440,0.334518,0.573628", \ "0.101999,0.110204,0.125768,0.156544,0.217340,0.337756,0.577107", \ "0.115838,0.124033,0.139581,0.170371,0.231356,0.352099,0.591792", \ "0.148679,0.156595,0.171577,0.201771,0.262063,0.382239,0.621640", \ "0.199803,0.208870,0.225210,0.255072,0.313777,0.432436,0.670387", \ "0.255548,0.266812,0.287161,0.324310,0.389568,0.506155,0.741741", \ "0.315618,0.329040,0.353320,0.397884,0.475954,0.606227,0.838693"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.011924,0.013730,0.017149,0.023985,0.037516,0.063904,0.115268", \ "0.011913,0.013716,0.017146,0.023978,0.037509,0.063907,0.115267", \ "0.014488,0.016049,0.018870,0.024807,0.037554,0.063901,0.115272", \ "0.023034,0.024468,0.027199,0.032710,0.043783,0.066081,0.115267", \ "0.038689,0.039935,0.042389,0.047347,0.057450,0.078115,0.120533", \ "0.059939,0.061162,0.063729,0.068598,0.078119,0.097374,0.137698", \ "0.084796,0.086244,0.089192,0.094863,0.105060,0.124136,0.162734"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.067722,0.075114,0.089267,0.117176,0.172630,0.282351,0.500229", \ "0.067714,0.075111,0.089269,0.117171,0.172463,0.282311,0.500239", \ "0.067625,0.075058,0.089253,0.117104,0.172456,0.282304,0.500240", \ "0.067533,0.074587,0.088418,0.116904,0.172407,0.282322,0.500237", \ "0.082980,0.088625,0.099076,0.121999,0.172429,0.282281,0.500236", \ "0.105235,0.112155,0.124703,0.147145,0.188051,0.283513,0.500232", \ "0.130044,0.138278,0.153154,0.179372,0.223943,0.304676,0.500400"); } } timing () { related_pin : "B1"; when : "!A1 & !A2 & B2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.027221,0.029504,0.033735,0.041905,0.057611,0.088021,0.147599", \ "0.031662,0.033939,0.038178,0.046352,0.062064,0.092485,0.152071", \ "0.048792,0.051054,0.055118,0.063038,0.078613,0.108984,0.168562", \ "0.070972,0.074458,0.080567,0.091739,0.110582,0.141506,0.200709", \ "0.089565,0.094457,0.102817,0.118514,0.145005,0.187182,0.252020", \ "0.102338,0.108786,0.119983,0.140411,0.175291,0.230875,0.315119", \ "0.108078,0.116135,0.130327,0.156049,0.199926,0.269875,0.375659"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.082546,0.088885,0.100871,0.124609,0.171659,0.265366,0.452278", \ "0.086387,0.092885,0.105068,0.129147,0.176615,0.270694,0.457853", \ "0.103971,0.110362,0.122476,0.146525,0.194226,0.288791,0.476482", \ "0.137778,0.144221,0.155966,0.179786,0.227195,0.321619,0.509571", \ "0.178829,0.187054,0.201718,0.228747,0.277477,0.371579,0.559411", \ "0.221691,0.232128,0.250706,0.284151,0.342526,0.442118,0.629698", \ "0.266551,0.279563,0.302468,0.343219,0.412827,0.528412,0.722323"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.018428,0.020121,0.023316,0.029691,0.042414,0.067903,0.119057", \ "0.018288,0.020003,0.023230,0.029639,0.042389,0.067905,0.119070", \ "0.019561,0.020873,0.023556,0.029411,0.042227,0.067881,0.119068", \ "0.031418,0.033002,0.035840,0.040819,0.049624,0.069528,0.119033", \ "0.047191,0.049423,0.053511,0.060171,0.071301,0.089592,0.125580", \ "0.066421,0.069437,0.074925,0.083945,0.098294,0.120704,0.156117", \ "0.088859,0.092808,0.099825,0.111480,0.129792,0.157487,0.199097"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.040775,0.046330,0.056826,0.077896,0.119769,0.203298,0.369875", \ "0.040798,0.046342,0.056841,0.077882,0.119769,0.203298,0.369847", \ "0.040848,0.046357,0.056850,0.077858,0.119751,0.203277,0.369831", \ "0.042887,0.047766,0.057469,0.077978,0.119737,0.203280,0.369827", \ "0.055857,0.060563,0.069477,0.086070,0.122145,0.203231,0.369890", \ "0.073491,0.078581,0.088142,0.106127,0.139698,0.208704,0.369824", \ "0.096453,0.101861,0.112108,0.131050,0.166396,0.231832,0.374342"); } } timing () { related_pin : "B1"; when : "!A1 & A2 & B2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.022171,0.024556,0.028968,0.037414,0.053489,0.084268,0.144106", \ "0.026791,0.029134,0.033498,0.041892,0.057921,0.088671,0.148523", \ "0.044099,0.046580,0.050988,0.058936,0.074559,0.105113,0.164892", \ "0.064031,0.067834,0.074509,0.086460,0.106205,0.137791,0.197039", \ "0.079975,0.085361,0.094445,0.111209,0.138998,0.182523,0.248405", \ "0.089725,0.096767,0.108917,0.130680,0.167397,0.224730,0.310578", \ "0.092076,0.100858,0.116204,0.143645,0.189731,0.262041,0.369908"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.094095,0.102177,0.117459,0.147710,0.207769,0.327321,0.566041", \ "0.097495,0.105734,0.121300,0.151978,0.212562,0.332609,0.571666", \ "0.114578,0.122694,0.138139,0.168783,0.229637,0.350288,0.589893", \ "0.147157,0.155248,0.170122,0.200438,0.260939,0.381413,0.621290", \ "0.186955,0.196605,0.213987,0.246500,0.306975,0.427030,0.666624", \ "0.228803,0.240467,0.261536,0.299816,0.368312,0.490438,0.729659", \ "0.273527,0.287582,0.312571,0.357525,0.436016,0.571512,0.812548"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.016981,0.018807,0.022184,0.028749,0.041560,0.066952,0.117831", \ "0.016581,0.018451,0.021888,0.028539,0.041434,0.066892,0.117834", \ "0.019570,0.020694,0.023043,0.028456,0.040977,0.066763,0.117801", \ "0.032015,0.033593,0.036354,0.041215,0.049833,0.068834,0.117719", \ "0.048261,0.050436,0.054476,0.060995,0.071838,0.089846,0.124910", \ "0.067943,0.070939,0.076374,0.085184,0.099183,0.121197,0.156201", \ "0.090882,0.094789,0.101769,0.113218,0.131068,0.158251,0.199376"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.048040,0.055163,0.068871,0.096115,0.150627,0.259255,0.475928", \ "0.048065,0.055179,0.068838,0.096119,0.150576,0.259256,0.475929", \ "0.048124,0.055215,0.068851,0.096124,0.150587,0.259263,0.475891", \ "0.049449,0.056032,0.069180,0.096133,0.150544,0.259281,0.475897", \ "0.060492,0.066768,0.078502,0.101415,0.151385,0.259227,0.475887", \ "0.075503,0.082151,0.094857,0.118740,0.163909,0.261419,0.475886", \ "0.095621,0.102551,0.115865,0.140660,0.187758,0.277431,0.477079"); } } timing () { related_pin : "B1"; when : "A1 & !A2 & B2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.022685,0.025061,0.029461,0.037895,0.053967,0.084764,0.144667", \ "0.027288,0.029626,0.033986,0.042372,0.058399,0.089185,0.149080", \ "0.044635,0.047096,0.051474,0.059391,0.075032,0.105619,0.165454", \ "0.064966,0.068741,0.075321,0.087154,0.106786,0.138308,0.197608", \ "0.081432,0.086724,0.095695,0.112316,0.139886,0.183244,0.248956", \ "0.091793,0.098717,0.110781,0.132336,0.168722,0.225835,0.311397", \ "0.094935,0.103565,0.118809,0.145946,0.191624,0.263543,0.371062"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.114088,0.122237,0.137657,0.168124,0.228547,0.348372,0.587255", \ "0.118065,0.126282,0.141864,0.172628,0.233465,0.353694,0.592899", \ "0.134968,0.143183,0.158738,0.189624,0.250696,0.371477,0.611328", \ "0.167320,0.175439,0.190556,0.221132,0.281912,0.402719,0.642763", \ "0.210703,0.219767,0.236370,0.267326,0.327750,0.448188,0.688059", \ "0.257499,0.268184,0.287753,0.324329,0.390593,0.511503,0.751036", \ "0.308150,0.320784,0.343600,0.385746,0.461091,0.593626,0.833878"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.019633,0.021487,0.024989,0.031804,0.045113,0.071002,0.121973", \ "0.019188,0.021099,0.024672,0.031584,0.044986,0.070955,0.121969", \ "0.021802,0.022986,0.025576,0.031408,0.044516,0.070828,0.121956", \ "0.036734,0.038030,0.040438,0.044917,0.053242,0.072823,0.121890", \ "0.057313,0.058875,0.062001,0.067396,0.077121,0.094115,0.128998", \ "0.081624,0.083658,0.087607,0.094635,0.106651,0.126826,0.160434", \ "0.109186,0.111871,0.116881,0.125815,0.140895,0.165477,0.204578"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.057858,0.065118,0.079028,0.106694,0.161668,0.270770,0.488057", \ "0.057857,0.065119,0.078996,0.106614,0.161673,0.270767,0.488056", \ "0.057874,0.065126,0.078997,0.106609,0.161599,0.270764,0.488041", \ "0.058181,0.065326,0.079076,0.106606,0.161571,0.270814,0.488048", \ "0.067095,0.073238,0.085083,0.109573,0.161651,0.270770,0.488052", \ "0.081394,0.088251,0.101323,0.125654,0.171636,0.271935,0.488047", \ "0.100289,0.107533,0.121371,0.146835,0.194657,0.285807,0.488672"); } } timing () { related_pin : "B2"; when : "!A1 & !A2 & B1"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.029888,0.032166,0.036399,0.044562,0.060268,0.090687,0.150270", \ "0.034912,0.037189,0.041421,0.049587,0.065298,0.095714,0.155310", \ "0.050167,0.052493,0.056723,0.064835,0.080557,0.111023,0.170671", \ "0.072372,0.075379,0.080712,0.090606,0.108277,0.139669,0.199518", \ "0.095357,0.099485,0.106583,0.119532,0.142061,0.179821,0.244010", \ "0.115045,0.120646,0.130193,0.147484,0.176953,0.224123,0.299493", \ "0.128997,0.136213,0.148739,0.171185,0.209235,0.268787,0.359384"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.096342,0.102642,0.114610,0.138370,0.185584,0.279481,0.466568", \ "0.100778,0.107174,0.119213,0.143128,0.190447,0.284469,0.471652", \ "0.119187,0.125543,0.137637,0.161564,0.209067,0.303314,0.490715", \ "0.153365,0.159709,0.171465,0.195282,0.242708,0.336995,0.524531", \ "0.198571,0.206160,0.220033,0.245607,0.293281,0.387420,0.574983", \ "0.246803,0.256241,0.273277,0.304696,0.360678,0.458190,0.645661", \ "0.297902,0.309519,0.330285,0.368026,0.434206,0.546430,0.738510"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.018363,0.020061,0.023277,0.029662,0.042402,0.067917,0.119047", \ "0.018313,0.020018,0.023237,0.029634,0.042387,0.067897,0.119049", \ "0.018782,0.020350,0.023384,0.029577,0.042322,0.067900,0.119049", \ "0.024429,0.026042,0.029044,0.034845,0.045940,0.068921,0.119053", \ "0.034626,0.036410,0.039677,0.045685,0.057009,0.078800,0.122768", \ "0.049126,0.051339,0.055322,0.062181,0.073995,0.095847,0.138227", \ "0.067085,0.069877,0.074817,0.083374,0.096952,0.119621,0.161600"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.048255,0.053877,0.064548,0.085783,0.128087,0.212026,0.379001", \ "0.048257,0.053911,0.064537,0.085851,0.128043,0.211966,0.379032", \ "0.048284,0.053894,0.064548,0.085777,0.128038,0.211967,0.378994", \ "0.048869,0.054245,0.064692,0.085791,0.127989,0.211984,0.378972", \ "0.060008,0.064834,0.073675,0.091235,0.129228,0.211898,0.379042", \ "0.076768,0.082038,0.091848,0.110266,0.144133,0.215887,0.378978", \ "0.098122,0.103865,0.114546,0.134297,0.170412,0.236641,0.382502"); } } timing () { related_pin : "B2"; when : "!A1 & A2 & B1"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.024926,0.027296,0.031689,0.040110,0.056161,0.086932,0.146775", \ "0.030008,0.032365,0.036737,0.045131,0.061156,0.091907,0.151750", \ "0.045278,0.047729,0.052166,0.060450,0.076376,0.107115,0.167002", \ "0.066339,0.069566,0.075275,0.085631,0.103846,0.135759,0.195793", \ "0.087190,0.091654,0.099458,0.113361,0.136802,0.175386,0.240238", \ "0.103963,0.110098,0.120540,0.139228,0.170249,0.218779,0.295177", \ "0.114318,0.122322,0.136008,0.160250,0.200527,0.262225,0.354368"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.111963,0.119994,0.135284,0.165530,0.225785,0.345540,0.584304", \ "0.116129,0.124238,0.139621,0.170054,0.230480,0.350343,0.589282", \ "0.134165,0.142282,0.157691,0.188217,0.248821,0.368988,0.608182", \ "0.167071,0.175096,0.190083,0.220439,0.280959,0.401158,0.640527", \ "0.210377,0.219434,0.236179,0.267018,0.327314,0.447386,0.686674", \ "0.257080,0.267782,0.287343,0.323860,0.390152,0.510998,0.750152", \ "0.307540,0.320221,0.343095,0.385332,0.460706,0.593200,0.833280"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.016806,0.018647,0.022047,0.028646,0.041491,0.066920,0.117809", \ "0.016595,0.018449,0.021868,0.028506,0.041403,0.066871,0.117817", \ "0.017769,0.019300,0.022284,0.028452,0.041194,0.066807,0.117812", \ "0.024134,0.025700,0.028626,0.034317,0.045364,0.067975,0.117777", \ "0.035144,0.036843,0.039976,0.045762,0.056774,0.078245,0.121786", \ "0.050233,0.052362,0.056240,0.062877,0.074317,0.095587,0.137565", \ "0.068654,0.071413,0.076264,0.084608,0.097775,0.119856,0.161169"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.057852,0.065110,0.079055,0.106595,0.161647,0.270806,0.488057", \ "0.057865,0.065118,0.079016,0.106635,0.161641,0.270775,0.488055", \ "0.057868,0.065121,0.079008,0.106646,0.161601,0.270773,0.488048", \ "0.058183,0.065338,0.079057,0.106584,0.161551,0.270792,0.488050", \ "0.066980,0.073236,0.085146,0.109665,0.161687,0.270753,0.488051", \ "0.081160,0.088039,0.101037,0.125446,0.171707,0.271984,0.488046", \ "0.099582,0.106919,0.120752,0.146513,0.194476,0.285901,0.488705"); } } timing () { related_pin : "B2"; when : "A1 & !A2 & B1"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.025435,0.027798,0.032179,0.040591,0.056640,0.087424,0.147332", \ "0.030515,0.032864,0.037226,0.045611,0.061637,0.092406,0.152321", \ "0.045819,0.048258,0.052665,0.060918,0.076851,0.107625,0.167564", \ "0.067085,0.070269,0.075911,0.086212,0.104372,0.136255,0.196368", \ "0.088308,0.092729,0.100390,0.114195,0.137470,0.175952,0.240788", \ "0.105563,0.111624,0.121906,0.140409,0.171233,0.219558,0.295865", \ "0.116560,0.124449,0.138023,0.161939,0.201906,0.263287,0.355215"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.132010,0.140142,0.155525,0.186020,0.246520,0.366542,0.605676", \ "0.136389,0.144531,0.159977,0.190615,0.251278,0.371478,0.610660", \ "0.154508,0.162693,0.178208,0.208918,0.269719,0.390124,0.629625", \ "0.187206,0.195356,0.210463,0.241103,0.301887,0.422356,0.661942", \ "0.232791,0.241440,0.257351,0.287630,0.348167,0.468518,0.708047", \ "0.283641,0.293690,0.312237,0.347292,0.411836,0.532144,0.771540", \ "0.339075,0.350773,0.372158,0.412220,0.485082,0.614980,0.854609"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.019427,0.021313,0.024835,0.031692,0.045048,0.070973,0.121966", \ "0.019197,0.021096,0.024655,0.031545,0.044958,0.070934,0.121974", \ "0.020203,0.021796,0.024954,0.031444,0.044740,0.070882,0.121960", \ "0.027586,0.029095,0.031980,0.037696,0.048902,0.072018,0.121953", \ "0.040797,0.042195,0.045014,0.050435,0.061193,0.082499,0.125931", \ "0.059344,0.060887,0.063929,0.069532,0.079958,0.100462,0.141834", \ "0.081511,0.083417,0.087013,0.093656,0.105036,0.125589,0.165871"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.067728,0.075116,0.089274,0.117169,0.172624,0.282323,0.500242", \ "0.067718,0.075109,0.089253,0.117199,0.172640,0.282325,0.500243", \ "0.067732,0.075117,0.089314,0.117215,0.172643,0.282327,0.500241", \ "0.067812,0.075164,0.089283,0.117195,0.172637,0.282320,0.500235", \ "0.073628,0.080139,0.092770,0.118656,0.172529,0.282351,0.500241", \ "0.087977,0.094896,0.108003,0.132668,0.179992,0.282859,0.500236", \ "0.105879,0.113292,0.127321,0.153356,0.201640,0.294745,0.500507"); } } internal_power () { related_pin : "A1"; when : "A2 & !B1 & !B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.220368,0.219710,0.215922,0.222705,0.223150,0.228713,0.227722", \ "0.191965,0.195723,0.201217,0.215373,0.223816,0.235729,0.238924", \ "0.163766,0.167805,0.175350,0.191830,0.206509,0.228858,0.240883", \ "0.244976,0.239700,0.226199,0.210982,0.204023,0.217998,0.238870", \ "0.395768,0.382208,0.359811,0.328122,0.291525,0.250848,0.254624", \ "0.633772,0.612318,0.582058,0.531890,0.459744,0.379307,0.310903", \ "0.961237,0.938042,0.896410,0.826901,0.723673,0.591265,0.457197"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("1.928422,1.934956,1.952143,1.956438,1.977500,1.973120,1.950968", \ "1.842062,1.859512,1.878936,1.906039,1.945646,1.953740,1.985546", \ "1.799411,1.804079,1.813444,1.819403,1.837063,1.898481,1.945519", \ "1.873366,1.869877,1.870213,1.846663,1.848772,1.851068,1.894019", \ "1.982297,2.000072,2.024130,1.980949,1.928444,1.902008,1.921358", \ "2.174502,2.176753,2.185044,2.206259,2.151161,2.081691,2.021523", \ "2.512108,2.504885,2.490028,2.466966,2.462475,2.353262,2.193879"); } } internal_power () { related_pin : "A1"; when : "A2 & !B1 & B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.240653,0.237762,0.229105,0.228378,0.227507,0.229415,0.229742", \ "0.217122,0.217750,0.223615,0.225805,0.230699,0.242382,0.246850", \ "0.194929,0.197861,0.202062,0.215863,0.233703,0.248217,0.265010", \ "0.267533,0.263607,0.252194,0.239190,0.236264,0.251472,0.266369", \ "0.400939,0.391537,0.370778,0.345720,0.315096,0.277466,0.282247", \ "0.612275,0.596927,0.569387,0.528435,0.465839,0.396326,0.334249", \ "0.907891,0.890952,0.854562,0.795760,0.704795,0.588696,0.468516"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("1.904235,1.916431,1.922118,1.935968,1.943558,1.944534,1.965296", \ "1.821722,1.836292,1.856107,1.872134,1.915512,1.929589,1.957064", \ "1.772759,1.777937,1.789005,1.798589,1.838412,1.884975,1.895318", \ "1.837411,1.835270,1.826668,1.831061,1.815070,1.857143,1.873011", \ "2.000677,1.992101,1.978021,1.942988,1.911002,1.890314,1.872563", \ "2.143709,2.154409,2.165801,2.167435,2.089884,2.041213,1.959655", \ "2.442333,2.435193,2.431283,2.432682,2.410859,2.274174,2.157109"); } } internal_power () { related_pin : "A1"; when : "A2 & B1 & !B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.230196,0.228335,0.224335,0.222664,0.227060,0.227971,0.231416", \ "0.212459,0.216050,0.215577,0.223361,0.233372,0.241659,0.248321", \ "0.187246,0.191549,0.201907,0.214652,0.231383,0.250142,0.262350", \ "0.253658,0.249593,0.241833,0.228683,0.234603,0.250181,0.266583", \ "0.390009,0.381023,0.361785,0.341538,0.305542,0.276980,0.279716", \ "0.612620,0.595042,0.567798,0.522354,0.459331,0.391714,0.335042", \ "0.921083,0.898267,0.857406,0.797215,0.701978,0.585354,0.466415"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("2.499927,2.509917,2.521306,2.525414,2.560006,2.569460,2.600259", \ "2.437081,2.447112,2.468182,2.492273,2.535825,2.555946,2.594568", \ "2.366775,2.379218,2.391751,2.403297,2.450171,2.514206,2.533983", \ "2.408095,2.415877,2.416624,2.414925,2.430974,2.485201,2.503334", \ "2.556456,2.552464,2.543453,2.536969,2.516673,2.513460,2.516444", \ "2.719929,2.732853,2.764041,2.731669,2.692017,2.629382,2.595541", \ "2.993054,2.994322,3.004894,3.007823,2.972446,2.890469,2.789997"); } } internal_power () { related_pin : "A2"; when : "A1 & !B1 & !B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.218200,0.217348,0.223028,0.220953,0.220551,0.224993,0.229616", \ "0.194647,0.204793,0.211371,0.218421,0.230223,0.231863,0.240515", \ "0.146123,0.152195,0.166436,0.183026,0.209543,0.224171,0.242482", \ "0.175153,0.173224,0.172488,0.171106,0.176014,0.202016,0.229593", \ "0.237826,0.236784,0.227651,0.220489,0.211784,0.193164,0.218895", \ "0.376717,0.365394,0.350889,0.326613,0.300227,0.266794,0.232789", \ "0.593150,0.578345,0.555791,0.512492,0.455780,0.389579,0.322121"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("2.462594,2.466653,2.476340,2.475276,2.479032,2.525600,2.511592", \ "2.400389,2.407618,2.424892,2.436425,2.446188,2.497260,2.489619", \ "2.354945,2.360249,2.372309,2.383757,2.400691,2.460077,2.503482", \ "2.419539,2.420577,2.420850,2.430947,2.422941,2.428147,2.468536", \ "2.549161,2.578218,2.566002,2.537917,2.507324,2.486256,2.508434", \ "2.715334,2.724182,2.746100,2.767160,2.723318,2.669973,2.617864", \ "3.027222,3.027985,3.032100,3.027398,3.028515,2.940052,2.839799"); } } internal_power () { related_pin : "A2"; when : "A1 & !B1 & B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.238104,0.233911,0.230598,0.224264,0.222080,0.226103,0.231545", \ "0.223581,0.224004,0.226892,0.230695,0.237873,0.238799,0.248991", \ "0.174600,0.180443,0.193194,0.208418,0.229718,0.243218,0.262354", \ "0.197331,0.196996,0.199507,0.200987,0.204318,0.228751,0.256013", \ "0.252857,0.250241,0.248214,0.242536,0.238520,0.225049,0.248049", \ "0.375087,0.365852,0.353242,0.337737,0.317620,0.291544,0.262172", \ "0.572302,0.559955,0.536546,0.504099,0.457598,0.403141,0.345051"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("2.452136,2.456555,2.468802,2.477638,2.478960,2.516484,2.513254", \ "2.390392,2.403014,2.413036,2.427726,2.450359,2.462382,2.495512", \ "2.342794,2.353873,2.361026,2.384562,2.414034,2.435268,2.476733", \ "2.398534,2.400707,2.403545,2.408780,2.411271,2.424255,2.461603", \ "2.548249,2.544802,2.534787,2.526596,2.505467,2.496571,2.482734", \ "2.707576,2.726033,2.755460,2.734437,2.686993,2.620051,2.578082", \ "2.975392,2.978829,2.991947,3.004531,2.970186,2.886937,2.779834"); } } internal_power () { related_pin : "A2"; when : "A1 & B1 & !B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.232524,0.230577,0.224345,0.224752,0.221935,0.228340,0.229387", \ "0.214948,0.219174,0.218944,0.228691,0.230810,0.242772,0.250267", \ "0.167896,0.179404,0.190121,0.208740,0.230165,0.245296,0.263551", \ "0.184307,0.185886,0.188929,0.188149,0.200426,0.230940,0.253256", \ "0.246090,0.242520,0.238940,0.239456,0.232880,0.223038,0.249418", \ "0.373631,0.364323,0.350154,0.330713,0.311789,0.288405,0.262522", \ "0.578562,0.565804,0.542094,0.505843,0.454041,0.402139,0.343303"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("3.039263,3.050423,3.059231,3.080584,3.095057,3.110955,3.150766", \ "2.990886,2.998692,3.012481,3.036712,3.065989,3.088790,3.133256", \ "2.940712,2.948243,2.964954,2.982639,3.024186,3.063065,3.115090", \ "2.980433,2.985122,2.984320,3.003528,3.027873,3.051088,3.100039", \ "3.112005,3.111824,3.108880,3.109001,3.085442,3.121422,3.132783", \ "3.299569,3.317106,3.326408,3.299037,3.262953,3.240963,3.213957", \ "3.545078,3.552532,3.567014,3.599630,3.564453,3.471524,3.413605"); } } internal_power () { related_pin : "B1"; when : "!A1 & !A2 & B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.820102,0.838232,0.852656,0.873322,0.922225,0.960305,1.001721", \ "0.801229,0.810323,0.833236,0.863751,0.899685,0.944330,0.985171", \ "0.769878,0.777457,0.800884,0.826400,0.870702,0.918584,0.963639", \ "0.828593,0.826197,0.829048,0.831500,0.864202,0.910963,0.957291", \ "0.971671,0.967361,0.959544,0.953800,0.937812,0.938594,0.978549", \ "1.176872,1.168184,1.161191,1.139822,1.104336,1.061696,1.033362", \ "1.469582,1.460581,1.441420,1.413464,1.354723,1.270432,1.173369"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("3.102140,3.108376,3.106228,3.112778,3.123678,3.118322,3.140279", \ "3.044705,3.057691,3.061808,3.073849,3.094236,3.138599,3.138039", \ "2.975413,2.983096,2.995468,3.008269,3.050085,3.066627,3.107550", \ "2.951537,2.956906,2.955642,2.971224,2.973832,3.027287,3.032573", \ "2.966645,2.971769,2.967194,2.952559,2.980769,2.994243,3.028305", \ "2.933755,2.947307,2.979027,3.007179,3.020818,3.006974,3.036216", \ "3.002342,3.004092,3.018976,3.055633,3.119062,3.083929,3.059500"); } } internal_power () { related_pin : "B1"; when : "!A1 & A2 & B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.675591,0.689771,0.712818,0.750780,0.816790,0.870687,0.916224", \ "0.645070,0.669661,0.696129,0.727713,0.789409,0.846843,0.893505", \ "0.623128,0.634305,0.660995,0.708219,0.752692,0.810104,0.866873", \ "0.715978,0.716974,0.710437,0.717049,0.755658,0.800343,0.852710", \ "0.861950,0.859393,0.853402,0.843762,0.833022,0.835573,0.870415", \ "1.073277,1.064321,1.052589,1.036779,1.002709,0.955777,0.924452", \ "1.365285,1.352771,1.338216,1.303922,1.241558,1.159863,1.061912"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("2.835585,2.845572,2.851173,2.863662,2.850863,2.878452,2.865917", \ "2.779479,2.788245,2.807416,2.829225,2.851041,2.860439,2.853948", \ "2.708653,2.720005,2.730305,2.743694,2.774997,2.795736,2.833031", \ "2.688564,2.692681,2.692258,2.708184,2.714051,2.763506,2.774748", \ "2.700368,2.703798,2.693485,2.700423,2.710287,2.721226,2.758958", \ "2.669713,2.689693,2.733002,2.750624,2.725435,2.751496,2.745762", \ "2.718187,2.730451,2.747744,2.790326,2.817015,2.798050,2.787446"); } } internal_power () { related_pin : "B1"; when : "A1 & !A2 & B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.724323,0.734710,0.753928,0.788978,0.831444,0.873790,0.920461", \ "0.702484,0.712776,0.729220,0.760223,0.806324,0.854904,0.897012", \ "0.665107,0.674502,0.694143,0.719934,0.768068,0.820486,0.867446", \ "0.726606,0.728116,0.719138,0.728080,0.761704,0.808295,0.858050", \ "0.877522,0.873643,0.862641,0.850902,0.836324,0.837787,0.869185", \ "1.089232,1.081142,1.065311,1.043030,1.004458,0.955883,0.929247", \ "1.384353,1.371370,1.350313,1.305902,1.246265,1.161365,1.062365"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("3.423080,3.434001,3.444239,3.447409,3.464301,3.503049,3.501094", \ "3.384352,3.392562,3.399774,3.434084,3.439961,3.486292,3.489483", \ "3.310533,3.318244,3.331871,3.342285,3.397221,3.423828,3.471361", \ "3.278455,3.289839,3.287085,3.293270,3.334402,3.393474,3.421839", \ "3.283729,3.287927,3.289825,3.304035,3.327621,3.349398,3.398351", \ "3.281389,3.312400,3.346552,3.349085,3.341774,3.346470,3.387888", \ "3.311921,3.326018,3.355604,3.403583,3.427981,3.407235,3.424797"); } } internal_power () { related_pin : "B2"; when : "!A1 & !A2 & B1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.824368,0.833335,0.854154,0.884238,0.911702,0.961286,1.004871", \ "0.801344,0.819405,0.836227,0.866191,0.893234,0.939461,0.985680", \ "0.767066,0.781175,0.803834,0.825427,0.867451,0.920044,0.965192", \ "0.794409,0.793048,0.794681,0.808358,0.853381,0.900317,0.951377", \ "0.862910,0.870264,0.870863,0.876698,0.883309,0.895817,0.945354", \ "0.983683,0.984153,0.975058,0.973679,0.972667,0.966391,0.962979", \ "1.162340,1.159694,1.152538,1.137444,1.119225,1.090162,1.051652"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("3.630883,3.635750,3.640425,3.647206,3.657022,3.658708,3.688961", \ "3.596289,3.603035,3.602977,3.615994,3.625342,3.670294,3.662493", \ "3.547152,3.553724,3.560155,3.586891,3.605282,3.616257,3.654978", \ "3.534523,3.540360,3.534598,3.552622,3.545171,3.597797,3.640444", \ "3.543352,3.549121,3.551293,3.544739,3.571600,3.566619,3.608177", \ "3.522948,3.549187,3.576480,3.596886,3.600319,3.593578,3.624766", \ "3.563657,3.573439,3.599304,3.635921,3.692828,3.666767,3.675391"); } } internal_power () { related_pin : "B2"; when : "!A1 & A2 & B1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.670137,0.694845,0.717270,0.750156,0.812353,0.863881,0.918233", \ "0.644628,0.669507,0.696341,0.729051,0.787908,0.841202,0.897546", \ "0.619881,0.633103,0.661797,0.703233,0.754593,0.805002,0.863995", \ "0.668848,0.673099,0.679414,0.691770,0.731814,0.793524,0.847395", \ "0.753247,0.760016,0.762402,0.768248,0.778423,0.784313,0.841596", \ "0.876341,0.879926,0.874776,0.874394,0.869094,0.865190,0.859398", \ "1.063686,1.059649,1.047502,1.033586,1.017250,0.985986,0.949467"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("3.371346,3.377943,3.381200,3.391715,3.411348,3.417798,3.446066", \ "3.334759,3.340252,3.353518,3.368355,3.382389,3.391408,3.422253", \ "3.291419,3.294968,3.307848,3.320535,3.330755,3.376472,3.380592", \ "3.273023,3.277726,3.275116,3.280169,3.316345,3.333108,3.372664", \ "3.278638,3.283100,3.291672,3.287888,3.289046,3.334474,3.372608", \ "3.271437,3.296811,3.332906,3.329822,3.335054,3.337580,3.368318", \ "3.291029,3.306363,3.338715,3.393327,3.420294,3.400897,3.414007"); } } internal_power () { related_pin : "B2"; when : "A1 & !A2 & B1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.728533,0.738684,0.759712,0.775663,0.829819,0.874094,0.923276", \ "0.703214,0.713380,0.729675,0.758065,0.801873,0.851564,0.899935", \ "0.665583,0.675340,0.693531,0.716952,0.772172,0.818440,0.869247", \ "0.688382,0.691873,0.692599,0.707062,0.745180,0.798366,0.852813", \ "0.770085,0.771690,0.771186,0.778969,0.782566,0.794488,0.847001", \ "0.896028,0.892716,0.884576,0.878878,0.876663,0.866652,0.864965", \ "1.081491,1.074411,1.065138,1.041039,1.021582,0.987975,0.948492"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("3.954938,3.965890,3.974702,3.989502,3.996463,4.042945,4.083421", \ "3.924962,3.931579,3.942711,3.970073,3.999617,4.017766,4.059702", \ "3.881579,3.893812,3.911970,3.931939,3.950046,4.004023,4.051593", \ "3.862737,3.874595,3.875185,3.885006,3.935548,3.960733,4.011250", \ "3.867384,3.873047,3.880374,3.893928,3.907496,3.962144,4.011034", \ "3.891231,3.915528,3.925450,3.942872,3.951350,3.965604,4.007551", \ "3.893536,3.913967,3.947513,4.016908,4.031991,4.024959,4.051902"); } } } } /****************************************************************************************** Module : AOI22_X2 Cell Description : Combinational cell (AOI22_X2) with drive strength X2 *******************************************************************************************/ cell (AOI22_X2) { drive_strength : 2; area : 2.394000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 38.331044; leakage_power () { when : "!A1 & !A2 & !B1 & !B2"; value : 12.706440; } leakage_power () { when : "!A1 & !A2 & !B1 & B2"; value : 41.192855; } leakage_power () { when : "!A1 & !A2 & B1 & !B2"; value : 21.788249; } leakage_power () { when : "!A1 & !A2 & B1 & B2"; value : 29.052149; } leakage_power () { when : "!A1 & A2 & !B1 & !B2"; value : 41.192950; } leakage_power () { when : "!A1 & A2 & !B1 & B2"; value : 69.665875; } leakage_power () { when : "!A1 & A2 & B1 & !B2"; value : 50.271246; } leakage_power () { when : "!A1 & A2 & B1 & B2"; value : 38.852644; } leakage_power () { when : "A1 & !A2 & !B1 & !B2"; value : 21.788251; } leakage_power () { when : "A1 & !A2 & !B1 & B2"; value : 50.271248; } leakage_power () { when : "A1 & !A2 & B1 & !B2"; value : 30.871202; } leakage_power () { when : "A1 & !A2 & B1 & B2"; value : 38.849576; } leakage_power () { when : "A1 & A2 & !B1 & !B2"; value : 34.517775; } leakage_power () { when : "A1 & A2 & !B1 & B2"; value : 44.649810; } leakage_power () { when : "A1 & A2 & B1 & !B2"; value : 44.645915; } leakage_power () { when : "A1 & A2 & B1 & B2"; value : 42.980517; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.063178; fall_capacitance : 2.682404; rise_capacitance : 3.063178; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.350988; fall_capacitance : 2.888687; rise_capacitance : 3.350988; } pin (B1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 2.930953; fall_capacitance : 2.808192; rise_capacitance : 2.930953; } pin (B2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.347029; fall_capacitance : 3.106814; rise_capacitance : 3.347029; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 49.060080; function : "!((A1 & A2) | (B1 & B2))"; timing () { related_pin : "A1"; when : "A2 & !B1 & !B2"; sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.017239,0.020075,0.023775,0.031131,0.045789,0.075035,0.133489", \ "0.021662,0.024563,0.028324,0.035752,0.050475,0.079782,0.138267", \ "0.035100,0.039295,0.044178,0.052556,0.067248,0.096524,0.155011", \ "0.046218,0.053061,0.061014,0.074516,0.096134,0.129235,0.187293", \ "0.051881,0.061646,0.072995,0.092431,0.123328,0.170051,0.238601", \ "0.050888,0.063747,0.078605,0.104345,0.145469,0.207452,0.297359", \ "0.042398,0.058393,0.077010,0.109136,0.160989,0.239399,0.352781"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.044272,0.052825,0.063842,0.085567,0.128560,0.214130,0.384793", \ "0.046637,0.055335,0.066597,0.088731,0.132232,0.218265,0.389254", \ "0.062965,0.071186,0.082041,0.103804,0.147237,0.233567,0.405083", \ "0.093411,0.104023,0.116586,0.138273,0.180459,0.265815,0.436939", \ "0.126889,0.141017,0.157823,0.187168,0.235673,0.319447,0.488916", \ "0.163838,0.181443,0.202403,0.239371,0.300646,0.397450,0.564575", \ "0.204966,0.225917,0.250961,0.295215,0.369274,0.486825,0.667025"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.009867,0.012287,0.015478,0.021860,0.034615,0.060132,0.111154", \ "0.009855,0.012283,0.015476,0.021861,0.034613,0.060130,0.111154", \ "0.015690,0.017425,0.019594,0.023838,0.034768,0.060133,0.111151", \ "0.028126,0.030543,0.033405,0.038460,0.047213,0.064347,0.111153", \ "0.044543,0.047957,0.051996,0.058678,0.069744,0.087717,0.120919", \ "0.064571,0.069130,0.074639,0.083425,0.097541,0.119536,0.154327", \ "0.088281,0.094132,0.101060,0.112432,0.130136,0.157073,0.197873"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.031844,0.039424,0.049321,0.069141,0.108699,0.187805,0.345810", \ "0.031759,0.039359,0.049305,0.069141,0.108705,0.187800,0.345799", \ "0.031855,0.038905,0.049096,0.069093,0.108696,0.187762,0.345798", \ "0.045792,0.050978,0.057005,0.072037,0.108561,0.187775,0.345823", \ "0.063735,0.070446,0.078610,0.093004,0.119737,0.188129,0.345801", \ "0.084280,0.092727,0.103001,0.120656,0.150531,0.204781,0.345707", \ "0.107649,0.117715,0.130015,0.151370,0.186908,0.244519,0.360034"); } } timing () { related_pin : "A1"; when : "A2 & !B1 & B2"; sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.017242,0.020076,0.023775,0.031129,0.045779,0.075021,0.133443", \ "0.021720,0.024619,0.028373,0.035798,0.050517,0.079814,0.138277", \ "0.035362,0.039538,0.044391,0.052734,0.067413,0.096679,0.155142", \ "0.046618,0.053478,0.061385,0.074859,0.096423,0.129446,0.187490", \ "0.051956,0.061799,0.073194,0.092703,0.123630,0.170321,0.238800", \ "0.049986,0.063061,0.078124,0.104127,0.145504,0.207622,0.297538", \ "0.039741,0.056148,0.075177,0.107844,0.160311,0.239203,0.352819"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.057570,0.069540,0.084978,0.115400,0.175562,0.295206,0.533754", \ "0.058642,0.070847,0.086648,0.117658,0.178561,0.298874,0.537890", \ "0.073132,0.084656,0.099914,0.130516,0.191434,0.312275,0.552065", \ "0.106400,0.118949,0.133666,0.162560,0.221993,0.341753,0.581159", \ "0.144400,0.160876,0.180530,0.215369,0.274469,0.391911,0.629460", \ "0.186421,0.206747,0.231084,0.274285,0.346832,0.466033,0.700576", \ "0.233336,0.257328,0.286163,0.337481,0.424292,0.564073,0.797623"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.009865,0.012288,0.015479,0.021855,0.034619,0.060130,0.111147", \ "0.009855,0.012283,0.015472,0.021859,0.034613,0.060129,0.111151", \ "0.015561,0.017308,0.019492,0.023771,0.034749,0.060131,0.111147", \ "0.027872,0.030301,0.033179,0.038265,0.047052,0.064263,0.111144", \ "0.044373,0.047784,0.051809,0.058482,0.069551,0.087565,0.120835", \ "0.064785,0.069326,0.074777,0.083477,0.097493,0.119405,0.154200", \ "0.089097,0.094933,0.101777,0.113030,0.130493,0.157172,0.197831"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.045160,0.055713,0.069421,0.096745,0.151145,0.259682,0.476412", \ "0.044882,0.055578,0.069394,0.096748,0.151140,0.259692,0.476411", \ "0.043331,0.054677,0.068950,0.096640,0.151165,0.259673,0.476403", \ "0.053844,0.060729,0.071522,0.096144,0.151023,0.259711,0.476414", \ "0.072672,0.081240,0.091828,0.110566,0.154128,0.259566,0.476418", \ "0.093998,0.104313,0.116985,0.139287,0.177887,0.264427,0.476401", \ "0.118005,0.130089,0.144934,0.171169,0.215753,0.292288,0.477870"); } } timing () { related_pin : "A1"; when : "A2 & B1 & !B2"; sdf_cond : "(A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.017636,0.020472,0.024173,0.031535,0.046203,0.075476,0.133977", \ "0.022120,0.025018,0.028775,0.036206,0.050943,0.080272,0.138809", \ "0.035980,0.040093,0.044888,0.053161,0.067836,0.097141,0.155675", \ "0.047738,0.054440,0.062260,0.075587,0.097004,0.129908,0.188026", \ "0.053740,0.063381,0.074587,0.093822,0.124535,0.171029,0.239358", \ "0.052589,0.065396,0.080203,0.105824,0.146846,0.208667,0.298343", \ "0.043372,0.059409,0.078135,0.110316,0.162253,0.240692,0.353963"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.077845,0.089778,0.105249,0.135794,0.196235,0.316129,0.554853", \ "0.079635,0.091786,0.107488,0.138443,0.199408,0.319856,0.559004", \ "0.092988,0.104900,0.120448,0.151285,0.212385,0.333375,0.573251", \ "0.127189,0.137959,0.152673,0.182570,0.242601,0.362741,0.602335", \ "0.171987,0.186541,0.204197,0.236363,0.294461,0.412580,0.650506", \ "0.220633,0.238691,0.260695,0.300437,0.368945,0.486261,0.721392", \ "0.273826,0.295240,0.321424,0.368889,0.450879,0.585530,0.818169"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.011242,0.013856,0.017284,0.024104,0.037615,0.063971,0.115252", \ "0.011239,0.013853,0.017279,0.024104,0.037616,0.063971,0.115253", \ "0.017452,0.019162,0.021236,0.025942,0.037734,0.063969,0.115254", \ "0.033036,0.034909,0.037328,0.041926,0.050395,0.068038,0.115251", \ "0.055297,0.057332,0.060133,0.065391,0.075008,0.091929,0.124850", \ "0.081484,0.084005,0.087457,0.093851,0.105376,0.125227,0.158516", \ "0.111746,0.114856,0.119109,0.126983,0.141032,0.164729,0.203171"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.055240,0.065769,0.079612,0.107185,0.162028,0.271087,0.488316", \ "0.055190,0.065758,0.079595,0.107184,0.161992,0.271062,0.488312", \ "0.054681,0.065465,0.079461,0.107138,0.161993,0.271065,0.488310", \ "0.057506,0.066649,0.079297,0.106552,0.161929,0.271044,0.488310", \ "0.076355,0.084885,0.095394,0.115557,0.162849,0.270973,0.488325", \ "0.098008,0.108291,0.120830,0.143199,0.182503,0.273689,0.488314", \ "0.122352,0.134495,0.149337,0.175411,0.219942,0.298240,0.488931"); } } timing () { related_pin : "A2"; when : "A1 & !B1 & !B2"; sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.019941,0.022764,0.026450,0.033795,0.048438,0.077682,0.136136", \ "0.024964,0.027848,0.031590,0.038997,0.053708,0.083002,0.141483", \ "0.038229,0.041779,0.046124,0.054168,0.069061,0.098499,0.157089", \ "0.053251,0.058674,0.064995,0.076040,0.094834,0.127009,0.185942", \ "0.064604,0.072894,0.082412,0.098427,0.124049,0.164285,0.230042", \ "0.069694,0.081359,0.094582,0.117126,0.152256,0.204373,0.283039", \ "0.067783,0.082964,0.100221,0.129747,0.175967,0.243411,0.339701"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.057156,0.065549,0.076478,0.098167,0.141254,0.226974,0.397715", \ "0.060041,0.068571,0.079651,0.101558,0.144880,0.230845,0.401750", \ "0.076099,0.084511,0.095486,0.117315,0.160657,0.246803,0.417966", \ "0.110195,0.119445,0.130594,0.151661,0.194165,0.279548,0.450415", \ "0.149802,0.162214,0.177147,0.204116,0.249618,0.333367,0.502850", \ "0.192824,0.208397,0.227263,0.261109,0.318702,0.411597,0.578699", \ "0.239821,0.258482,0.281153,0.321931,0.391595,0.504416,0.681190"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.009858,0.012283,0.015474,0.021852,0.034618,0.060131,0.111162", \ "0.009863,0.012284,0.015474,0.021858,0.034618,0.060133,0.111159", \ "0.012320,0.014468,0.017234,0.022730,0.034676,0.060134,0.111157", \ "0.019709,0.021840,0.024582,0.029971,0.040698,0.062369,0.111158", \ "0.032059,0.034559,0.037548,0.043029,0.053347,0.074069,0.116479", \ "0.048444,0.051581,0.055336,0.061652,0.072512,0.092614,0.133457", \ "0.068094,0.072088,0.076859,0.084746,0.097390,0.118344,0.157981"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.038816,0.046445,0.056472,0.076493,0.116396,0.195878,0.354255", \ "0.038789,0.046424,0.056464,0.076472,0.116374,0.195888,0.354266", \ "0.038526,0.046285,0.056396,0.076472,0.116363,0.195904,0.354259", \ "0.047302,0.052553,0.060301,0.077470,0.116277,0.195789,0.354284", \ "0.065502,0.072209,0.080439,0.094943,0.124033,0.195715,0.354292", \ "0.085742,0.094345,0.104625,0.122578,0.152621,0.209441,0.354185", \ "0.107883,0.118462,0.131106,0.152999,0.188917,0.246825,0.366204"); } } timing () { related_pin : "A2"; when : "A1 & !B1 & B2"; sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.019945,0.022764,0.026450,0.033791,0.048432,0.077663,0.136094", \ "0.025020,0.027901,0.031639,0.039044,0.053752,0.083037,0.141497", \ "0.038442,0.041987,0.046325,0.054353,0.069228,0.098656,0.157226", \ "0.053599,0.059034,0.065337,0.076367,0.095121,0.127250,0.186149", \ "0.064968,0.073265,0.082801,0.098815,0.124415,0.164575,0.230279", \ "0.069718,0.081455,0.094758,0.117367,0.152567,0.204698,0.283308", \ "0.066745,0.082212,0.099658,0.129468,0.175976,0.243598,0.339929"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.076057,0.087785,0.103075,0.133383,0.193592,0.313353,0.552013", \ "0.077892,0.089845,0.105343,0.135971,0.196539,0.316632,0.555530", \ "0.092107,0.103891,0.119301,0.149878,0.210530,0.330971,0.570272", \ "0.126617,0.137490,0.152142,0.181895,0.241625,0.361273,0.600235", \ "0.171565,0.186130,0.203763,0.235889,0.293952,0.411771,0.649156", \ "0.220399,0.238482,0.260492,0.300178,0.368637,0.485836,0.720593", \ "0.273822,0.295303,0.321517,0.368996,0.450867,0.585361,0.817763"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.009859,0.012284,0.015476,0.021852,0.034617,0.060130,0.111158", \ "0.009864,0.012282,0.015475,0.021857,0.034616,0.060131,0.111145", \ "0.012264,0.014426,0.017191,0.022701,0.034668,0.060130,0.111152", \ "0.019549,0.021683,0.024441,0.029850,0.040621,0.062330,0.111153", \ "0.031837,0.034326,0.037327,0.042826,0.053179,0.073973,0.116433", \ "0.048288,0.051427,0.055157,0.061469,0.072314,0.092461,0.133365", \ "0.068227,0.072219,0.076947,0.084782,0.097325,0.118216,0.157867"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.055236,0.065774,0.079619,0.107201,0.162045,0.271127,0.488434", \ "0.055178,0.065756,0.079620,0.107191,0.162042,0.271119,0.488428", \ "0.054615,0.065466,0.079464,0.107177,0.162005,0.271141,0.488427", \ "0.057738,0.066845,0.079445,0.106543,0.161957,0.271098,0.488420", \ "0.076210,0.084761,0.095292,0.115765,0.162986,0.271035,0.488435", \ "0.097364,0.107805,0.120419,0.143005,0.182598,0.273819,0.488425", \ "0.120526,0.133044,0.148152,0.174721,0.219591,0.298351,0.489089"); } } timing () { related_pin : "A2"; when : "A1 & B1 & !B2"; sdf_cond : "(A1 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.020338,0.023159,0.026847,0.034197,0.048854,0.078124,0.136626", \ "0.025420,0.028302,0.032042,0.039454,0.054175,0.083497,0.142031", \ "0.038950,0.042465,0.046776,0.054769,0.069653,0.099120,0.157762", \ "0.054428,0.059768,0.065987,0.076932,0.095632,0.127726,0.186685", \ "0.066298,0.074463,0.083846,0.099695,0.125093,0.165183,0.230849", \ "0.071812,0.083332,0.096365,0.118675,0.153571,0.205479,0.283990", \ "0.069704,0.084823,0.102032,0.131380,0.177447,0.244688,0.340782"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.096146,0.107980,0.123360,0.153835,0.214256,0.334275,0.573123", \ "0.098415,0.110370,0.125896,0.156588,0.217276,0.337589,0.576641", \ "0.112330,0.124253,0.139799,0.170544,0.231377,0.351982,0.591405", \ "0.145356,0.156813,0.171862,0.202092,0.262204,0.382204,0.621346", \ "0.196060,0.209259,0.225494,0.255321,0.314039,0.432421,0.670172", \ "0.251001,0.267435,0.287673,0.324714,0.389750,0.506115,0.741413", \ "0.310315,0.329886,0.354048,0.398432,0.476245,0.606193,0.838314"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.011241,0.013856,0.017286,0.024102,0.037618,0.063967,0.115252", \ "0.011237,0.013859,0.017285,0.024104,0.037614,0.063969,0.115253", \ "0.013895,0.016155,0.018976,0.024915,0.037656,0.063970,0.115252", \ "0.022472,0.024530,0.027271,0.032782,0.043856,0.066140,0.115252", \ "0.038236,0.039986,0.042395,0.047368,0.057480,0.078134,0.120512", \ "0.059481,0.061246,0.063759,0.068575,0.078097,0.097365,0.137638", \ "0.084263,0.086344,0.089288,0.094819,0.105007,0.124067,0.162600"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.065129,0.075816,0.089857,0.117684,0.172890,0.282518,0.500365", \ "0.065119,0.075809,0.089833,0.117675,0.172893,0.282519,0.500358", \ "0.064969,0.075718,0.089783,0.117668,0.172875,0.282539,0.500358", \ "0.064978,0.075136,0.088925,0.117468,0.172854,0.282500,0.500369", \ "0.080697,0.088955,0.099461,0.122309,0.172842,0.282425,0.500369", \ "0.102575,0.112701,0.125021,0.147335,0.188376,0.283692,0.500344", \ "0.126865,0.138939,0.153612,0.179655,0.224138,0.304906,0.500490"); } } timing () { related_pin : "B1"; when : "!A1 & !A2 & B2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.026583,0.029925,0.034175,0.042366,0.058104,0.088527,0.148077", \ "0.031031,0.034376,0.038628,0.046822,0.062562,0.092991,0.152543", \ "0.048222,0.051569,0.055613,0.063539,0.079130,0.109513,0.169033", \ "0.070222,0.075332,0.081505,0.092539,0.111245,0.142053,0.201221", \ "0.088644,0.095772,0.104233,0.119786,0.146013,0.187954,0.252528", \ "0.101233,0.110591,0.121718,0.142050,0.176720,0.231926,0.315840", \ "0.106782,0.118478,0.132529,0.157990,0.201561,0.271159,0.376561"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.081751,0.090977,0.102961,0.126674,0.173699,0.267336,0.454160", \ "0.085524,0.094959,0.107161,0.131214,0.178657,0.272665,0.459763", \ "0.103187,0.112448,0.124561,0.148604,0.196273,0.290751,0.478379", \ "0.137126,0.146462,0.158202,0.181992,0.229365,0.323732,0.511602", \ "0.177974,0.189898,0.204306,0.231195,0.279733,0.373782,0.561465", \ "0.220333,0.235484,0.253824,0.286905,0.344917,0.444211,0.631679", \ "0.264351,0.283282,0.305950,0.346386,0.415523,0.530736,0.724391"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.018099,0.020543,0.023741,0.030112,0.042815,0.068274,0.119326", \ "0.017931,0.020421,0.023657,0.030060,0.042794,0.068271,0.119323", \ "0.019250,0.021151,0.023856,0.029753,0.042617,0.068249,0.119333", \ "0.030956,0.033237,0.035989,0.040971,0.049754,0.069786,0.119303", \ "0.046484,0.049718,0.053646,0.060265,0.071369,0.089640,0.125704", \ "0.065428,0.069876,0.075204,0.084038,0.098299,0.120693,0.156101", \ "0.087525,0.093280,0.100196,0.111721,0.129886,0.157492,0.199052"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.038960,0.046949,0.057475,0.078494,0.120335,0.203744,0.370153", \ "0.038991,0.046967,0.057483,0.078506,0.120330,0.203752,0.370167", \ "0.039051,0.046993,0.057493,0.078498,0.120332,0.203746,0.370140", \ "0.041142,0.048233,0.058052,0.078596,0.120330,0.203741,0.370165", \ "0.053856,0.060688,0.069589,0.086270,0.122580,0.203716,0.370148", \ "0.071253,0.078646,0.088081,0.106103,0.139653,0.209024,0.370131", \ "0.094040,0.101948,0.112005,0.130943,0.166302,0.231816,0.374577"); } } timing () { related_pin : "B1"; when : "!A1 & A2 & B2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.021323,0.024823,0.029251,0.037724,0.053838,0.084658,0.144492", \ "0.025981,0.029422,0.033800,0.042218,0.058286,0.089077,0.148924", \ "0.043342,0.047006,0.051390,0.059311,0.074950,0.105536,0.165287", \ "0.063020,0.068620,0.075352,0.087144,0.106771,0.138249,0.197461", \ "0.078718,0.086558,0.095762,0.112378,0.139925,0.183206,0.248868", \ "0.088214,0.098466,0.110503,0.132297,0.168695,0.225710,0.311234", \ "0.090312,0.103083,0.118254,0.145450,0.191236,0.263226,0.370735"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.092798,0.104588,0.119843,0.150071,0.210086,0.329604,0.568096", \ "0.096132,0.108149,0.123690,0.154359,0.214895,0.334884,0.573706", \ "0.113316,0.125143,0.140545,0.171186,0.231988,0.352589,0.592095", \ "0.146106,0.157876,0.172814,0.203125,0.263539,0.383976,0.623766", \ "0.185879,0.199911,0.217019,0.249336,0.309736,0.429725,0.669249", \ "0.227338,0.244279,0.265076,0.302920,0.371135,0.493086,0.732245", \ "0.271274,0.291736,0.316480,0.361101,0.439232,0.574328,0.815195"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.016472,0.019148,0.022544,0.029132,0.041957,0.067321,0.118109", \ "0.016047,0.018780,0.022247,0.028915,0.041833,0.067264,0.118128", \ "0.019257,0.020894,0.023281,0.028773,0.041333,0.067127,0.118074", \ "0.031602,0.033844,0.036526,0.041383,0.049987,0.069094,0.117999", \ "0.047619,0.050815,0.054638,0.061092,0.071950,0.089901,0.125041", \ "0.067061,0.071392,0.076694,0.085275,0.099214,0.121205,0.156200", \ "0.089636,0.095348,0.102237,0.113500,0.131246,0.158297,0.199346"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.045639,0.055939,0.069518,0.096760,0.151137,0.259685,0.476386", \ "0.045672,0.055943,0.069544,0.096740,0.151143,0.259678,0.476408", \ "0.045754,0.055999,0.069532,0.096757,0.151140,0.259693,0.476402", \ "0.047120,0.056694,0.069862,0.096805,0.151116,0.259686,0.476416", \ "0.058041,0.067124,0.078708,0.101798,0.151916,0.259649,0.476412", \ "0.072719,0.082410,0.094844,0.118786,0.164090,0.261814,0.476410", \ "0.092595,0.102722,0.115785,0.140511,0.187693,0.277586,0.477482"); } } timing () { related_pin : "B1"; when : "A1 & !A2 & B2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.021844,0.025330,0.029746,0.038205,0.054316,0.085150,0.145055", \ "0.026491,0.029920,0.034289,0.042696,0.058765,0.089574,0.149480", \ "0.043913,0.047535,0.051869,0.059768,0.075420,0.106028,0.165851", \ "0.064000,0.069496,0.076140,0.087835,0.107344,0.138738,0.198025", \ "0.080217,0.087909,0.096992,0.113436,0.140802,0.183910,0.249444", \ "0.090320,0.100401,0.112334,0.133831,0.169982,0.226753,0.312042", \ "0.093229,0.105745,0.120828,0.147730,0.193095,0.264692,0.371870"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.112816,0.124646,0.140044,0.170429,0.230776,0.350566,0.589300", \ "0.116738,0.128709,0.144290,0.174987,0.235714,0.355899,0.594930", \ "0.133665,0.145608,0.161176,0.191956,0.252992,0.373697,0.613362", \ "0.166322,0.178085,0.193181,0.223717,0.284470,0.405103,0.645058", \ "0.209746,0.222876,0.239285,0.270085,0.330482,0.450834,0.690531", \ "0.256266,0.271782,0.291276,0.327325,0.393308,0.514086,0.753503", \ "0.306314,0.324673,0.347350,0.389263,0.464275,0.596424,0.836432"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.019158,0.021899,0.025402,0.032236,0.045554,0.071411,0.122296", \ "0.018680,0.021492,0.025080,0.032009,0.045426,0.071360,0.122297", \ "0.021541,0.023241,0.025855,0.031766,0.044918,0.071221,0.122271", \ "0.036440,0.038282,0.040609,0.045090,0.053420,0.073124,0.122191", \ "0.056941,0.059185,0.062172,0.067525,0.077235,0.094203,0.129164", \ "0.081063,0.084015,0.087965,0.094785,0.106710,0.126878,0.160458", \ "0.108391,0.112297,0.117267,0.126118,0.141097,0.165558,0.204576"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.055340,0.065829,0.079693,0.107228,0.162121,0.271133,0.488413", \ "0.055352,0.065837,0.079677,0.107238,0.162120,0.271137,0.488419", \ "0.055368,0.065832,0.079677,0.107252,0.162151,0.271119,0.488413", \ "0.055691,0.066028,0.079724,0.107221,0.162070,0.271116,0.488415", \ "0.064614,0.073514,0.085461,0.110043,0.162215,0.271145,0.488429", \ "0.078586,0.088584,0.101387,0.125695,0.171825,0.272257,0.488418", \ "0.097250,0.107819,0.121386,0.146808,0.194653,0.286031,0.488995"); } } timing () { related_pin : "B2"; when : "!A1 & !A2 & B1"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.029219,0.032562,0.036808,0.044995,0.060732,0.091160,0.150715", \ "0.034245,0.037586,0.041835,0.050019,0.065762,0.096192,0.155755", \ "0.049520,0.052917,0.057120,0.065254,0.081007,0.111501,0.171114", \ "0.071656,0.075993,0.081321,0.091170,0.108774,0.140144,0.199963", \ "0.094473,0.100469,0.107640,0.120511,0.142795,0.180394,0.244460", \ "0.113962,0.122066,0.131594,0.148960,0.178095,0.224905,0.300010", \ "0.127702,0.138212,0.150655,0.173008,0.210796,0.269947,0.360100"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.095505,0.104685,0.116636,0.140363,0.187504,0.281329,0.468184", \ "0.099947,0.109194,0.121225,0.145081,0.192347,0.286300,0.473292", \ "0.118314,0.127588,0.139657,0.163569,0.210985,0.305138,0.492346", \ "0.152706,0.161881,0.173631,0.197426,0.244808,0.338987,0.526301", \ "0.197759,0.208790,0.222448,0.247872,0.295420,0.389453,0.576809", \ "0.245619,0.259342,0.276249,0.307385,0.362935,0.460143,0.647434", \ "0.296036,0.312926,0.333535,0.371049,0.436793,0.548650,0.740357"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.018024,0.020481,0.023695,0.030081,0.042799,0.068272,0.119320", \ "0.017970,0.020439,0.023659,0.030057,0.042794,0.068259,0.119320", \ "0.018434,0.020712,0.023752,0.029967,0.042723,0.068260,0.119323", \ "0.024009,0.026319,0.029326,0.035129,0.046227,0.069229,0.119327", \ "0.034076,0.036647,0.039842,0.045837,0.057201,0.079004,0.122977", \ "0.048379,0.051576,0.055506,0.062228,0.074068,0.095901,0.138334", \ "0.066041,0.070134,0.075056,0.083430,0.096935,0.119595,0.161589"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.046351,0.054487,0.065153,0.086378,0.128539,0.212386,0.379090", \ "0.046373,0.054496,0.065156,0.086387,0.128515,0.212367,0.379112", \ "0.046370,0.054490,0.065164,0.086385,0.128545,0.212340,0.379105", \ "0.046999,0.054818,0.065311,0.086401,0.128518,0.212354,0.379087", \ "0.058004,0.064979,0.073801,0.091532,0.129628,0.212239,0.379093", \ "0.074537,0.082150,0.091880,0.110286,0.144124,0.216122,0.379077", \ "0.095683,0.104073,0.114589,0.134273,0.170337,0.236633,0.382514"); } } timing () { related_pin : "B2"; when : "!A1 & A2 & B1"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.024055,0.027534,0.031941,0.040390,0.056483,0.087290,0.147150", \ "0.029156,0.032613,0.036997,0.045419,0.061485,0.092278,0.152117", \ "0.044436,0.048017,0.052453,0.060740,0.076702,0.107484,0.167362", \ "0.065370,0.070086,0.075772,0.086072,0.104260,0.136137,0.196155", \ "0.085968,0.092597,0.100424,0.114147,0.137414,0.175869,0.240601", \ "0.102475,0.111437,0.121889,0.140568,0.171273,0.219492,0.295640", \ "0.112540,0.124209,0.137846,0.162014,0.201991,0.263266,0.355002"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.110650,0.122334,0.137596,0.167837,0.227984,0.347585,0.586166", \ "0.114805,0.126583,0.141945,0.172334,0.232651,0.352449,0.591139", \ "0.132891,0.144680,0.160047,0.190522,0.251057,0.371098,0.610082", \ "0.165971,0.177693,0.192698,0.223049,0.283472,0.403602,0.642691", \ "0.209376,0.222506,0.238972,0.269697,0.329943,0.449934,0.689006", \ "0.255793,0.271327,0.290764,0.326896,0.392857,0.513518,0.752485", \ "0.305631,0.324058,0.346811,0.388783,0.463826,0.595910,0.835710"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.016294,0.018981,0.022410,0.029026,0.041889,0.067286,0.118125", \ "0.016069,0.018779,0.022226,0.028879,0.041796,0.067251,0.118099", \ "0.017347,0.019585,0.022595,0.028795,0.041568,0.067178,0.118087", \ "0.023705,0.025959,0.028886,0.034580,0.045631,0.068284,0.118068", \ "0.034631,0.037117,0.040167,0.045963,0.056963,0.078463,0.121992", \ "0.049528,0.052647,0.056448,0.062975,0.074405,0.095678,0.137680", \ "0.067698,0.071724,0.076545,0.084680,0.097792,0.119871,0.161188"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.055346,0.065814,0.079653,0.107236,0.162119,0.271053,0.488315", \ "0.055342,0.065812,0.079663,0.107229,0.162047,0.271060,0.488316", \ "0.055363,0.065822,0.079645,0.107215,0.162100,0.271059,0.488321", \ "0.055707,0.066022,0.079726,0.107201,0.162034,0.271101,0.488319", \ "0.064475,0.073547,0.085468,0.110080,0.162185,0.271072,0.488309", \ "0.078338,0.088366,0.101130,0.125523,0.171903,0.272264,0.488309", \ "0.096517,0.107221,0.120827,0.146490,0.194452,0.286059,0.488944"); } } timing () { related_pin : "B2"; when : "A1 & !A2 & B1"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.024573,0.028037,0.032433,0.040869,0.056960,0.087786,0.147693", \ "0.029669,0.033113,0.037486,0.045897,0.061961,0.092772,0.152679", \ "0.044982,0.048534,0.052945,0.061210,0.077179,0.107983,0.167921", \ "0.066131,0.070780,0.076412,0.086653,0.104781,0.136631,0.196721", \ "0.087119,0.093613,0.101328,0.114967,0.138095,0.176469,0.241181", \ "0.104116,0.112939,0.123232,0.141734,0.172225,0.220265,0.296324", \ "0.114861,0.126298,0.139738,0.163665,0.203309,0.264307,0.355844"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.130657,0.142453,0.157782,0.188236,0.248574,0.368560,0.607379", \ "0.134996,0.146852,0.162273,0.192830,0.253310,0.373433,0.612362", \ "0.153154,0.165003,0.180476,0.211109,0.271769,0.392138,0.631311", \ "0.186113,0.197953,0.213042,0.243590,0.304183,0.424645,0.663957", \ "0.231826,0.244385,0.260116,0.290261,0.350734,0.470943,0.710225", \ "0.282476,0.297080,0.315514,0.350314,0.414416,0.534560,0.773683", \ "0.337421,0.354408,0.375688,0.415590,0.488121,0.617588,0.856945"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.018952,0.021717,0.025250,0.032125,0.045488,0.071383,0.122290", \ "0.018707,0.021495,0.025055,0.031972,0.045389,0.071340,0.122286", \ "0.019822,0.022128,0.025315,0.031836,0.045157,0.071279,0.122276", \ "0.027239,0.029384,0.032267,0.037983,0.049205,0.072359,0.122261", \ "0.040431,0.042499,0.045197,0.050634,0.061416,0.082729,0.126165", \ "0.058914,0.061131,0.064128,0.069605,0.080074,0.100590,0.141971", \ "0.080913,0.083676,0.087275,0.093732,0.105050,0.125615,0.165895"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.065149,0.075814,0.089860,0.117707,0.172898,0.282515,0.500366", \ "0.065149,0.075799,0.089843,0.117727,0.172897,0.282520,0.500367", \ "0.065151,0.075805,0.089830,0.117682,0.172880,0.282508,0.500350", \ "0.065246,0.075864,0.089871,0.117686,0.172895,0.282559,0.500371", \ "0.071097,0.080548,0.093216,0.119066,0.172962,0.282491,0.500362", \ "0.085173,0.095264,0.108131,0.132705,0.180244,0.283014,0.500356", \ "0.102839,0.113649,0.127426,0.153362,0.201676,0.294868,0.500613"); } } internal_power () { related_pin : "A1"; when : "A2 & !B1 & !B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.438057,0.437224,0.432279,0.438332,0.449071,0.455847,0.457735", \ "0.388382,0.400291,0.414796,0.429857,0.455185,0.472744,0.481067", \ "0.327836,0.333734,0.355551,0.377952,0.424720,0.460154,0.487366", \ "0.500315,0.474135,0.459613,0.426510,0.408292,0.447927,0.479493", \ "0.806604,0.756802,0.722140,0.652722,0.576804,0.499265,0.508203", \ "1.285664,1.221527,1.161840,1.059818,0.918796,0.757343,0.621929", \ "1.933711,1.870242,1.785858,1.658763,1.441460,1.181151,0.914072"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("3.886622,3.922113,3.924355,3.951556,3.975898,3.964797,4.009756", \ "3.711099,3.757915,3.806536,3.859073,3.912545,3.927074,3.989831", \ "3.634594,3.648535,3.675722,3.685635,3.779932,3.817344,3.911161", \ "3.798872,3.777123,3.785279,3.736105,3.767792,3.811906,3.811267", \ "3.995066,4.038196,4.087694,3.999256,3.966935,3.918324,3.866544", \ "4.394989,4.390653,4.399691,4.436524,4.326694,4.192937,4.070049", \ "5.079418,5.056141,5.025451,4.999723,4.945194,4.728301,4.499733"); } } internal_power () { related_pin : "A1"; when : "A2 & !B1 & B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.494470,0.477325,0.461836,0.457803,0.447636,0.457603,0.460579", \ "0.445055,0.446928,0.447784,0.451630,0.467196,0.481945,0.496700", \ "0.389642,0.399312,0.410166,0.436323,0.465559,0.498588,0.527980", \ "0.545434,0.531436,0.512776,0.485580,0.469361,0.501123,0.529484", \ "0.815200,0.780506,0.744798,0.688977,0.624532,0.554285,0.563110", \ "1.239167,1.190866,1.134892,1.053909,0.928907,0.791175,0.666767", \ "1.824147,1.775680,1.699752,1.584670,1.405255,1.176382,0.936990"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("3.841168,3.872542,3.876326,3.902742,3.928534,3.902351,3.948490", \ "3.669773,3.712974,3.744544,3.817244,3.846278,3.872458,3.938438", \ "3.571623,3.597691,3.620703,3.627267,3.732954,3.783759,3.877527", \ "3.716387,3.709101,3.704463,3.692345,3.709272,3.729480,3.752451", \ "4.024146,4.022301,3.981130,3.911297,3.897069,3.797681,3.771661", \ "4.320243,4.349219,4.371353,4.351670,4.259629,4.100867,4.007922", \ "4.928845,4.917620,4.894475,4.892182,4.835165,4.567655,4.333133"); } } internal_power () { related_pin : "A1"; when : "A2 & B1 & !B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.462549,0.457702,0.459018,0.453760,0.453464,0.451709,0.460230", \ "0.424221,0.430631,0.444136,0.452205,0.468785,0.484853,0.493430", \ "0.370935,0.382680,0.405916,0.428761,0.467645,0.497590,0.522636", \ "0.513418,0.497103,0.480524,0.459093,0.467284,0.495886,0.531226", \ "0.788774,0.760351,0.725895,0.681117,0.615127,0.552618,0.559865", \ "1.236627,1.187626,1.124283,1.038535,0.922193,0.781751,0.668832", \ "1.856377,1.791768,1.718945,1.587968,1.400772,1.169577,0.932701"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("5.024605,5.054653,5.079502,5.093073,5.136008,5.157900,5.230675", \ "4.896493,4.935206,4.974465,5.021199,5.087072,5.129749,5.218865", \ "4.768786,4.800885,4.821899,4.887773,4.918187,5.062627,5.162980", \ "4.855145,4.861817,4.871312,4.867642,4.884770,4.989614,5.038000", \ "5.147674,5.133399,5.114563,5.108954,5.059080,5.050220,5.055243", \ "5.472321,5.508106,5.552496,5.531806,5.407136,5.345244,5.222761", \ "6.021459,6.028920,6.030657,6.061108,5.998606,5.803612,5.609035"); } } internal_power () { related_pin : "A2"; when : "A1 & !B1 & !B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.445582,0.442863,0.440079,0.445955,0.447068,0.452803,0.458511", \ "0.394809,0.405744,0.423901,0.441518,0.457387,0.471938,0.483072", \ "0.289716,0.303933,0.334101,0.366073,0.417655,0.456645,0.484106", \ "0.350578,0.351030,0.349897,0.346939,0.353117,0.409035,0.459717", \ "0.482968,0.467212,0.457872,0.443087,0.422455,0.390277,0.438754", \ "0.758600,0.730653,0.692488,0.656494,0.598664,0.532569,0.467896", \ "1.201875,1.153923,1.104539,1.018404,0.912906,0.777749,0.643693"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("4.959925,4.973177,4.995549,5.030162,5.065465,5.072152,5.136897", \ "4.832479,4.854873,4.892604,4.923913,4.987200,5.019752,5.093720", \ "4.743915,4.779268,4.788371,4.810212,4.910850,4.945635,5.035517", \ "4.876942,4.881328,4.887842,4.915489,4.874695,4.969826,4.968627", \ "5.134353,5.197171,5.188520,5.166003,5.127402,5.092523,5.050585", \ "5.466761,5.500980,5.518820,5.601642,5.544954,5.374159,5.279644", \ "6.099656,6.105310,6.105042,6.112971,6.128301,5.906474,5.710108"); } } internal_power () { related_pin : "A2"; when : "A1 & !B1 & B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.492426,0.479641,0.471021,0.458272,0.452651,0.455916,0.463266", \ "0.448996,0.453721,0.457072,0.462929,0.472187,0.484854,0.498894", \ "0.348572,0.371315,0.382211,0.420879,0.457310,0.494269,0.526270", \ "0.395013,0.399377,0.399263,0.401947,0.411198,0.462653,0.511721", \ "0.506254,0.503206,0.496969,0.487404,0.476021,0.447306,0.495556", \ "0.753218,0.731775,0.702533,0.677189,0.633957,0.582514,0.521927", \ "1.150349,1.116729,1.074399,1.002511,0.915893,0.805064,0.689647"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("4.931410,4.953228,4.962597,4.997989,5.033560,5.050245,5.053194", \ "4.805155,4.836294,4.869512,4.899632,4.942630,5.005282,5.017318", \ "4.721522,4.749242,4.766071,4.814595,4.845344,4.888334,4.980259", \ "4.837026,4.842120,4.853300,4.844979,4.844526,4.866598,4.951959", \ "5.130628,5.117780,5.107557,5.098017,5.036605,5.015199,5.036962", \ "5.447447,5.483453,5.547115,5.503199,5.396216,5.323995,5.184286", \ "5.984916,6.005285,6.011858,6.056004,5.954392,5.794828,5.584962"); } } internal_power () { related_pin : "A2"; when : "A1 & B1 & !B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.469704,0.463743,0.459985,0.452661,0.452858,0.455029,0.457844", \ "0.430753,0.442400,0.449710,0.454938,0.462950,0.481855,0.497651", \ "0.335917,0.358239,0.374549,0.414896,0.456386,0.489408,0.525058", \ "0.367474,0.375821,0.375815,0.381377,0.411204,0.460733,0.505126", \ "0.490856,0.487754,0.479218,0.477007,0.465435,0.451771,0.498567", \ "0.754367,0.728545,0.699990,0.669125,0.625841,0.576148,0.524200", \ "1.168169,1.128823,1.079962,1.006941,0.913105,0.803136,0.686099"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("6.105843,6.132357,6.146290,6.170855,6.205985,6.306146,6.336833", \ "6.007462,6.039661,6.066686,6.120160,6.151069,6.262777,6.301449", \ "5.907377,5.939953,5.958826,6.014575,6.086637,6.148554,6.265733", \ "5.993798,6.001213,6.025301,6.059321,6.079488,6.125615,6.238072", \ "6.256706,6.253871,6.259850,6.264015,6.260481,6.266880,6.280449", \ "6.623814,6.678423,6.690397,6.635429,6.611273,6.505470,6.468181", \ "7.126122,7.147450,7.186305,7.217305,7.149290,7.032290,6.861379"); } } internal_power () { related_pin : "B1"; when : "!A1 & !A2 & B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("1.621543,1.669315,1.697565,1.748896,1.831087,1.917061,1.994268", \ "1.585757,1.615555,1.664363,1.712480,1.789436,1.877175,1.961545", \ "1.521146,1.552745,1.593037,1.651049,1.738615,1.835433,1.926601", \ "1.651112,1.649884,1.648815,1.650056,1.727348,1.808882,1.905081", \ "1.930340,1.915375,1.910942,1.885532,1.856538,1.874099,1.944050", \ "2.346411,2.320501,2.294920,2.259702,2.198648,2.109644,2.055395", \ "2.927655,2.898297,2.866594,2.805904,2.692687,2.531103,2.338558"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("6.428914,6.455820,6.463668,6.458291,6.505215,6.495691,6.538773", \ "6.311973,6.349367,6.375319,6.372501,6.446845,6.453197,6.508879", \ "6.175094,6.206132,6.216170,6.242355,6.300452,6.399323,6.387811", \ "6.140414,6.153196,6.135706,6.166503,6.203450,6.228706,6.320767", \ "6.165031,6.177266,6.150746,6.180287,6.140126,6.225712,6.308292", \ "6.081247,6.137737,6.198922,6.220289,6.207553,6.264356,6.232944", \ "6.218184,6.228763,6.253928,6.307792,6.405515,6.351828,6.332826"); } } internal_power () { related_pin : "B1"; when : "!A1 & A2 & B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("1.326487,1.373268,1.430693,1.503599,1.617278,1.731298,1.821028", \ "1.267044,1.332880,1.385779,1.463217,1.576165,1.684704,1.789515", \ "1.227545,1.273295,1.328503,1.397323,1.505325,1.611080,1.723684", \ "1.413077,1.419302,1.419690,1.419176,1.492278,1.600012,1.694102", \ "1.708027,1.708913,1.692398,1.681324,1.645942,1.652651,1.729265", \ "2.136641,2.115196,2.086912,2.054187,1.983971,1.892718,1.841425", \ "2.712294,2.689058,2.653132,2.584983,2.464249,2.302781,2.111175"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("5.900773,5.925085,5.931789,5.934344,5.941803,5.939314,5.983379", \ "5.776058,5.810761,5.845498,5.866310,5.888073,5.966841,5.960048", \ "5.646819,5.674924,5.696398,5.739560,5.797346,5.838050,5.920674", \ "5.604123,5.615280,5.613432,5.622682,5.671214,5.706378,5.800185", \ "5.634584,5.634786,5.627679,5.599441,5.661198,5.680031,5.700077", \ "5.551221,5.620691,5.705279,5.728337,5.683371,5.673009,5.732882", \ "5.646628,5.675521,5.709859,5.803325,5.882567,5.805636,5.818623"); } } internal_power () { related_pin : "B1"; when : "A1 & !A2 & B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("1.425973,1.468059,1.504639,1.570459,1.647478,1.745995,1.831182", \ "1.384769,1.417784,1.442630,1.505697,1.600230,1.696513,1.786246", \ "1.314697,1.344492,1.388444,1.451769,1.532552,1.627997,1.721327", \ "1.444476,1.435024,1.425269,1.456449,1.526773,1.606448,1.705073", \ "1.742327,1.729361,1.716942,1.694663,1.661152,1.669258,1.740752", \ "2.170116,2.138479,2.106229,2.054836,1.985673,1.895710,1.847952", \ "2.757395,2.719994,2.677569,2.595177,2.468723,2.305402,2.112167"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("7.076327,7.102807,7.111521,7.159608,7.175013,7.191507,7.260933", \ "6.987002,7.020440,7.045396,7.105815,7.126578,7.221365,7.237868", \ "6.839353,6.871017,6.909017,6.951761,7.042716,7.095656,7.201017", \ "6.784581,6.809949,6.816054,6.850836,6.914207,6.965667,7.082766", \ "6.802647,6.813755,6.815268,6.810123,6.836462,6.939657,6.982220", \ "6.785626,6.853635,6.922530,6.897447,6.916401,6.927457,7.014021", \ "6.833713,6.882318,6.925125,7.034946,7.086208,7.055682,7.098829"); } } internal_power () { related_pin : "B2"; when : "!A1 & !A2 & B1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("1.631557,1.660007,1.699096,1.749719,1.831700,1.909528,2.000038", \ "1.588376,1.633298,1.666889,1.714757,1.800383,1.872803,1.961788", \ "1.524075,1.558360,1.587477,1.659026,1.741619,1.824105,1.920796", \ "1.568572,1.587365,1.594863,1.618319,1.693031,1.786342,1.893986", \ "1.719268,1.729306,1.729079,1.737701,1.753448,1.773536,1.881094", \ "1.954812,1.949256,1.946548,1.946399,1.929011,1.920002,1.921303", \ "2.315792,2.304310,2.290958,2.265571,2.219972,2.165243,2.091417"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("7.490194,7.505920,7.516594,7.512488,7.575623,7.584691,7.647539", \ "7.420518,7.435319,7.463164,7.466525,7.511992,7.532017,7.594838", \ "7.335727,7.351826,7.380345,7.412154,7.395478,7.500115,7.580453", \ "7.295934,7.307479,7.327103,7.354572,7.352064,7.459877,7.460853", \ "7.321142,7.320385,7.330927,7.320373,7.376585,7.393434,7.479293", \ "7.273863,7.333231,7.406472,7.450123,7.449673,7.441588,7.508281", \ "7.340345,7.374516,7.428113,7.511105,7.630102,7.593182,7.615129"); } } internal_power () { related_pin : "B2"; when : "!A1 & A2 & B1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("1.316413,1.381935,1.435196,1.505508,1.614147,1.727948,1.833501", \ "1.277994,1.332705,1.391428,1.471685,1.572635,1.685779,1.779399", \ "1.198154,1.259493,1.306792,1.394077,1.507567,1.621244,1.718088", \ "1.324808,1.334405,1.349504,1.368969,1.449148,1.567385,1.685163", \ "1.499939,1.503331,1.502675,1.528032,1.540766,1.566415,1.672203", \ "1.739780,1.739783,1.735625,1.738496,1.729588,1.713676,1.714770", \ "2.114378,2.102785,2.087358,2.054724,2.013808,1.964405,1.885879"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("6.970012,6.980772,7.005449,7.032343,7.021603,7.085894,7.091228", \ "6.890685,6.916244,6.933160,6.944531,7.013370,7.034617,7.043384", \ "6.809799,6.826077,6.852223,6.886795,6.912574,6.941133,7.025768", \ "6.773684,6.787317,6.794517,6.806925,6.880537,6.916292,6.940791", \ "6.783159,6.805961,6.801303,6.816024,6.820756,6.912509,6.935531", \ "6.755293,6.827916,6.899330,6.892929,6.907710,6.912799,6.986691", \ "6.782235,6.833873,6.900399,7.015907,7.072681,7.045608,7.016436"); } } internal_power () { related_pin : "B2"; when : "A1 & !A2 & B1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("1.435328,1.468182,1.506268,1.557508,1.647980,1.739401,1.837065", \ "1.385881,1.418409,1.446370,1.518427,1.607742,1.693045,1.789835", \ "1.311857,1.342210,1.368765,1.441320,1.534766,1.627538,1.732064", \ "1.366122,1.371848,1.372060,1.399869,1.478177,1.586141,1.695842", \ "1.532844,1.539110,1.542664,1.548496,1.553734,1.580382,1.683539", \ "1.779822,1.774895,1.760874,1.754083,1.742415,1.720909,1.718046", \ "2.147414,2.133272,2.105246,2.071713,2.024179,1.965709,1.888422"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("8.143279,8.168986,8.185664,8.201884,8.240146,8.339884,8.370360", \ "8.082209,8.100510,8.122043,8.158200,8.183655,8.288802,8.322884", \ "7.994482,8.024138,8.040603,8.079819,8.145601,8.197697,8.306150", \ "7.954883,7.983635,7.987034,8.025026,8.054148,8.173895,8.287970", \ "7.961137,7.987450,7.993165,8.034731,8.058209,8.168900,8.217732", \ "7.994625,8.057290,8.073781,8.089032,8.141100,8.169945,8.268683", \ "7.997280,8.053582,8.127053,8.260108,8.301277,8.295456,8.363413"); } } } } /****************************************************************************************** Module : AOI22_X4 Cell Description : Combinational cell (AOI22_X4) with drive strength X4 *******************************************************************************************/ cell (AOI22_X4) { drive_strength : 4; area : 4.522000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 76.662020; leakage_power () { when : "!A1 & !A2 & !B1 & !B2"; value : 25.412785; } leakage_power () { when : "!A1 & !A2 & !B1 & B2"; value : 82.385615; } leakage_power () { when : "!A1 & !A2 & B1 & !B2"; value : 43.576497; } leakage_power () { when : "!A1 & !A2 & B1 & B2"; value : 58.104375; } leakage_power () { when : "!A1 & A2 & !B1 & !B2"; value : 82.385710; } leakage_power () { when : "!A1 & A2 & !B1 & B2"; value : 139.331560; } leakage_power () { when : "!A1 & A2 & B1 & !B2"; value : 100.542395; } leakage_power () { when : "!A1 & A2 & B1 & B2"; value : 77.705345; } leakage_power () { when : "A1 & !A2 & !B1 & !B2"; value : 43.576595; } leakage_power () { when : "A1 & !A2 & !B1 & B2"; value : 100.542398; } leakage_power () { when : "A1 & !A2 & B1 & !B2"; value : 61.742307; } leakage_power () { when : "A1 & !A2 & B1 & B2"; value : 77.699075; } leakage_power () { when : "A1 & A2 & !B1 & !B2"; value : 69.035550; } leakage_power () { when : "A1 & A2 & !B1 & B2"; value : 89.299620; } leakage_power () { when : "A1 & A2 & B1 & !B2"; value : 89.291640; } leakage_power () { when : "A1 & A2 & B1 & B2"; value : 85.960854; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 6.250191; fall_capacitance : 5.492860; rise_capacitance : 6.250191; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 6.539911; fall_capacitance : 5.609783; rise_capacitance : 6.539911; } pin (B1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 5.969549; fall_capacitance : 5.729647; rise_capacitance : 5.969549; } pin (B2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 6.414120; fall_capacitance : 5.932138; rise_capacitance : 6.414120; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 97.961400; function : "!((A1 & A2) | (B1 & B2))"; timing () { related_pin : "A1"; when : "A2 & !B1 & !B2"; sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.016821,0.020095,0.023786,0.031124,0.045742,0.074918,0.133216", \ "0.021236,0.024588,0.028336,0.035745,0.050430,0.079662,0.137999", \ "0.034433,0.039339,0.044197,0.052554,0.067203,0.096402,0.154737", \ "0.045120,0.053136,0.061051,0.074509,0.096071,0.129113,0.187021", \ "0.050300,0.061701,0.073064,0.092403,0.123251,0.169888,0.238323", \ "0.048799,0.063759,0.078700,0.104363,0.145379,0.207251,0.297010", \ "0.039791,0.058395,0.077004,0.109176,0.160892,0.239163,0.352376"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.043148,0.053035,0.064037,0.085747,0.128716,0.214189,0.384765", \ "0.045470,0.055544,0.066793,0.088905,0.132385,0.218322,0.389220", \ "0.061893,0.071396,0.082232,0.103981,0.147385,0.233648,0.405054", \ "0.091878,0.104277,0.116752,0.138415,0.180594,0.265891,0.436915", \ "0.124783,0.141226,0.158029,0.187284,0.235758,0.319517,0.488900", \ "0.161206,0.181676,0.202683,0.239528,0.300761,0.397536,0.564588", \ "0.201836,0.226186,0.251207,0.295486,0.369458,0.486935,0.667033"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.009505,0.012291,0.015478,0.021843,0.034572,0.060029,0.110933", \ "0.009497,0.012292,0.015475,0.021845,0.034572,0.060028,0.110935", \ "0.015408,0.017409,0.019588,0.023821,0.034727,0.060028,0.110933", \ "0.027734,0.030505,0.033360,0.038416,0.047153,0.064260,0.110933", \ "0.043975,0.047937,0.051887,0.058581,0.069625,0.087592,0.120746", \ "0.063841,0.069153,0.074500,0.083293,0.097376,0.119353,0.154126", \ "0.087344,0.094157,0.101034,0.112240,0.129947,0.156864,0.197624"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.030730,0.039433,0.049341,0.069158,0.108680,0.187676,0.345556", \ "0.030636,0.039390,0.049336,0.069134,0.108683,0.187647,0.345555", \ "0.030899,0.038975,0.049139,0.069107,0.108675,0.187665,0.345557", \ "0.044987,0.050929,0.057014,0.072030,0.108549,0.187655,0.345558", \ "0.062712,0.070462,0.078518,0.092957,0.119698,0.188011,0.345543", \ "0.082987,0.092791,0.102913,0.120534,0.150426,0.204677,0.345488", \ "0.106104,0.117802,0.130017,0.151232,0.186775,0.244398,0.359872"); } } timing () { related_pin : "A1"; when : "A2 & !B1 & B2"; sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.016824,0.020095,0.023785,0.031121,0.045733,0.074897,0.133174", \ "0.021295,0.024644,0.028389,0.035793,0.050475,0.079696,0.138009", \ "0.034700,0.039576,0.044412,0.052735,0.067369,0.096558,0.154870", \ "0.045520,0.053538,0.061430,0.074857,0.096357,0.129324,0.187215", \ "0.050355,0.061841,0.073299,0.092677,0.123557,0.170160,0.238524", \ "0.047854,0.063072,0.078215,0.104145,0.145412,0.207429,0.297198", \ "0.037063,0.056151,0.075155,0.107863,0.160217,0.238968,0.352410"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.056078,0.069926,0.085320,0.115681,0.175738,0.295167,0.533391", \ "0.057109,0.071220,0.086979,0.117938,0.178727,0.298818,0.537511", \ "0.071699,0.085016,0.100247,0.130792,0.191578,0.312212,0.551684", \ "0.104631,0.119263,0.133927,0.162805,0.222167,0.341683,0.580812", \ "0.141981,0.161145,0.180867,0.215535,0.274621,0.391897,0.629107", \ "0.183391,0.207016,0.231391,0.274537,0.347028,0.466104,0.700262", \ "0.229739,0.257609,0.286401,0.337734,0.424409,0.564025,0.797228"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.009501,0.012293,0.015476,0.021844,0.034574,0.060025,0.110925", \ "0.009499,0.012292,0.015475,0.021845,0.034571,0.060025,0.110928", \ "0.015271,0.017294,0.019470,0.023756,0.034710,0.060026,0.110933", \ "0.027477,0.030260,0.033127,0.038213,0.047002,0.064183,0.110927", \ "0.043843,0.047770,0.051694,0.058378,0.069431,0.087445,0.120662", \ "0.064052,0.069361,0.074653,0.083357,0.097336,0.119228,0.154012", \ "0.088168,0.094955,0.101789,0.112871,0.130311,0.156963,0.197582"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.043568,0.055672,0.069368,0.096628,0.150895,0.259221,0.475548", \ "0.043296,0.055556,0.069326,0.096618,0.150880,0.259214,0.475547", \ "0.041700,0.054737,0.068946,0.096537,0.150897,0.259205,0.475538", \ "0.052991,0.060776,0.071549,0.096071,0.150810,0.259200,0.475531", \ "0.071394,0.081248,0.091735,0.110483,0.153966,0.259137,0.475540", \ "0.092426,0.104374,0.116873,0.139131,0.177728,0.264032,0.475544", \ "0.116122,0.130173,0.144917,0.170973,0.215558,0.292055,0.477038"); } } timing () { related_pin : "A1"; when : "A2 & B1 & !B2"; sdf_cond : "(A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.017217,0.020491,0.024183,0.031526,0.046156,0.075355,0.133703", \ "0.021693,0.025043,0.028790,0.036201,0.050899,0.080155,0.138537", \ "0.035335,0.040131,0.044910,0.053161,0.067794,0.097020,0.155403", \ "0.046661,0.054513,0.062293,0.075575,0.096939,0.129785,0.187747", \ "0.052191,0.063395,0.074612,0.093789,0.124465,0.170865,0.239086", \ "0.050521,0.065363,0.080254,0.105787,0.146730,0.208455,0.298003", \ "0.040776,0.059371,0.078027,0.110279,0.162108,0.240428,0.353539"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.076389,0.090181,0.105627,0.136114,0.196419,0.316151,0.554559", \ "0.078158,0.092166,0.107851,0.138757,0.199611,0.319925,0.558710", \ "0.091542,0.105330,0.120824,0.151597,0.212585,0.333433,0.572944", \ "0.125723,0.138309,0.153100,0.182912,0.242829,0.362769,0.602051", \ "0.169946,0.186867,0.204611,0.236643,0.294692,0.412646,0.650240", \ "0.218063,0.239018,0.260974,0.300837,0.369231,0.486379,0.721161", \ "0.270745,0.295583,0.321706,0.369157,0.451044,0.585543,0.817835"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.010857,0.013864,0.017282,0.024090,0.037577,0.063882,0.115055", \ "0.010848,0.013862,0.017281,0.024089,0.037579,0.063883,0.115056", \ "0.017182,0.019146,0.021209,0.025926,0.037698,0.063879,0.115055", \ "0.032743,0.034852,0.037279,0.041888,0.050349,0.067969,0.115056", \ "0.054993,0.057338,0.060028,0.065276,0.074937,0.091827,0.124702", \ "0.081126,0.084037,0.087360,0.093744,0.105296,0.125106,0.158362", \ "0.111313,0.114899,0.119094,0.126835,0.140881,0.164557,0.202959"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.053642,0.065726,0.079566,0.107095,0.161770,0.270643,0.487510", \ "0.053577,0.065696,0.079544,0.107079,0.161765,0.270676,0.487500", \ "0.053055,0.065457,0.079434,0.107057,0.161763,0.270665,0.487516", \ "0.056274,0.066698,0.079324,0.106515,0.161732,0.270614,0.487500", \ "0.075089,0.084900,0.095257,0.115482,0.162693,0.270560,0.487508", \ "0.096438,0.108329,0.120785,0.143061,0.182372,0.273341,0.487507", \ "0.120498,0.134595,0.149305,0.175313,0.219777,0.297961,0.488156"); } } timing () { related_pin : "A2"; when : "A1 & !B1 & !B2"; sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.019547,0.022803,0.026480,0.033805,0.048414,0.077583,0.135886", \ "0.024557,0.027885,0.031621,0.039012,0.053683,0.082904,0.141235", \ "0.037700,0.041812,0.046148,0.054177,0.069037,0.098402,0.156836", \ "0.052407,0.058729,0.065033,0.076044,0.094800,0.126908,0.185691", \ "0.063250,0.073028,0.082510,0.098474,0.124012,0.164154,0.229778", \ "0.067814,0.081425,0.094784,0.117208,0.152224,0.204219,0.282728", \ "0.065304,0.082998,0.100367,0.129885,0.175980,0.243262,0.339348"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.056107,0.065808,0.076730,0.098407,0.141434,0.227068,0.397737", \ "0.058951,0.068827,0.079896,0.101784,0.145057,0.230908,0.401760", \ "0.075000,0.084791,0.095756,0.117546,0.160841,0.246867,0.417987", \ "0.108912,0.119707,0.130846,0.151900,0.194372,0.279683,0.450437", \ "0.148066,0.162480,0.177481,0.204308,0.249780,0.333493,0.502867", \ "0.190609,0.208684,0.227522,0.261425,0.318935,0.411767,0.578738", \ "0.237155,0.258812,0.281435,0.322223,0.391823,0.504561,0.681230"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.009502,0.012290,0.015477,0.021843,0.034574,0.060028,0.110931", \ "0.009504,0.012294,0.015478,0.021843,0.034574,0.060027,0.110933", \ "0.011987,0.014471,0.017235,0.022716,0.034632,0.060029,0.110932", \ "0.019377,0.021825,0.024558,0.029940,0.040652,0.062275,0.110933", \ "0.031661,0.034520,0.037510,0.042975,0.053284,0.073973,0.116294", \ "0.047944,0.051580,0.055243,0.061573,0.072422,0.092496,0.133261", \ "0.067467,0.072091,0.076777,0.084619,0.097255,0.118182,0.157732"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.037698,0.046485,0.056517,0.076509,0.116356,0.195724,0.354021", \ "0.037675,0.046480,0.056509,0.076510,0.116341,0.195723,0.354026", \ "0.037400,0.046344,0.056452,0.076496,0.116337,0.195709,0.354034", \ "0.046583,0.052613,0.060309,0.077529,0.116276,0.195698,0.354034", \ "0.064464,0.072219,0.080364,0.094845,0.123976,0.195645,0.354022", \ "0.084442,0.094392,0.104615,0.122418,0.152548,0.209369,0.353945", \ "0.106257,0.118563,0.131118,0.152885,0.188814,0.246710,0.366007"); } } timing () { related_pin : "A2"; when : "A1 & !B1 & B2"; sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.019549,0.022803,0.026479,0.033802,0.048405,0.077565,0.135848", \ "0.024614,0.027940,0.031673,0.039061,0.053729,0.082938,0.141250", \ "0.037917,0.042024,0.046351,0.054364,0.069207,0.098562,0.156973", \ "0.052765,0.059089,0.065379,0.076372,0.095087,0.127143,0.185904", \ "0.063611,0.073406,0.082894,0.098861,0.124388,0.164455,0.230018", \ "0.067805,0.081510,0.094949,0.117453,0.152543,0.204543,0.282992", \ "0.064228,0.082219,0.099804,0.129619,0.175988,0.243449,0.339577"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.074679,0.088211,0.103462,0.133722,0.193800,0.313398,0.551698", \ "0.076451,0.090244,0.105720,0.136292,0.196736,0.316670,0.555196", \ "0.090647,0.104340,0.119687,0.150187,0.210747,0.330976,0.569939", \ "0.125153,0.137829,0.152538,0.182208,0.241839,0.361266,0.599891", \ "0.169551,0.186441,0.204131,0.236167,0.294161,0.411781,0.648857", \ "0.217827,0.238808,0.260788,0.300615,0.368910,0.485935,0.720318", \ "0.270732,0.295648,0.321820,0.369222,0.451035,0.585350,0.817387"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.009499,0.012290,0.015478,0.021843,0.034575,0.060023,0.110930", \ "0.009502,0.012292,0.015477,0.021842,0.034573,0.060026,0.110927", \ "0.011940,0.014425,0.017189,0.022687,0.034622,0.060027,0.110929", \ "0.019214,0.021666,0.024420,0.029826,0.040577,0.062239,0.110927", \ "0.031429,0.034296,0.037287,0.042777,0.053116,0.073868,0.116248", \ "0.047795,0.051425,0.055073,0.061396,0.072223,0.092336,0.133170", \ "0.067605,0.072221,0.076881,0.084647,0.097192,0.118056,0.157618"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.053627,0.065728,0.079562,0.107098,0.161777,0.270684,0.487557", \ "0.053559,0.065691,0.079548,0.107082,0.161792,0.270691,0.487566", \ "0.053027,0.065442,0.079433,0.107067,0.161784,0.270674,0.487578", \ "0.056497,0.066895,0.079380,0.106493,0.161744,0.270623,0.487558", \ "0.074862,0.084764,0.095247,0.115678,0.162824,0.270579,0.487582", \ "0.095770,0.107842,0.120392,0.142851,0.182455,0.273454,0.487554", \ "0.118591,0.133143,0.148137,0.174601,0.219434,0.298053,0.488242"); } } timing () { related_pin : "A2"; when : "A1 & B1 & !B2"; sdf_cond : "(A1 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.019942,0.023197,0.026876,0.034208,0.048830,0.078024,0.136376", \ "0.025015,0.028340,0.032074,0.039469,0.054153,0.083397,0.141781", \ "0.038431,0.042498,0.046805,0.054780,0.069633,0.099022,0.157510", \ "0.053603,0.059810,0.066031,0.076949,0.095602,0.127621,0.186441", \ "0.064999,0.074579,0.083924,0.099716,0.125074,0.165063,0.230586", \ "0.069971,0.083332,0.096520,0.118743,0.153546,0.205331,0.283675", \ "0.067260,0.084815,0.102092,0.131492,0.177440,0.244533,0.340437"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.094803,0.108438,0.123793,0.154219,0.214519,0.334328,0.572878", \ "0.096992,0.110814,0.126308,0.156962,0.217541,0.337612,0.576392", \ "0.110916,0.124749,0.140239,0.170910,0.231623,0.351997,0.591153", \ "0.143975,0.157227,0.172349,0.202472,0.262492,0.382237,0.621083", \ "0.194327,0.209627,0.225855,0.255728,0.314364,0.432530,0.669953", \ "0.248765,0.267808,0.288000,0.325085,0.390010,0.506278,0.741204", \ "0.307606,0.330284,0.354408,0.398713,0.476442,0.606243,0.838010"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.010851,0.013863,0.017281,0.024088,0.037581,0.063879,0.115055", \ "0.010864,0.013863,0.017280,0.024088,0.037578,0.063881,0.115055", \ "0.013554,0.016162,0.018971,0.024902,0.037621,0.063883,0.115055", \ "0.022162,0.024511,0.027247,0.032759,0.043822,0.066062,0.115056", \ "0.037981,0.039935,0.042364,0.047330,0.057422,0.078047,0.120337", \ "0.059233,0.061269,0.063674,0.068506,0.078021,0.097247,0.137466", \ "0.083985,0.086377,0.089241,0.094696,0.104891,0.123938,0.162385"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.063528,0.075774,0.089784,0.117597,0.172683,0.282056,0.499557", \ "0.063530,0.075772,0.089779,0.117595,0.172683,0.282034,0.499542", \ "0.063375,0.075698,0.089742,0.117585,0.172670,0.282037,0.499556", \ "0.063567,0.075188,0.088973,0.117409,0.172673,0.282019,0.499556", \ "0.079470,0.088986,0.099480,0.122217,0.172721,0.282015,0.499556", \ "0.101061,0.112713,0.125015,0.147215,0.188178,0.283345,0.499553", \ "0.125051,0.139060,0.153591,0.179574,0.223999,0.304631,0.499702"); } } timing () { related_pin : "B1"; when : "!A1 & !A2 & B2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.025979,0.029842,0.034068,0.042224,0.057895,0.088212,0.147579", \ "0.030426,0.034293,0.038520,0.046674,0.062351,0.092673,0.152043", \ "0.047576,0.051495,0.055512,0.063395,0.078921,0.109188,0.168530", \ "0.069163,0.075170,0.081341,0.092339,0.111013,0.141744,0.200720", \ "0.087065,0.095384,0.103980,0.119486,0.145669,0.187563,0.252039", \ "0.099119,0.110002,0.121199,0.141656,0.176250,0.231399,0.315244", \ "0.104088,0.117692,0.131766,0.157330,0.200907,0.270487,0.375792"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.079714,0.090367,0.102329,0.125999,0.172953,0.266431,0.453002", \ "0.083463,0.094347,0.106535,0.130553,0.177912,0.271761,0.458601", \ "0.101130,0.111839,0.123934,0.147940,0.195529,0.289856,0.477235", \ "0.134950,0.145740,0.157492,0.181260,0.228561,0.322778,0.510347", \ "0.175088,0.188921,0.203355,0.230264,0.278808,0.372735,0.560151", \ "0.216714,0.234371,0.252644,0.285768,0.343798,0.443082,0.630241", \ "0.260032,0.282095,0.304754,0.345147,0.414243,0.529419,0.722928"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.017619,0.020425,0.023619,0.029970,0.042642,0.068031,0.118968", \ "0.017454,0.020312,0.023536,0.029921,0.042622,0.068024,0.118962", \ "0.018933,0.021074,0.023765,0.029640,0.042447,0.068009,0.118965", \ "0.030545,0.033133,0.035891,0.040879,0.049663,0.069595,0.118953", \ "0.045926,0.049663,0.053489,0.060134,0.071244,0.089501,0.125418", \ "0.064660,0.069812,0.075058,0.083823,0.098128,0.120506,0.155864", \ "0.086522,0.093251,0.100095,0.111509,0.129655,0.157241,0.198776"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.037695,0.046904,0.057419,0.078413,0.120170,0.203413,0.369550", \ "0.037721,0.046916,0.057425,0.078405,0.120169,0.203414,0.369554", \ "0.037774,0.046942,0.057436,0.078415,0.120153,0.203413,0.369551", \ "0.040151,0.048279,0.058018,0.078508,0.120172,0.203414,0.369564", \ "0.052892,0.060788,0.069679,0.086323,0.122493,0.203406,0.369553", \ "0.070286,0.078771,0.088199,0.106137,0.139670,0.208870,0.369529", \ "0.093023,0.102133,0.112102,0.130991,0.166244,0.231686,0.374107"); } } timing () { related_pin : "B1"; when : "!A1 & A2 & B2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.020776,0.024820,0.029224,0.037656,0.053696,0.084391,0.144034", \ "0.025439,0.029413,0.033767,0.042143,0.058138,0.088809,0.148467", \ "0.042690,0.046981,0.051340,0.059226,0.074801,0.105261,0.164824", \ "0.061923,0.068553,0.075248,0.087001,0.106584,0.137976,0.196991", \ "0.077101,0.086227,0.095572,0.112137,0.139632,0.182854,0.248428", \ "0.086018,0.097951,0.110086,0.131935,0.168280,0.225245,0.310664", \ "0.087520,0.102379,0.117575,0.144872,0.190672,0.262606,0.370004"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.090326,0.103888,0.119131,0.149305,0.209202,0.328521,0.566674", \ "0.093625,0.107467,0.122988,0.153600,0.214015,0.333805,0.572310", \ "0.110810,0.124439,0.139831,0.170416,0.231107,0.351492,0.590683", \ "0.143487,0.157021,0.171953,0.202246,0.262544,0.382790,0.622213", \ "0.182526,0.198769,0.215889,0.248261,0.308594,0.428362,0.667567", \ "0.223327,0.243010,0.263645,0.301552,0.369771,0.491621,0.730417", \ "0.266574,0.290387,0.315097,0.359601,0.437640,0.572651,0.813275"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.016003,0.019072,0.022451,0.029003,0.041778,0.067066,0.117741", \ "0.015569,0.018712,0.022156,0.028792,0.041654,0.067016,0.117760", \ "0.018954,0.020831,0.023209,0.028673,0.041172,0.066886,0.117709", \ "0.031163,0.033708,0.036408,0.041279,0.049882,0.068890,0.117635", \ "0.047019,0.050720,0.054458,0.060933,0.071795,0.089744,0.124787", \ "0.066258,0.071326,0.076484,0.085045,0.099013,0.120993,0.155968", \ "0.088589,0.095271,0.102074,0.113246,0.130973,0.158033,0.199067"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.044040,0.055869,0.069455,0.096640,0.150911,0.259219,0.475538", \ "0.044083,0.055891,0.069456,0.096643,0.150904,0.259217,0.475546", \ "0.044148,0.055927,0.069480,0.096643,0.150902,0.259206,0.475536", \ "0.045744,0.056675,0.069805,0.096706,0.150903,0.259217,0.475537", \ "0.056677,0.067180,0.078808,0.101834,0.151746,0.259182,0.475539", \ "0.071410,0.082524,0.094903,0.118813,0.164069,0.261476,0.475560", \ "0.091269,0.102958,0.115811,0.140481,0.187588,0.277394,0.476691"); } } timing () { related_pin : "B1"; when : "A1 & !A2 & B2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.021301,0.025324,0.029715,0.038135,0.054171,0.084885,0.144593", \ "0.025948,0.029909,0.034252,0.042619,0.058613,0.089302,0.149017", \ "0.043272,0.047505,0.051813,0.059679,0.075276,0.105754,0.165381", \ "0.062923,0.069408,0.076026,0.087681,0.107145,0.138460,0.197549", \ "0.078607,0.087574,0.096770,0.113173,0.140489,0.183546,0.248976", \ "0.088165,0.099896,0.111856,0.133466,0.169546,0.226252,0.311460", \ "0.090483,0.105049,0.120084,0.147081,0.192458,0.264029,0.371130"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.110332,0.123988,0.139312,0.169682,0.229873,0.349523,0.587898", \ "0.114236,0.128068,0.143579,0.174239,0.234818,0.354858,0.593541", \ "0.131188,0.144951,0.160443,0.191183,0.252072,0.372666,0.611965", \ "0.163722,0.177278,0.192333,0.222831,0.283438,0.403956,0.643539", \ "0.206670,0.221913,0.238206,0.269071,0.329362,0.449498,0.688873", \ "0.252600,0.270608,0.290024,0.325974,0.391954,0.512650,0.751678", \ "0.302122,0.323415,0.346112,0.387964,0.462800,0.594803,0.834517"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.018663,0.021804,0.025282,0.032092,0.045370,0.071169,0.121949", \ "0.018175,0.021411,0.024968,0.031871,0.045246,0.071120,0.121947", \ "0.021270,0.023164,0.025777,0.031637,0.044759,0.070989,0.121923", \ "0.036078,0.038139,0.040481,0.044979,0.053310,0.072931,0.121848", \ "0.056522,0.059126,0.061991,0.067366,0.077090,0.094062,0.128919", \ "0.080530,0.083968,0.087801,0.094568,0.106539,0.126693,0.160253", \ "0.107723,0.112242,0.117218,0.125935,0.140881,0.165349,0.204337"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.053721,0.065785,0.079600,0.107108,0.161800,0.270680,0.487570", \ "0.053725,0.065796,0.079599,0.107087,0.161784,0.270671,0.487579", \ "0.053742,0.065797,0.079608,0.107093,0.161796,0.270681,0.487557", \ "0.054115,0.065985,0.079695,0.107120,0.161799,0.270660,0.487571", \ "0.063231,0.073661,0.085541,0.110051,0.162002,0.270625,0.487569", \ "0.077214,0.088677,0.101439,0.125676,0.171779,0.271912,0.487558", \ "0.095815,0.108011,0.121442,0.146813,0.194535,0.285811,0.488157"); } } timing () { related_pin : "B2"; when : "!A1 & !A2 & B1"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.028638,0.032496,0.036720,0.044872,0.060543,0.090864,0.150238", \ "0.033658,0.037516,0.041741,0.049894,0.065570,0.095895,0.155276", \ "0.048891,0.052815,0.057003,0.065110,0.080814,0.111200,0.170635", \ "0.070798,0.075850,0.081157,0.090963,0.108543,0.139836,0.199481", \ "0.093203,0.100258,0.107434,0.120275,0.142496,0.180023,0.243967", \ "0.112199,0.121674,0.131325,0.148649,0.177751,0.224453,0.299422", \ "0.125292,0.137546,0.150065,0.172619,0.210356,0.269432,0.359415"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.093535,0.104132,0.116063,0.139755,0.186801,0.280465,0.467138", \ "0.097945,0.108646,0.120653,0.144466,0.191653,0.285450,0.472217", \ "0.116332,0.127023,0.139055,0.162936,0.210279,0.304281,0.491287", \ "0.150615,0.161201,0.172954,0.196743,0.244006,0.338016,0.525148", \ "0.195147,0.207966,0.221585,0.247052,0.294569,0.388467,0.575584", \ "0.242406,0.258340,0.275258,0.306349,0.361840,0.459046,0.646091", \ "0.292248,0.311849,0.332440,0.369945,0.435643,0.547404,0.738978"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.017547,0.020370,0.023573,0.029938,0.042624,0.068026,0.118962", \ "0.017489,0.020324,0.023538,0.029915,0.042616,0.068022,0.118961", \ "0.018024,0.020628,0.023656,0.029835,0.042556,0.068020,0.118965", \ "0.023576,0.026223,0.029217,0.034989,0.046089,0.069017,0.118976", \ "0.033639,0.036564,0.039742,0.045727,0.057038,0.078810,0.122672", \ "0.047837,0.051549,0.055384,0.062108,0.073925,0.095679,0.138046", \ "0.065366,0.070108,0.075015,0.083271,0.096777,0.119399,0.161262"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.045090,0.054460,0.065124,0.086294,0.128370,0.211998,0.378545", \ "0.045095,0.054469,0.065122,0.086306,0.128353,0.212002,0.378545", \ "0.045108,0.054472,0.065121,0.086308,0.128371,0.212005,0.378567", \ "0.045850,0.054811,0.065279,0.086342,0.128368,0.211993,0.378549", \ "0.057001,0.065062,0.073922,0.091566,0.129569,0.211971,0.378557", \ "0.073516,0.082263,0.091974,0.110320,0.144107,0.215942,0.378546", \ "0.094536,0.104194,0.114661,0.134315,0.170323,0.236526,0.382066"); } } timing () { related_pin : "B2"; when : "!A1 & A2 & B1"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.023533,0.027549,0.031933,0.040340,0.056361,0.087046,0.146695", \ "0.028629,0.032619,0.036981,0.045362,0.061358,0.092028,0.151675", \ "0.043847,0.047983,0.052403,0.060664,0.076567,0.107236,0.166918", \ "0.064517,0.070014,0.075676,0.085945,0.104082,0.135878,0.195715", \ "0.084682,0.092478,0.100276,0.113990,0.137186,0.175547,0.240135", \ "0.100607,0.111082,0.121686,0.140328,0.170981,0.219102,0.295093", \ "0.110031,0.123637,0.137357,0.161696,0.201575,0.262793,0.354367"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.108271,0.121739,0.136937,0.167110,0.227118,0.346658,0.584883", \ "0.112384,0.125975,0.141281,0.171625,0.231815,0.351476,0.589853", \ "0.130439,0.144048,0.159380,0.189801,0.250184,0.370143,0.608784", \ "0.163394,0.176895,0.191881,0.222199,0.282485,0.402438,0.641250", \ "0.206307,0.221561,0.237919,0.268714,0.328853,0.448672,0.687409", \ "0.252138,0.270178,0.289631,0.325574,0.391530,0.512119,0.750734", \ "0.301441,0.322823,0.345555,0.387494,0.462404,0.594337,0.833853"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.015814,0.018908,0.022313,0.028899,0.041713,0.067036,0.117730", \ "0.015593,0.018707,0.022133,0.028753,0.041620,0.066994,0.117728", \ "0.016943,0.019522,0.022520,0.028688,0.041403,0.066935,0.117720", \ "0.023290,0.025856,0.028772,0.034460,0.045497,0.068075,0.117708", \ "0.034186,0.037008,0.040060,0.045834,0.056806,0.078266,0.121689", \ "0.048978,0.052601,0.056313,0.062827,0.074251,0.095464,0.137388", \ "0.067006,0.071669,0.076474,0.084500,0.097618,0.119651,0.160854"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.053707,0.065782,0.079593,0.107075,0.161781,0.270678,0.487525", \ "0.053711,0.065786,0.079586,0.107090,0.161779,0.270644,0.487503", \ "0.053740,0.065798,0.079609,0.107098,0.161781,0.270666,0.487504", \ "0.054128,0.065988,0.079682,0.107116,0.161784,0.270641,0.487513", \ "0.063059,0.073660,0.085596,0.110118,0.161990,0.270619,0.487515", \ "0.076956,0.088460,0.101215,0.125521,0.171840,0.271934,0.487525", \ "0.095045,0.107399,0.120888,0.146487,0.194348,0.285873,0.488144"); } } timing () { related_pin : "B2"; when : "A1 & !A2 & B1"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.024051,0.028049,0.032421,0.040818,0.056836,0.087542,0.147253", \ "0.029144,0.033117,0.037468,0.045839,0.061832,0.092523,0.152232", \ "0.044399,0.048496,0.052893,0.061134,0.077042,0.107733,0.167480", \ "0.065279,0.070698,0.076302,0.086518,0.104606,0.136376,0.196274", \ "0.085850,0.093485,0.101188,0.114792,0.137865,0.176128,0.240721", \ "0.102287,0.112569,0.123013,0.141460,0.171910,0.219868,0.295777", \ "0.112401,0.125725,0.139226,0.163307,0.202901,0.263824,0.355208"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.128283,0.141875,0.157196,0.187568,0.247823,0.367571,0.606103", \ "0.132613,0.146289,0.161685,0.192169,0.252554,0.372441,0.611088", \ "0.150704,0.164435,0.179864,0.210433,0.270989,0.391139,0.630022", \ "0.183555,0.197180,0.212288,0.242783,0.303290,0.423444,0.662506", \ "0.228925,0.243518,0.259097,0.289279,0.349663,0.469664,0.708666", \ "0.279075,0.295995,0.314443,0.348991,0.413190,0.533158,0.771979", \ "0.333527,0.353248,0.374531,0.414388,0.486820,0.616115,0.855088"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.018460,0.021624,0.025137,0.031982,0.045304,0.071142,0.121940", \ "0.018207,0.021406,0.024942,0.031831,0.045209,0.071100,0.121937", \ "0.019423,0.022046,0.025220,0.031715,0.044989,0.071045,0.121930", \ "0.026821,0.029277,0.032147,0.037866,0.049070,0.072157,0.121921", \ "0.040055,0.042379,0.045079,0.050507,0.061267,0.082549,0.125872", \ "0.058532,0.061106,0.063993,0.069477,0.079941,0.100396,0.141703", \ "0.080463,0.083663,0.087231,0.093576,0.104894,0.125429,0.165605"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.063548,0.075776,0.089799,0.117583,0.172688,0.282029,0.499554", \ "0.063538,0.075780,0.089800,0.117595,0.172684,0.282028,0.499549", \ "0.063548,0.075781,0.089804,0.117589,0.172684,0.282058,0.499553", \ "0.063656,0.075839,0.089818,0.117588,0.172683,0.282028,0.499552", \ "0.069837,0.080679,0.093277,0.119054,0.172749,0.282021,0.499553", \ "0.083788,0.095369,0.108192,0.132648,0.180235,0.282669,0.499569", \ "0.101361,0.113810,0.127492,0.153344,0.201616,0.294683,0.499798"); } } internal_power () { related_pin : "A1"; when : "A2 & !B1 & !B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.871895,0.874411,0.880996,0.884871,0.890184,0.913151,0.916721", \ "0.768573,0.800054,0.824301,0.861167,0.902477,0.942868,0.958441", \ "0.659133,0.668371,0.703625,0.768927,0.843019,0.916664,0.971125", \ "0.998094,0.946407,0.908586,0.850573,0.816727,0.895485,0.954683", \ "1.614343,1.513595,1.442694,1.299495,1.150955,0.999082,1.015687", \ "2.584590,2.441215,2.311095,2.117707,1.826131,1.512585,1.241498", \ "3.892842,3.738754,3.579501,3.302912,2.891104,2.361943,1.827948"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("7.772803,7.852613,7.900147,7.931336,7.956230,7.978811,8.024582", \ "7.446599,7.552925,7.608947,7.710646,7.845556,7.857763,7.984967", \ "7.269550,7.335572,7.350373,7.362576,7.566924,7.702668,7.844785", \ "7.609137,7.594842,7.560671,7.577246,7.474156,7.631522,7.681101", \ "7.976969,8.081689,8.157306,8.095031,7.940219,7.844867,7.746170", \ "8.784569,8.809739,8.827842,8.910772,8.661296,8.400556,8.158835", \ "10.172310,10.121300,10.061720,9.984717,9.899286,9.506497,9.021090"); } } internal_power () { related_pin : "A1"; when : "A2 & !B1 & B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.975264,0.952932,0.933784,0.909444,0.897793,0.914205,0.919741", \ "0.881002,0.889439,0.893861,0.917425,0.930488,0.966575,0.987384", \ "0.781258,0.794371,0.815869,0.867083,0.929451,0.993308,1.053310", \ "1.085095,1.060622,1.025496,0.965287,0.931446,1.001983,1.059160", \ "1.624124,1.558870,1.479167,1.372903,1.246633,1.105108,1.125426", \ "2.489276,2.380366,2.263270,2.104813,1.854805,1.580576,1.332238", \ "3.672290,3.536605,3.412829,3.168803,2.809816,2.351931,1.873379"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("7.693264,7.761648,7.807155,7.863785,7.856227,7.829236,7.932628", \ "7.348268,7.443682,7.544676,7.648798,7.713177,7.871495,7.907629", \ "7.159911,7.213792,7.274563,7.355064,7.487277,7.593554,7.663349", \ "7.455175,7.440226,7.422242,7.441779,7.442112,7.486025,7.545934", \ "8.053474,8.059091,8.010017,7.912874,7.814974,7.626446,7.585590", \ "8.659034,8.710785,8.782036,8.788038,8.548256,8.239654,7.933439", \ "9.876002,9.845827,9.813534,9.799560,9.691524,9.170334,8.708291"); } } internal_power () { related_pin : "A1"; when : "A2 & B1 & !B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.934843,0.925280,0.905775,0.895578,0.902481,0.905332,0.921023", \ "0.833667,0.866039,0.874035,0.910761,0.933081,0.970692,0.988844", \ "0.725114,0.777888,0.811098,0.867152,0.930132,0.996649,1.045409", \ "1.022178,0.992294,0.961908,0.914858,0.935479,0.993329,1.063235", \ "1.597075,1.518123,1.452279,1.353205,1.226675,1.102254,1.125223", \ "2.492591,2.372207,2.245696,2.064276,1.841525,1.561513,1.336548", \ "3.743762,3.581288,3.434909,3.173991,2.800232,2.337906,1.864717"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("10.057930,10.122600,10.185710,10.240020,10.286230,10.336590,10.492110", \ "9.800134,9.884267,9.976332,10.068900,10.191330,10.285640,10.469490", \ "9.548043,9.619655,9.672381,9.714208,9.954329,10.118850,10.232320", \ "9.726740,9.762007,9.774205,9.763401,9.790888,10.005400,10.113620", \ "10.335440,10.301840,10.253630,10.240400,10.141190,10.129800,10.149140", \ "10.948630,11.027280,11.160650,11.081860,10.844000,10.724760,10.487650", \ "12.066310,12.067630,12.098410,12.147460,11.939990,11.636510,11.253550"); } } internal_power () { related_pin : "A2"; when : "A1 & !B1 & !B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.886353,0.882510,0.888826,0.894238,0.903776,0.901733,0.917760", \ "0.785944,0.820775,0.844598,0.878224,0.914212,0.947191,0.963343", \ "0.575237,0.606356,0.665957,0.742999,0.839166,0.909400,0.969450", \ "0.693467,0.699772,0.687343,0.690161,0.698988,0.819842,0.918523", \ "0.964050,0.938607,0.917365,0.879645,0.850897,0.785509,0.876042", \ "1.528753,1.458570,1.384162,1.296616,1.200539,1.067554,0.930574", \ "2.415012,2.305523,2.206729,2.035051,1.815128,1.555189,1.287576"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("9.920333,9.980415,10.009810,10.077990,10.132320,10.144320,10.280530", \ "9.663525,9.745419,9.804746,9.848000,10.001240,10.038960,10.194250", \ "9.488016,9.564733,9.599016,9.639287,9.826735,9.891667,10.080910", \ "9.758845,9.801837,9.794607,9.849154,9.757426,9.947652,10.003010", \ "10.241350,10.402210,10.390770,10.355450,10.261040,10.194330,10.114230", \ "10.948790,11.002770,11.038510,11.227380,11.076430,10.765440,10.557300", \ "12.199020,12.211670,12.202600,12.230170,12.250590,11.923160,11.440580"); } } internal_power () { related_pin : "A2"; when : "A1 & !B1 & B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.978911,0.952361,0.933092,0.913261,0.898886,0.912171,0.925394", \ "0.890593,0.890375,0.905704,0.917539,0.941415,0.967527,0.992453", \ "0.694544,0.738033,0.775545,0.836017,0.917128,0.984626,1.047113", \ "0.790737,0.795023,0.799473,0.806097,0.822076,0.922974,1.022697", \ "1.007744,1.003588,0.994825,0.977581,0.959587,0.899690,0.991920", \ "1.517516,1.461054,1.404017,1.351345,1.271485,1.167387,1.051304", \ "2.310246,2.224430,2.145006,2.008876,1.822985,1.609591,1.378904"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("9.895466,9.921248,9.949117,10.019090,10.084400,10.126550,10.143010", \ "9.620492,9.687189,9.762691,9.822586,9.848071,10.038040,10.071790", \ "9.455445,9.517313,9.557479,9.652142,9.774691,9.803248,9.999384", \ "9.690175,9.700504,9.731014,9.711248,9.712130,9.761035,9.945072", \ "10.279520,10.249820,10.233790,10.215750,10.095670,10.059270,10.037980", \ "10.898530,10.999910,11.102270,11.022990,10.841830,10.684840,10.415130", \ "11.992270,12.020770,12.056860,12.120920,11.929270,11.621700,11.209750"); } } internal_power () { related_pin : "A2"; when : "A1 & B1 & !B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.936941,0.918198,0.908317,0.906296,0.902270,0.907345,0.920184", \ "0.858525,0.881632,0.886517,0.915515,0.936148,0.965579,0.995706", \ "0.658657,0.713069,0.757915,0.831459,0.909341,0.984741,1.050169", \ "0.731977,0.748761,0.754220,0.761456,0.822274,0.922750,1.019186", \ "0.988133,0.973235,0.955079,0.960162,0.937273,0.898804,0.997017", \ "1.515796,1.457261,1.408976,1.337510,1.256369,1.151043,1.048645", \ "2.354790,2.255523,2.152445,2.012790,1.824660,1.605459,1.367393"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("12.242340,12.277000,12.339150,12.391540,12.426760,12.631630,12.705750", \ "12.028000,12.092130,12.145230,12.214950,12.319330,12.544250,12.635520", \ "11.825330,11.896120,11.967750,12.080440,12.190750,12.316130,12.566170", \ "11.991310,12.037630,12.086560,12.094370,12.180400,12.273310,12.512020", \ "12.529000,12.541970,12.528290,12.504940,12.546360,12.563050,12.601000", \ "13.241290,13.366950,13.382650,13.317090,13.243670,13.045530,12.970340", \ "14.254960,14.303980,14.368230,14.444690,14.315090,14.091960,13.757290"); } } internal_power () { related_pin : "B1"; when : "!A1 & !A2 & B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("3.248815,3.353452,3.427416,3.530249,3.680048,3.844104,4.008247", \ "3.170944,3.263037,3.337581,3.437331,3.595454,3.763229,3.938207", \ "3.044081,3.127201,3.212758,3.316193,3.493515,3.660540,3.856917", \ "3.299661,3.302297,3.287236,3.325910,3.463093,3.622267,3.823159", \ "3.876082,3.845541,3.835111,3.788519,3.723866,3.751621,3.891780", \ "4.706872,4.662198,4.601712,4.517801,4.407635,4.217262,4.113872", \ "5.882607,5.805918,5.746749,5.606705,5.382255,5.070529,4.682567"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("12.679820,12.735620,12.736780,12.806160,12.745420,12.852260,12.797710", \ "12.443590,12.523820,12.578100,12.664570,12.751110,12.768430,12.889440", \ "12.173630,12.240540,12.295940,12.321510,12.425080,12.649500,12.653480", \ "12.083640,12.135280,12.134880,12.106010,12.273370,12.329770,12.521080", \ "12.161080,12.158020,12.157690,12.179980,12.200090,12.301290,12.330100", \ "12.002970,12.112170,12.185960,12.343590,12.286010,12.241120,12.349480", \ "12.269420,12.312780,12.358650,12.494470,12.733370,12.555470,12.556810"); } } internal_power () { related_pin : "B1"; when : "!A1 & A2 & B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("2.605804,2.764851,2.886533,3.020986,3.256511,3.469473,3.667179", \ "2.544277,2.670284,2.787310,2.939554,3.146087,3.362961,3.579361", \ "2.457056,2.544980,2.641061,2.808156,3.008854,3.247612,3.455789", \ "2.844521,2.826609,2.839343,2.850799,3.001644,3.207956,3.403900", \ "3.435688,3.413479,3.405863,3.376248,3.318537,3.313562,3.467393", \ "4.292067,4.245349,4.177299,4.106341,3.981735,3.792547,3.680247", \ "5.462121,5.388739,5.312693,5.168595,4.942744,4.616378,4.234814"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("11.623790,11.675160,11.709200,11.668180,11.734280,11.736230,11.706810", \ "11.372430,11.468570,11.495330,11.532550,11.628280,11.771080,11.790490", \ "11.117450,11.177550,11.197420,11.280210,11.432340,11.536460,11.585130", \ "11.038400,11.081600,11.073920,11.136780,11.203860,11.281630,11.349860", \ "11.099040,11.101590,11.098990,11.089160,11.097070,11.229910,11.282850", \ "10.913650,11.071200,11.240350,11.246010,11.235890,11.220680,11.217820", \ "11.143050,11.209060,11.276900,11.418360,11.591100,11.492150,11.393550"); } } internal_power () { related_pin : "B1"; when : "A1 & !A2 & B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("2.855719,2.935515,3.025554,3.134964,3.303054,3.503301,3.686013", \ "2.744623,2.851585,2.924907,3.041265,3.212320,3.402944,3.596644", \ "2.633259,2.703875,2.793942,2.908837,3.086653,3.271465,3.468528", \ "2.889294,2.885062,2.875034,2.927159,3.059994,3.225983,3.417617", \ "3.498833,3.474924,3.424940,3.400464,3.307721,3.323141,3.482691", \ "4.357285,4.300086,4.231833,4.120594,3.982930,3.793839,3.700125", \ "5.545055,5.462468,5.370783,5.205578,4.951017,4.624377,4.235657"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("13.971870,14.028270,14.080350,14.132050,14.220810,14.240070,14.261700", \ "13.792680,13.864630,13.905590,14.040450,14.099040,14.172770,14.346420", \ "13.518980,13.567430,13.633140,13.719820,13.903360,14.053740,14.167650", \ "13.394080,13.449720,13.450580,13.517400,13.684200,13.800140,13.913850", \ "13.415700,13.464020,13.445600,13.528220,13.536920,13.620770,13.847420", \ "13.373060,13.553310,13.655390,13.642330,13.697230,13.728380,13.779610", \ "13.506150,13.597070,13.706990,13.883150,14.043640,13.990950,13.951520"); } } internal_power () { related_pin : "B2"; when : "!A1 & !A2 & B1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("3.263537,3.353215,3.394106,3.520623,3.675262,3.835263,4.008129", \ "3.171524,3.244488,3.319973,3.442240,3.585804,3.760528,3.939590", \ "3.016276,3.129386,3.206242,3.310429,3.486992,3.664006,3.853754", \ "3.150136,3.174170,3.178812,3.226073,3.393511,3.579773,3.797262", \ "3.437101,3.465257,3.461521,3.488240,3.511151,3.581880,3.781241", \ "3.922820,3.912348,3.905619,3.873553,3.887089,3.842918,3.836320", \ "4.644436,4.610165,4.570681,4.518349,4.459630,4.340832,4.188339"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("14.802040,14.854170,14.871990,14.912390,14.846020,15.023040,14.993920", \ "14.660860,14.694830,14.761420,14.820160,14.877240,14.907420,15.069420", \ "14.493150,14.528090,14.552140,14.611170,14.646510,14.857410,14.860170", \ "14.414780,14.456270,14.446760,14.500270,14.563950,14.614140,14.797950", \ "14.468850,14.498780,14.493210,14.522590,14.618350,14.656440,14.837130", \ "14.351210,14.498460,14.638860,14.670850,14.602930,14.801040,14.722540", \ "14.521980,14.598100,14.681420,14.825560,14.979350,15.065850,14.943870"); } } internal_power () { related_pin : "B2"; when : "!A1 & A2 & B1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("2.630965,2.760703,2.884160,3.050821,3.246027,3.473568,3.666736", \ "2.529997,2.680675,2.774986,2.958763,3.157788,3.379498,3.576320", \ "2.415451,2.532856,2.624544,2.808932,3.024859,3.228350,3.451113", \ "2.639420,2.684196,2.705214,2.748995,2.933734,3.165874,3.385194", \ "3.000926,3.022327,3.044241,3.070095,3.098159,3.140313,3.369666", \ "3.498494,3.494763,3.478809,3.492907,3.472233,3.444635,3.428184", \ "4.246169,4.209977,4.177262,4.128817,4.043561,3.922761,3.780615"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("13.762130,13.806410,13.827220,13.861050,13.941390,13.905090,14.049600", \ "13.602050,13.656360,13.707100,13.766910,13.751860,13.925470,13.953510", \ "13.441420,13.497650,13.545540,13.575350,13.714160,13.742970,13.789040", \ "13.372160,13.401380,13.431480,13.493220,13.595490,13.692430,13.755310", \ "13.394820,13.446190,13.441320,13.437730,13.502790,13.565220,13.749550", \ "13.311050,13.481920,13.602140,13.651430,13.676280,13.731800,13.721220", \ "13.401170,13.519990,13.636950,13.843020,14.015940,13.968840,13.913450"); } } internal_power () { related_pin : "B2"; when : "A1 & !A2 & B1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("2.874460,2.950732,3.018273,3.137441,3.304198,3.491887,3.686023", \ "2.773682,2.851170,2.927771,3.040037,3.208905,3.404515,3.590803", \ "2.625877,2.696862,2.759908,2.893244,3.069097,3.268184,3.467314", \ "2.721789,2.756566,2.759460,2.816186,2.971685,3.178780,3.401208", \ "3.068198,3.079419,3.073170,3.092576,3.109076,3.180701,3.382939", \ "3.575558,3.558209,3.535851,3.523747,3.481719,3.447264,3.454230", \ "4.324024,4.271411,4.238071,4.161053,4.074352,3.942980,3.782555"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("16.105780,16.158620,16.203290,16.279480,16.328340,16.404330,16.606930", \ "15.983490,16.022850,16.090460,16.122640,16.216600,16.301870,16.511620", \ "15.807460,15.871330,15.926260,15.965890,16.141000,16.250110,16.479460", \ "15.732250,15.789750,15.821080,15.858170,15.965450,16.201460,16.316790", \ "15.750060,15.809330,15.827180,15.877330,15.976020,16.074540,16.313210", \ "15.805390,15.950460,15.994350,16.048260,16.022440,16.206010,16.284490", \ "15.822280,15.955750,16.096270,16.328180,16.352740,16.470680,16.473710"); } } } } /****************************************************************************************** Module : AOI211_X1 Cell Description : Combinational cell (AOI211_X1) with drive strength X1 *******************************************************************************************/ cell (AOI211_X1) { drive_strength : 1; area : 1.330000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 17.409673; leakage_power () { when : "!A & !B & !C1 & !C2"; value : 27.816380; } leakage_power () { when : "!A & !B & !C1 & C2"; value : 42.036683; } leakage_power () { when : "!A & !B & C1 & !C2"; value : 32.351161; } leakage_power () { when : "!A & !B & C1 & C2"; value : 17.246785; } leakage_power () { when : "!A & B & !C1 & !C2"; value : 7.419918; } leakage_power () { when : "!A & B & !C1 & C2"; value : 12.421905; } leakage_power () { when : "!A & B & C1 & !C2"; value : 12.421449; } leakage_power () { when : "!A & B & C1 & C2"; value : 15.949371; } leakage_power () { when : "A & !B & !C1 & !C2"; value : 7.201503; } leakage_power () { when : "A & !B & !C1 & C2"; value : 12.274589; } leakage_power () { when : "A & !B & C1 & !C2"; value : 12.274133; } leakage_power () { when : "A & !B & C1 & C2"; value : 15.937965; } leakage_power () { when : "A & B & !C1 & !C2"; value : 10.746710; } leakage_power () { when : "A & B & !C1 & C2"; value : 15.853440; } leakage_power () { when : "A & B & C1 & !C2"; value : 15.853174; } leakage_power () { when : "A & B & C1 & C2"; value : 20.749603; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.558265; fall_capacitance : 1.490749; rise_capacitance : 1.558265; } pin (B) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.590177; fall_capacitance : 1.424886; rise_capacitance : 1.590177; } pin (C1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.601241; fall_capacitance : 1.375211; rise_capacitance : 1.601241; } pin (C2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.607770; fall_capacitance : 1.345406; rise_capacitance : 1.607770; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 14.473090; function : "!(((C1 & C2) | B) | A)"; timing () { related_pin : "A"; when : "!B & !C1 & !C2"; sdf_cond : "(B == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.012719,0.013632,0.015286,0.018267,0.023570,0.032938,0.049506", \ "0.018439,0.019297,0.020879,0.023764,0.028963,0.038237,0.054737", \ "0.036307,0.037478,0.039545,0.043039,0.048715,0.057669,0.073759", \ "0.055872,0.057723,0.060971,0.066562,0.075534,0.089354,0.109865", \ "0.073023,0.075733,0.080147,0.088209,0.101292,0.121287,0.150579", \ "0.084684,0.088368,0.094545,0.105272,0.123128,0.150399,0.190091", \ "0.088918,0.093691,0.101857,0.115833,0.138905,0.174343,0.225941"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.167430,0.175980,0.191791,0.220697,0.273712,0.371021,0.550118", \ "0.170217,0.178864,0.194819,0.224083,0.277440,0.375254,0.554835", \ "0.186776,0.195342,0.211165,0.240267,0.293614,0.391612,0.571650", \ "0.216074,0.224591,0.240203,0.269169,0.322260,0.420037,0.599814", \ "0.256931,0.265622,0.281296,0.309769,0.362731,0.460274,0.639902", \ "0.302007,0.311885,0.329760,0.361279,0.416939,0.514487,0.693883", \ "0.349456,0.360645,0.380818,0.416244,0.477669,0.582974,0.763715"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.010135,0.010911,0.012301,0.014779,0.019165,0.026924,0.040855", \ "0.009644,0.010424,0.011855,0.014399,0.018864,0.026710,0.040727", \ "0.015341,0.015844,0.016716,0.018224,0.020882,0.026954,0.040345", \ "0.026627,0.027380,0.028661,0.030770,0.034262,0.039841,0.048625", \ "0.041608,0.042686,0.044723,0.047716,0.052446,0.059783,0.070902", \ "0.060523,0.062021,0.064859,0.069178,0.075522,0.085023,0.098967", \ "0.083278,0.085331,0.089038,0.094883,0.103389,0.115663,0.132958"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.083753,0.091151,0.104946,0.130102,0.176374,0.261267,0.417396", \ "0.083750,0.091144,0.104920,0.130179,0.176330,0.261283,0.417396", \ "0.083761,0.091150,0.104920,0.130171,0.176307,0.261291,0.417394", \ "0.083790,0.091167,0.104929,0.130161,0.176398,0.261331,0.417397", \ "0.087062,0.093862,0.106594,0.130664,0.176287,0.261265,0.417392", \ "0.099909,0.106740,0.119429,0.141545,0.182341,0.262280,0.417388", \ "0.116598,0.123616,0.136622,0.159931,0.201227,0.274743,0.419914"); } } timing () { related_pin : "A"; when : "!B & !C1 & C2"; sdf_cond : "(B == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.010678,0.011529,0.013088,0.015932,0.021098,0.030383,0.046989", \ "0.016484,0.017287,0.018779,0.021538,0.026587,0.035761,0.052278", \ "0.032919,0.034210,0.036475,0.040239,0.046241,0.055451,0.071438", \ "0.050434,0.052479,0.056063,0.062116,0.071674,0.086172,0.107342", \ "0.065223,0.068233,0.073085,0.081900,0.095860,0.116840,0.147121", \ "0.074182,0.078262,0.085070,0.096673,0.115783,0.144487,0.185548", \ "0.075352,0.080605,0.089555,0.104708,0.129340,0.166622,0.220037"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.179280,0.189172,0.207411,0.240816,0.302028,0.414426,0.621359", \ "0.181663,0.191654,0.210057,0.243857,0.305537,0.418474,0.625964", \ "0.197894,0.207789,0.226077,0.259638,0.321296,0.434422,0.642459", \ "0.226952,0.236797,0.254841,0.288272,0.349657,0.462460,0.670243", \ "0.267056,0.277006,0.295064,0.327965,0.389163,0.501703,0.709256", \ "0.311310,0.322408,0.342539,0.378214,0.441042,0.553484,0.760643", \ "0.357747,0.370095,0.392441,0.431815,0.500333,0.618977,0.826253"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.008269,0.009064,0.010499,0.013081,0.017675,0.025776,0.040102", \ "0.008214,0.008912,0.010253,0.012836,0.017434,0.025556,0.039933", \ "0.015334,0.015827,0.016692,0.018188,0.020722,0.026373,0.039475", \ "0.027404,0.028134,0.029358,0.031406,0.034800,0.040247,0.048884", \ "0.043353,0.044340,0.046335,0.049130,0.053627,0.060693,0.071538", \ "0.063263,0.064669,0.067359,0.071489,0.077443,0.086533,0.099967", \ "0.087085,0.089046,0.092582,0.098125,0.106163,0.117843,0.134435"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.089420,0.098073,0.114207,0.144052,0.198212,0.297997,0.481146", \ "0.089437,0.098085,0.114211,0.143937,0.198229,0.297995,0.481156", \ "0.089464,0.098098,0.114222,0.144060,0.198287,0.297999,0.481150", \ "0.089512,0.098131,0.114220,0.143963,0.198246,0.297999,0.481147", \ "0.092280,0.100316,0.115496,0.144243,0.198143,0.297984,0.481148", \ "0.103963,0.112053,0.126976,0.153126,0.202489,0.298283,0.481133", \ "0.118911,0.127222,0.142605,0.170208,0.219347,0.307824,0.482279"); } } timing () { related_pin : "A"; when : "!B & C1 & !C2"; sdf_cond : "(B == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.010904,0.011753,0.013310,0.016157,0.021318,0.030607,0.047222", \ "0.016701,0.017504,0.018998,0.021756,0.026809,0.035984,0.052507", \ "0.033320,0.034595,0.036812,0.040536,0.046493,0.055663,0.071664", \ "0.051086,0.053107,0.056650,0.062625,0.072118,0.086527,0.107614", \ "0.066287,0.069226,0.074025,0.082729,0.096560,0.117409,0.147574", \ "0.075752,0.079736,0.086491,0.097981,0.116830,0.145326,0.186228", \ "0.077567,0.082717,0.091602,0.106582,0.130927,0.167891,0.221036"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.212297,0.222226,0.240556,0.274215,0.335604,0.448286,0.655639", \ "0.215210,0.225221,0.243678,0.277550,0.339306,0.452519,0.660289", \ "0.231233,0.241187,0.259562,0.293370,0.355274,0.468571,0.676874", \ "0.260066,0.269981,0.288140,0.321824,0.383406,0.496554,0.704676", \ "0.300321,0.310203,0.328290,0.361552,0.422950,0.535799,0.743629", \ "0.348221,0.358930,0.378417,0.413146,0.474757,0.587413,0.794965", \ "0.398713,0.410460,0.431816,0.469858,0.536772,0.653250,0.860409"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.009054,0.009871,0.011360,0.014028,0.018772,0.027098,0.041758", \ "0.008943,0.009679,0.011089,0.013758,0.018506,0.026864,0.041587", \ "0.016421,0.016879,0.017707,0.019136,0.021660,0.027604,0.041110", \ "0.030283,0.030863,0.031873,0.033643,0.036745,0.041937,0.050438", \ "0.049564,0.050205,0.051672,0.053775,0.057527,0.063858,0.074084", \ "0.073603,0.074471,0.076217,0.079196,0.083826,0.091465,0.103710", \ "0.101590,0.102772,0.105057,0.108905,0.115014,0.124653,0.139444"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.105792,0.114602,0.131054,0.161199,0.215960,0.316357,0.500230", \ "0.105794,0.114603,0.131058,0.161225,0.215967,0.316335,0.500238", \ "0.105798,0.114603,0.131064,0.161201,0.216078,0.316353,0.500236", \ "0.105810,0.114613,0.131056,0.161195,0.215948,0.316337,0.500238", \ "0.106679,0.115242,0.131409,0.161264,0.215976,0.316340,0.500229", \ "0.117288,0.125243,0.139847,0.166977,0.218295,0.316435,0.500235", \ "0.131722,0.140174,0.155799,0.183678,0.232767,0.323576,0.500607"); } } timing () { related_pin : "B"; when : "!A & !C1 & !C2"; sdf_cond : "(A == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.013353,0.014218,0.015784,0.018594,0.023600,0.032502,0.048460", \ "0.018932,0.019768,0.021297,0.024067,0.029038,0.037919,0.053870", \ "0.035989,0.037155,0.039205,0.042684,0.048315,0.057178,0.072932", \ "0.053781,0.055685,0.058969,0.064671,0.073794,0.087778,0.108450", \ "0.068114,0.070949,0.075629,0.084048,0.097561,0.118080,0.147903", \ "0.076154,0.080087,0.086724,0.098074,0.116799,0.145080,0.185808", \ "0.075952,0.081101,0.089946,0.104877,0.129328,0.166374,0.219653"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.155264,0.163831,0.179636,0.208541,0.261544,0.358909,0.537963", \ "0.156333,0.164979,0.180932,0.210201,0.263559,0.361372,0.540934", \ "0.170088,0.178646,0.194511,0.223567,0.276919,0.374934,0.554987", \ "0.200991,0.209502,0.224882,0.253779,0.306886,0.404621,0.584451", \ "0.246798,0.255720,0.271644,0.300270,0.353196,0.450669,0.630282", \ "0.297704,0.308583,0.327908,0.361543,0.418752,0.516324,0.695602", \ "0.353082,0.366092,0.389012,0.428398,0.494402,0.602692,0.782930"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.009519,0.010192,0.011425,0.013658,0.017725,0.025159,0.038877", \ "0.009154,0.009866,0.011150,0.013460,0.017601,0.025097,0.038861", \ "0.014330,0.014839,0.015722,0.017239,0.019875,0.025719,0.038756", \ "0.025187,0.025957,0.027297,0.029478,0.033069,0.038747,0.047641", \ "0.040068,0.041179,0.043294,0.046363,0.051219,0.058698,0.069961", \ "0.059147,0.060709,0.063605,0.068085,0.074495,0.084145,0.098176", \ "0.082282,0.084382,0.088205,0.094268,0.102891,0.115227,0.132510"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.083739,0.091152,0.104941,0.130105,0.176343,0.261354,0.417393", \ "0.083761,0.091143,0.104910,0.130166,0.176319,0.261261,0.417393", \ "0.083768,0.091158,0.104931,0.130111,0.176334,0.261265,0.417399", \ "0.083847,0.091208,0.104939,0.130129,0.176407,0.261272,0.417394", \ "0.090332,0.096599,0.108509,0.131386,0.176274,0.261244,0.417389", \ "0.110755,0.116910,0.128466,0.148022,0.185453,0.262556,0.417382", \ "0.136868,0.143158,0.154839,0.175717,0.212476,0.278877,0.419616"); } } timing () { related_pin : "B"; when : "!A & !C1 & C2"; sdf_cond : "(A == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.011273,0.012125,0.013680,0.016494,0.021541,0.030547,0.046646", \ "0.017026,0.017839,0.019339,0.022076,0.027048,0.035982,0.052040", \ "0.033098,0.034374,0.036607,0.040337,0.046275,0.055391,0.071120", \ "0.049165,0.051236,0.054869,0.060980,0.070604,0.085167,0.106387", \ "0.061355,0.064486,0.069634,0.078656,0.092987,0.114359,0.145009", \ "0.066784,0.071108,0.078327,0.090610,0.110471,0.139973,0.181879", \ "0.063545,0.069183,0.078807,0.094925,0.120824,0.159591,0.214539"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.167567,0.177459,0.195674,0.229134,0.290307,0.402648,0.609636", \ "0.168222,0.178212,0.196637,0.230396,0.292094,0.405038,0.612519", \ "0.181776,0.191685,0.209935,0.243582,0.305117,0.418299,0.626319", \ "0.212076,0.221907,0.239695,0.273115,0.334427,0.447256,0.655009", \ "0.255553,0.265700,0.284054,0.317002,0.378035,0.490653,0.698084", \ "0.303837,0.315804,0.337245,0.374924,0.438999,0.551377,0.758510", \ "0.357831,0.371690,0.396293,0.438915,0.511116,0.632031,0.839052"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.008332,0.009066,0.010393,0.012776,0.017032,0.024640,0.038426", \ "0.008119,0.008808,0.010119,0.012531,0.016827,0.024500,0.038356", \ "0.014473,0.014968,0.015842,0.017341,0.019901,0.025394,0.038126", \ "0.025848,0.026596,0.027853,0.029964,0.033464,0.039034,0.047814", \ "0.041273,0.042340,0.044359,0.047313,0.052000,0.059275,0.070331", \ "0.060924,0.062444,0.065305,0.069542,0.075739,0.085068,0.098796", \ "0.084690,0.086748,0.090475,0.096355,0.104670,0.116574,0.133403"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.089417,0.098075,0.114199,0.143941,0.198208,0.298008,0.481156", \ "0.089440,0.098084,0.114199,0.143954,0.198290,0.297995,0.481151", \ "0.089458,0.098101,0.114207,0.143923,0.198208,0.298000,0.481145", \ "0.089583,0.098181,0.114253,0.143927,0.198207,0.298000,0.481147", \ "0.095333,0.102885,0.117276,0.144719,0.198148,0.297991,0.481150", \ "0.112806,0.120440,0.134652,0.158807,0.205162,0.298470,0.481151", \ "0.135039,0.142734,0.157037,0.182722,0.228278,0.311125,0.482193"); } } timing () { related_pin : "B"; when : "!A & C1 & !C2"; sdf_cond : "(A == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.011502,0.012352,0.013904,0.016717,0.021759,0.030762,0.046869", \ "0.017246,0.018058,0.019557,0.022295,0.027260,0.036199,0.052264", \ "0.033483,0.034744,0.036937,0.040622,0.046519,0.055601,0.071338", \ "0.049799,0.051855,0.055452,0.061490,0.071049,0.085527,0.106670", \ "0.062435,0.065488,0.070536,0.079508,0.093682,0.114919,0.145447", \ "0.068393,0.072623,0.079799,0.091909,0.111534,0.140838,0.182572", \ "0.065838,0.071391,0.080922,0.096875,0.122476,0.160918,0.215501"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.200585,0.210515,0.228808,0.262473,0.323985,0.436593,0.643888", \ "0.201775,0.211785,0.230217,0.264088,0.325976,0.439067,0.646839", \ "0.215127,0.225082,0.243458,0.277250,0.339127,0.452416,0.660759", \ "0.245169,0.255075,0.273010,0.306530,0.368256,0.481355,0.689417", \ "0.289167,0.299064,0.317292,0.350438,0.411755,0.524689,0.732437", \ "0.343308,0.354525,0.374795,0.410742,0.472610,0.585389,0.792744", \ "0.403428,0.416180,0.439056,0.479282,0.548620,0.666143,0.873137"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.009074,0.009828,0.011188,0.013635,0.018012,0.025826,0.039971", \ "0.008817,0.009534,0.010891,0.013378,0.017802,0.025690,0.039897", \ "0.015472,0.015941,0.016785,0.018242,0.020758,0.026526,0.039667", \ "0.028517,0.029132,0.030214,0.032087,0.035321,0.040676,0.049315", \ "0.047359,0.048064,0.049591,0.051866,0.055824,0.062376,0.072829", \ "0.071400,0.072328,0.074197,0.077270,0.082110,0.090011,0.102487", \ "0.099594,0.100857,0.103274,0.107293,0.113584,0.123441,0.138435"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.105793,0.114601,0.131048,0.161186,0.216013,0.316342,0.500243", \ "0.105796,0.114600,0.131048,0.161182,0.215962,0.316344,0.500233", \ "0.105798,0.114604,0.131032,0.161182,0.216095,0.316358,0.500244", \ "0.105823,0.114617,0.131024,0.161153,0.216078,0.316342,0.500245", \ "0.108018,0.116161,0.131845,0.161307,0.215908,0.316332,0.500243", \ "0.123995,0.131531,0.145155,0.170563,0.219758,0.316456,0.500239", \ "0.144663,0.152618,0.167382,0.193710,0.239217,0.325773,0.500468"); } } timing () { related_pin : "C1"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.0197322,0.0212223,0.0239697,0.0290292,0.0383299,0.0554777,0.0870786", \ "0.0243150,0.0258333,0.0286276,0.0337294,0.0430977,0.0602933,0.0919449", \ "0.0392803,0.0413145,0.0448243,0.0506626,0.0601175,0.0772731,0.108920", \ "0.0532215,0.0565102,0.0621995,0.0716516,0.0864670,0.108711,0.141619", \ "0.0611646,0.0659867,0.0741259,0.0878604,0.109268,0.141102,0.186689", \ "0.0609862,0.0675060,0.0784988,0.0968534,0.125729,0.168456,0.229088", \ "0.0513583,0.0596844,0.0738532,0.0973160,0.134147,0.188714,0.266000"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.100399,0.110422,0.128829,0.162507,0.223890,0.336434,0.543521", \ "0.0994544,0.109729,0.128574,0.162857,0.225287,0.338802,0.546726", \ "0.109839,0.119739,0.138100,0.172019,0.234412,0.348479,0.557401", \ "0.142194,0.151035,0.167975,0.200522,0.261425,0.374008,0.582016", \ "0.192685,0.203052,0.221233,0.252346,0.310077,0.420415,0.625898", \ "0.247789,0.260408,0.282607,0.320550,0.383665,0.490387,0.692785", \ "0.308585,0.323345,0.349358,0.393935,0.467886,0.586455,0.784938"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.0121144,0.0133928,0.0157650,0.0201570,0.0282593,0.0432042,0.0708338", \ "0.0121167,0.0133926,0.0157740,0.0201520,0.0282580,0.0432153,0.0708299", \ "0.0171578,0.0180626,0.0196344,0.0225069,0.0289700,0.0432053,0.0708132", \ "0.0299758,0.0311969,0.0333268,0.0368488,0.0427284,0.0523344,0.0729788", \ "0.0472857,0.0489897,0.0521266,0.0567467,0.0641950,0.0759105,0.0942920", \ "0.0691676,0.0713869,0.0753572,0.0815968,0.0910293,0.105551,0.127381", \ "0.0955040,0.0983271,0.103335,0.111076,0.123014,0.140797,0.166895"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.0888219,0.0977188,0.114176,0.143920,0.198215,0.298005,0.481143", \ "0.0884717,0.0974797,0.114047,0.143881,0.198251,0.298001,0.481143", \ "0.0868642,0.0962805,0.113365,0.143658,0.198197,0.298010,0.481149", \ "0.0853780,0.0938361,0.110081,0.141797,0.197885,0.298010,0.481146", \ "0.102221,0.108145,0.120375,0.145140,0.196019,0.297771,0.481156", \ "0.124962,0.132349,0.145950,0.169239,0.209951,0.298273,0.481133", \ "0.151512,0.159747,0.174676,0.200079,0.243390,0.318704,0.481835"); } } timing () { related_pin : "C2"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.0224524,0.0239402,0.0266820,0.0317255,0.0410225,0.0581564,0.0897718", \ "0.0276416,0.0291514,0.0319296,0.0370234,0.0463710,0.0635559,0.0952026", \ "0.0418357,0.0436328,0.0468136,0.0523757,0.0619087,0.0792152,0.110968", \ "0.0589460,0.0615885,0.0661396,0.0738289,0.0863841,0.106667,0.139802", \ "0.0730365,0.0771009,0.0838418,0.0950919,0.112749,0.139300,0.179614", \ "0.0807185,0.0864317,0.0956716,0.111707,0.136348,0.172182,0.223202", \ "0.0798318,0.0875160,0.100164,0.121039,0.153823,0.201168,0.266663"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.130490,0.140371,0.158571,0.192019,0.253497,0.365995,0.573170", \ "0.130785,0.140820,0.159274,0.193155,0.254997,0.367999,0.575612", \ "0.141883,0.151810,0.170179,0.203987,0.266084,0.379461,0.587635", \ "0.172250,0.181847,0.199475,0.232573,0.293682,0.406220,0.613730", \ "0.225563,0.235014,0.251573,0.282601,0.342031,0.452858,0.658408", \ "0.288296,0.299757,0.320113,0.355358,0.414863,0.522631,0.725556", \ "0.356442,0.369901,0.393814,0.435261,0.505036,0.618780,0.817608"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.0121210,0.0133925,0.0157701,0.0201598,0.0282536,0.0432104,0.0708230", \ "0.0121195,0.0134005,0.0157690,0.0201520,0.0282539,0.0432056,0.0708203", \ "0.0142597,0.0153869,0.0174065,0.0211604,0.0285696,0.0432065,0.0708220", \ "0.0214303,0.0225535,0.0246064,0.0283385,0.0351944,0.0477515,0.0720591", \ "0.0339314,0.0351964,0.0374505,0.0412584,0.0478915,0.0600760,0.0827023", \ "0.0509923,0.0525242,0.0554009,0.0597890,0.0669506,0.0790353,0.100877", \ "0.0720688,0.0740082,0.0774979,0.0831008,0.0915342,0.104771,0.126528"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.105664,0.114517,0.131046,0.161252,0.216026,0.316342,0.500232", \ "0.105585,0.114465,0.131017,0.161183,0.216099,0.316341,0.500235", \ "0.105138,0.114158,0.130841,0.161171,0.216076,0.316343,0.500233", \ "0.102117,0.111559,0.129252,0.160522,0.215846,0.316351,0.500232", \ "0.110160,0.117632,0.132052,0.159862,0.213843,0.316304,0.500240", \ "0.132999,0.140454,0.154180,0.177106,0.222424,0.315345,0.500251", \ "0.159120,0.167448,0.182494,0.208412,0.251964,0.331166,0.499883"); } } internal_power () { related_pin : "A"; when : "!B & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.710566,0.733800,0.760643,0.805800,0.876898,0.954673,1.041352", \ "0.694778,0.709199,0.746191,0.787970,0.843403,0.925318,1.005087", \ "0.741827,0.745687,0.758632,0.781424,0.829147,0.895373,0.978210", \ "0.898887,0.902270,0.903619,0.913830,0.910534,0.928110,0.974991", \ "1.056021,1.058251,1.050825,1.048059,1.059960,1.058157,1.053580", \ "1.256285,1.253484,1.252929,1.238329,1.231256,1.214395,1.196216", \ "1.522988,1.515393,1.513419,1.501598,1.472936,1.433657,1.388750"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("3.795549,3.800789,3.800190,3.802416,3.804211,3.814368,3.815360", \ "3.743869,3.750424,3.753481,3.769281,3.770600,3.786752,3.793764", \ "3.697466,3.699114,3.702183,3.705043,3.715123,3.733606,3.771209", \ "3.675674,3.680344,3.681560,3.683060,3.688954,3.705268,3.715363", \ "3.673674,3.674286,3.670267,3.670862,3.658975,3.674075,3.706530", \ "3.687620,3.688306,3.688428,3.679011,3.673002,3.684068,3.690560", \ "3.682745,3.696450,3.722960,3.743038,3.734551,3.710430,3.710211"); } } internal_power () { related_pin : "A"; when : "!B & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.468398,0.492423,0.538461,0.593210,0.676630,0.783057,0.895499", \ "0.468413,0.493460,0.527587,0.576270,0.653113,0.757814,0.862952", \ "0.569848,0.573921,0.580645,0.606698,0.654144,0.737835,0.826276", \ "0.751760,0.756135,0.760112,0.756729,0.772331,0.786989,0.844455", \ "0.926391,0.920464,0.918284,0.923589,0.922650,0.927063,0.920246", \ "1.129028,1.128244,1.124386,1.117590,1.105213,1.085544,1.068258", \ "1.396959,1.391960,1.387183,1.367517,1.342050,1.306710,1.260423"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("3.520954,3.525207,3.529198,3.537846,3.533405,3.549815,3.542736", \ "3.466790,3.472280,3.483406,3.491585,3.500287,3.523107,3.522174", \ "3.421708,3.426164,3.428429,3.444383,3.446640,3.471687,3.476951", \ "3.401113,3.404822,3.407304,3.412858,3.420335,3.422343,3.445496", \ "3.395019,3.398271,3.400502,3.395427,3.404158,3.418122,3.418196", \ "3.409477,3.412899,3.410131,3.402555,3.396994,3.417118,3.414229", \ "3.404688,3.420378,3.450973,3.462088,3.451332,3.438198,3.433053"); } } internal_power () { related_pin : "A"; when : "!B & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.567630,0.588535,0.604361,0.659020,0.707224,0.808532,0.910604", \ "0.544118,0.564925,0.587094,0.632924,0.689483,0.782443,0.878017", \ "0.605744,0.610790,0.625754,0.651674,0.683831,0.754155,0.844071", \ "0.779509,0.780109,0.775476,0.780034,0.778208,0.799928,0.856222", \ "0.946759,0.941746,0.938875,0.935218,0.933053,0.928139,0.931681", \ "1.151086,1.148894,1.143585,1.132859,1.113927,1.098047,1.074813", \ "1.423930,1.416669,1.403926,1.389082,1.358820,1.318689,1.262625"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("4.124808,4.129726,4.135157,4.149900,4.164035,4.167189,4.169044", \ "4.080903,4.086837,4.096583,4.109944,4.114440,4.142157,4.170289", \ "4.030324,4.035490,4.045053,4.054629,4.082197,4.092511,4.126059", \ "4.006914,4.011590,4.013652,4.030109,4.034602,4.067896,4.074488", \ "3.999906,4.004297,4.009289,4.013940,4.012381,4.038277,4.067511", \ "4.011319,4.015253,4.014354,4.010444,4.030529,4.037890,4.063738", \ "4.036938,4.055494,4.072081,4.075759,4.060851,4.077372,4.080008"); } } internal_power () { related_pin : "B"; when : "!A & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.683304,0.689740,0.704682,0.724739,0.744953,0.785286,0.818973", \ "0.687746,0.694212,0.706843,0.731514,0.753204,0.798132,0.832604", \ "0.716729,0.718260,0.713604,0.738055,0.762028,0.798400,0.837620", \ "0.811058,0.814319,0.809252,0.815877,0.814190,0.811507,0.840073", \ "0.918698,0.920245,0.920074,0.913523,0.913132,0.908928,0.890905", \ "1.087195,1.082021,1.082786,1.066559,1.057805,1.038277,1.012293", \ "1.323737,1.322955,1.314358,1.299060,1.276067,1.230498,1.184234"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("3.264928,3.266224,3.272901,3.269075,3.283195,3.294274,3.294938", \ "3.212612,3.219092,3.225214,3.228641,3.234912,3.250899,3.282384", \ "3.165896,3.171279,3.173980,3.184966,3.191868,3.210979,3.224281", \ "3.148473,3.151506,3.151130,3.147155,3.159688,3.175107,3.200860", \ "3.147785,3.147915,3.146815,3.146356,3.156614,3.147011,3.178389", \ "3.185353,3.184288,3.183654,3.172984,3.156605,3.181640,3.189067", \ "3.248285,3.257280,3.281551,3.277484,3.241498,3.227060,3.214918"); } } internal_power () { related_pin : "B"; when : "!A & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.514426,0.532664,0.556881,0.589154,0.630899,0.683680,0.736833", \ "0.521253,0.530776,0.552949,0.582702,0.635916,0.683379,0.740092", \ "0.592350,0.593980,0.584320,0.602081,0.636313,0.676154,0.727821", \ "0.699951,0.701769,0.699565,0.704701,0.705213,0.705107,0.731510", \ "0.822646,0.823343,0.813844,0.820592,0.816155,0.803997,0.790129", \ "0.993590,0.991635,0.983937,0.974194,0.963122,0.936365,0.908405", \ "1.230559,1.222788,1.213611,1.196659,1.172723,1.130654,1.080045"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("2.997490,3.001642,3.007134,3.013426,3.017162,3.013625,3.006012", \ "2.946257,2.951739,2.960032,2.973632,2.971986,2.994579,2.993414", \ "2.900342,2.904917,2.910566,2.919614,2.928514,2.954449,2.959715", \ "2.880630,2.884160,2.879149,2.887434,2.898408,2.901123,2.924437", \ "2.878912,2.881820,2.883091,2.885595,2.885485,2.906016,2.905052", \ "2.915236,2.914155,2.916956,2.910021,2.905464,2.900494,2.914622", \ "2.968840,2.981690,3.011796,2.999508,2.970514,2.965401,2.948382"); } } internal_power () { related_pin : "B"; when : "!A & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.570322,0.577716,0.586592,0.611928,0.647961,0.690675,0.743433", \ "0.570571,0.585358,0.596544,0.618172,0.649077,0.698422,0.746818", \ "0.607156,0.608479,0.617243,0.631807,0.658914,0.688535,0.735707", \ "0.712724,0.713841,0.715234,0.715278,0.706902,0.708862,0.739091", \ "0.834949,0.829173,0.829204,0.821307,0.821138,0.807160,0.789487", \ "1.004639,1.000178,0.993681,0.980423,0.966588,0.942433,0.910407", \ "1.249198,1.240297,1.230243,1.213244,1.182248,1.136413,1.079640"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("3.601471,3.606232,3.610108,3.617744,3.628861,3.651289,3.653455", \ "3.557234,3.563033,3.570608,3.583835,3.605782,3.633847,3.641703", \ "3.509197,3.514449,3.524445,3.534613,3.545856,3.575114,3.609206", \ "3.486104,3.490733,3.492358,3.507232,3.522720,3.541425,3.552885", \ "3.482558,3.486731,3.494383,3.495239,3.512638,3.525958,3.533948", \ "3.513235,3.512869,3.517675,3.520097,3.515961,3.540976,3.542511", \ "3.592254,3.607546,3.610845,3.607091,3.594735,3.581701,3.595051"); } } internal_power () { related_pin : "C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.289782,0.282760,0.272661,0.260553,0.248671,0.232146,0.230601", \ "0.275434,0.272424,0.265361,0.259442,0.255024,0.249981,0.249852", \ "0.250492,0.248540,0.253006,0.256760,0.256668,0.266973,0.274890", \ "0.305463,0.304706,0.300189,0.290419,0.275426,0.278166,0.286298", \ "0.408931,0.404780,0.399678,0.385170,0.365301,0.337240,0.316189", \ "0.576674,0.570898,0.555157,0.533875,0.504826,0.459283,0.406707", \ "0.818565,0.809331,0.792389,0.757981,0.714612,0.646609,0.564632"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("2.195568,2.201887,2.204734,2.215242,2.209382,2.226951,2.220298", \ "2.118727,2.126784,2.138123,2.160914,2.178690,2.207729,2.210682", \ "2.056047,2.061182,2.066004,2.078719,2.108964,2.141524,2.156379", \ "2.102027,2.101279,2.096188,2.090673,2.091607,2.113178,2.119582", \ "2.232944,2.228001,2.219928,2.190899,2.175328,2.156858,2.140034", \ "2.450830,2.440867,2.431029,2.402079,2.341088,2.305804,2.245605", \ "2.672952,2.677561,2.689031,2.691333,2.625569,2.531245,2.450218"); } } internal_power () { related_pin : "C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.284182,0.282750,0.272226,0.260066,0.244747,0.236407,0.228276", \ "0.273919,0.272005,0.264301,0.258686,0.257833,0.249776,0.252934", \ "0.233332,0.239267,0.237706,0.243649,0.252236,0.259505,0.272285", \ "0.243778,0.244626,0.245961,0.246677,0.241783,0.254114,0.272216", \ "0.281536,0.281684,0.282573,0.280626,0.284000,0.279656,0.272178", \ "0.374896,0.370228,0.364986,0.356203,0.354297,0.344334,0.323141", \ "0.532372,0.527147,0.514498,0.498131,0.480385,0.451558,0.416698"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("2.751977,2.755937,2.762744,2.762660,2.773351,2.794209,2.794969", \ "2.693232,2.699034,2.707337,2.720177,2.744960,2.750815,2.776853", \ "2.646083,2.651002,2.658684,2.675427,2.694289,2.725543,2.737722", \ "2.683821,2.686328,2.685005,2.682104,2.688038,2.711604,2.717548", \ "2.804317,2.804331,2.801842,2.793924,2.771386,2.780513,2.769288", \ "3.009677,3.005934,2.995704,2.979786,2.956800,2.910630,2.882506", \ "3.249711,3.259735,3.281004,3.259934,3.205513,3.156715,3.064991"); } } } } /****************************************************************************************** Module : AOI211_X2 Cell Description : Combinational cell (AOI211_X2) with drive strength X2 *******************************************************************************************/ cell (AOI211_X2) { drive_strength : 2; area : 2.394000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 34.811020; leakage_power () { when : "!A & !B & !C1 & !C2"; value : 55.642355; } leakage_power () { when : "!A & !B & !C1 & C2"; value : 84.082980; } leakage_power () { when : "!A & !B & C1 & !C2"; value : 64.711821; } leakage_power () { when : "!A & !B & C1 & C2"; value : 34.493550; } leakage_power () { when : "!A & B & !C1 & !C2"; value : 14.826469; } leakage_power () { when : "!A & B & !C1 & C2"; value : 24.830511; } leakage_power () { when : "!A & B & C1 & !C2"; value : 24.829656; } leakage_power () { when : "!A & B & C1 & C2"; value : 31.886807; } leakage_power () { when : "A & !B & !C1 & !C2"; value : 14.388833; } leakage_power () { when : "A & !B & !C1 & C2"; value : 24.535355; } leakage_power () { when : "A & !B & C1 & !C2"; value : 24.534500; } leakage_power () { when : "A & !B & C1 & C2"; value : 31.863798; } leakage_power () { when : "A & B & !C1 & !C2"; value : 21.479110; } leakage_power () { when : "A & B & !C1 & C2"; value : 31.692627; } leakage_power () { when : "A & B & C1 & !C2"; value : 31.692152; } leakage_power () { when : "A & B & C1 & C2"; value : 41.485793; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 2.992446; fall_capacitance : 2.859370; rise_capacitance : 2.992446; } pin (B) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.292884; fall_capacitance : 2.952973; rise_capacitance : 3.292884; } pin (C1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.055954; fall_capacitance : 2.594700; rise_capacitance : 3.055954; } pin (C2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.300606; fall_capacitance : 2.770766; rise_capacitance : 3.300606; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 28.926100; function : "!(((C1 & C2) | B) | A)"; timing () { related_pin : "A"; when : "!B & !C1 & !C2"; sdf_cond : "(B == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("0.012249,0.013052,0.014381,0.016971,0.021965,0.031481,0.049596", \ "0.017995,0.018748,0.020010,0.022506,0.027383,0.036787,0.054825", \ "0.035657,0.036711,0.038429,0.041550,0.047061,0.056290,0.073841", \ "0.054802,0.056475,0.059219,0.064210,0.072925,0.087326,0.109961", \ "0.071392,0.073815,0.077613,0.084799,0.097508,0.118361,0.150710", \ "0.082421,0.085725,0.090946,0.100539,0.117915,0.146396,0.190277", \ "0.085961,0.090234,0.097034,0.109435,0.131947,0.169060,0.226147"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("0.162493,0.169983,0.182520,0.207428,0.256770,0.354871,0.550346", \ "0.165224,0.172792,0.185478,0.210607,0.260373,0.359044,0.555055", \ "0.181858,0.189350,0.201909,0.226915,0.276560,0.375360,0.571895", \ "0.211186,0.218604,0.231026,0.255840,0.305267,0.403779,0.600073", \ "0.251864,0.259517,0.272089,0.296543,0.345829,0.444091,0.640085", \ "0.296231,0.304950,0.319284,0.346689,0.399425,0.498312,0.694020", \ "0.342856,0.352774,0.369017,0.400069,0.458343,0.565943,0.763823"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("0.009703,0.010384,0.011498,0.013664,0.017796,0.025668,0.040889", \ "0.009210,0.009878,0.011034,0.013255,0.017473,0.025443,0.040764", \ "0.015055,0.015498,0.016200,0.017528,0.019985,0.025882,0.040384", \ "0.026212,0.026869,0.027865,0.029781,0.033163,0.038963,0.048633", \ "0.041011,0.041989,0.043552,0.046289,0.050935,0.058615,0.070893", \ "0.059717,0.061049,0.063273,0.067197,0.073476,0.083497,0.098909", \ "0.082170,0.083999,0.086996,0.092417,0.100767,0.113716,0.132882"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("0.079482,0.085953,0.096813,0.118430,0.161439,0.246917,0.417328", \ "0.079485,0.085959,0.096826,0.118406,0.161413,0.246916,0.417323", \ "0.079513,0.085963,0.096829,0.118442,0.161392,0.246919,0.417351", \ "0.079554,0.085996,0.096841,0.118437,0.161381,0.246923,0.417324", \ "0.083175,0.089075,0.099072,0.119434,0.161482,0.246875,0.417328", \ "0.095944,0.101952,0.111948,0.131441,0.169048,0.248554,0.417326", \ "0.112513,0.118676,0.128964,0.149223,0.188073,0.262331,0.419828"); } } timing () { related_pin : "A"; when : "!B & !C1 & C2"; sdf_cond : "(B == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("0.010250,0.010993,0.012235,0.014698,0.019534,0.028943,0.047094", \ "0.016084,0.016784,0.017969,0.020337,0.025052,0.034333,0.052378", \ "0.032225,0.033392,0.035261,0.038645,0.044501,0.054092,0.071532", \ "0.049243,0.051113,0.054144,0.059569,0.068909,0.084066,0.107447", \ "0.063422,0.066128,0.070317,0.078196,0.091832,0.113808,0.147275", \ "0.071692,0.075366,0.081099,0.091587,0.110276,0.140311,0.185727", \ "0.072113,0.076833,0.084294,0.097785,0.121957,0.161134,0.220253"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("0.173579,0.182245,0.196710,0.225521,0.282502,0.395837,0.621667", \ "0.175902,0.184647,0.199272,0.228336,0.285821,0.399808,0.626244", \ "0.192225,0.200872,0.215352,0.244247,0.301604,0.415736,0.642743", \ "0.221285,0.229883,0.244226,0.272894,0.329999,0.443798,0.670566", \ "0.261266,0.270016,0.284464,0.312666,0.369575,0.483104,0.709512", \ "0.304795,0.314597,0.330730,0.361686,0.421231,0.534761,0.760846", \ "0.350470,0.361399,0.379336,0.413810,0.478670,0.599646,0.826301"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("0.007832,0.008532,0.009677,0.011926,0.016251,0.024479,0.040142", \ "0.007846,0.008444,0.009482,0.011678,0.016008,0.024259,0.039976", \ "0.015041,0.015479,0.016171,0.017507,0.019919,0.025354,0.039519", \ "0.027020,0.027644,0.028603,0.030448,0.033727,0.039383,0.048895", \ "0.042774,0.043700,0.045186,0.047764,0.052180,0.059562,0.071503", \ "0.062446,0.063778,0.065873,0.069616,0.075506,0.085037,0.099909", \ "0.086001,0.087795,0.090647,0.095832,0.103697,0.115964,0.134342"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("0.084464,0.092020,0.104749,0.130217,0.180625,0.281174,0.481440", \ "0.084488,0.092041,0.104764,0.130192,0.180624,0.281149,0.481434", \ "0.084519,0.092059,0.104768,0.130197,0.180571,0.281136,0.481434", \ "0.084575,0.092106,0.104802,0.130183,0.180575,0.281176,0.481441", \ "0.087702,0.094681,0.106560,0.130825,0.180619,0.281164,0.481438", \ "0.099289,0.106404,0.118211,0.141147,0.186457,0.281792,0.481425", \ "0.114102,0.121412,0.133597,0.157673,0.203795,0.292757,0.482490"); } } timing () { related_pin : "A"; when : "!B & C1 & !C2"; sdf_cond : "(B == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("0.010477,0.011220,0.012462,0.014921,0.019756,0.029162,0.047326", \ "0.016297,0.016996,0.018181,0.020552,0.025270,0.034551,0.052605", \ "0.032611,0.033757,0.035605,0.038952,0.044761,0.054303,0.071758", \ "0.049906,0.051763,0.054734,0.060100,0.069361,0.084424,0.107719", \ "0.064504,0.067169,0.071281,0.079046,0.092550,0.114372,0.147707", \ "0.073315,0.076895,0.082571,0.092904,0.111355,0.141157,0.186376", \ "0.074379,0.079031,0.086374,0.099725,0.123558,0.162382,0.221225"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("0.206549,0.215245,0.229790,0.258757,0.316043,0.429631,0.655831", \ "0.209410,0.218180,0.232815,0.261997,0.319686,0.433746,0.660476", \ "0.225482,0.234185,0.248766,0.277838,0.335567,0.449796,0.677061", \ "0.254337,0.262997,0.277447,0.306316,0.363863,0.477782,0.704859", \ "0.294538,0.303195,0.317621,0.346049,0.403226,0.517018,0.743753", \ "0.341923,0.351351,0.366955,0.397139,0.455035,0.568628,0.795040", \ "0.391795,0.402157,0.419256,0.452462,0.515537,0.634337,0.860468"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("0.008602,0.009323,0.010515,0.012833,0.017307,0.025755,0.041807", \ "0.008548,0.009179,0.010270,0.012566,0.017042,0.025526,0.041635", \ "0.016161,0.016563,0.017213,0.018469,0.020815,0.026537,0.041161", \ "0.029956,0.030442,0.031218,0.032775,0.035746,0.041106,0.050445", \ "0.049213,0.049788,0.050839,0.052705,0.056277,0.062816,0.074081", \ "0.073189,0.073937,0.075251,0.077856,0.082241,0.090190,0.103681", \ "0.100991,0.102051,0.103811,0.107368,0.113145,0.123082,0.139399"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("0.100740,0.108442,0.121399,0.147202,0.198207,0.299304,0.500442", \ "0.100745,0.108444,0.121402,0.147198,0.198232,0.299308,0.500444", \ "0.100748,0.108447,0.121401,0.147204,0.198265,0.299307,0.500442", \ "0.100759,0.108455,0.121401,0.147182,0.198318,0.299316,0.500440", \ "0.101782,0.109243,0.121896,0.147335,0.198138,0.299301,0.500442", \ "0.112525,0.119683,0.131290,0.154492,0.201612,0.299472,0.500432", \ "0.126826,0.134267,0.146668,0.170976,0.217438,0.308064,0.500872"); } } timing () { related_pin : "B"; when : "!A & !C1 & !C2"; sdf_cond : "(A == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("0.012853,0.013616,0.014873,0.017317,0.022019,0.031039,0.048462", \ "0.018448,0.019181,0.020405,0.022805,0.027465,0.036456,0.053869", \ "0.035271,0.036328,0.038026,0.041133,0.046606,0.055758,0.072939", \ "0.052576,0.054308,0.057076,0.062174,0.071047,0.085638,0.108452", \ "0.066293,0.068844,0.072844,0.080363,0.093531,0.114976,0.147937", \ "0.073614,0.077151,0.082742,0.092956,0.111215,0.140828,0.185856", \ "0.072616,0.077246,0.084597,0.097925,0.121848,0.160747,0.219722"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("0.150274,0.157761,0.170317,0.195211,0.244542,0.342645,0.538103", \ "0.151291,0.158856,0.171516,0.196664,0.246428,0.345088,0.541110", \ "0.165138,0.172608,0.185169,0.210164,0.259810,0.358631,0.555163", \ "0.196018,0.203455,0.215785,0.240379,0.289784,0.388295,0.584584", \ "0.241396,0.249402,0.262278,0.286876,0.336159,0.434397,0.630384", \ "0.291189,0.300880,0.316563,0.346304,0.401014,0.500097,0.695763", \ "0.345351,0.356969,0.375657,0.410631,0.474024,0.585544,0.783066"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("0.009118,0.009706,0.010687,0.012621,0.016415,0.023912,0.038885", \ "0.008733,0.009360,0.010391,0.012397,0.016275,0.023847,0.038869", \ "0.014028,0.014473,0.015184,0.016540,0.019003,0.024674,0.038765", \ "0.024739,0.025414,0.026473,0.028435,0.031927,0.037835,0.047637", \ "0.039450,0.040446,0.042071,0.044872,0.049652,0.057485,0.069926", \ "0.058287,0.059680,0.061999,0.066022,0.072421,0.082596,0.098108", \ "0.081072,0.083012,0.086105,0.091681,0.100197,0.113243,0.132404"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("0.079490,0.085954,0.096821,0.118425,0.161385,0.246905,0.417324", \ "0.079500,0.085957,0.096816,0.118412,0.161387,0.246918,0.417321", \ "0.079512,0.085962,0.096830,0.118418,0.161379,0.246922,0.417359", \ "0.079620,0.086046,0.096860,0.118413,0.161410,0.246912,0.417357", \ "0.086810,0.092191,0.101426,0.120706,0.161636,0.246893,0.417327", \ "0.107161,0.112578,0.121611,0.139175,0.173005,0.249087,0.417313", \ "0.133147,0.138689,0.147923,0.166105,0.200681,0.267262,0.419537"); } } timing () { related_pin : "B"; when : "!A & !C1 & C2"; sdf_cond : "(A == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("0.010794,0.011544,0.012787,0.015225,0.019962,0.029082,0.046661", \ "0.016573,0.017282,0.018476,0.020836,0.025484,0.034525,0.052054", \ "0.032353,0.033502,0.035348,0.038688,0.044486,0.053980,0.071125", \ "0.047859,0.049748,0.052823,0.058318,0.067729,0.082967,0.106395", \ "0.059370,0.062191,0.066576,0.074728,0.088732,0.111141,0.145054", \ "0.064020,0.067915,0.074023,0.085109,0.104565,0.135577,0.181961", \ "0.059918,0.065003,0.073025,0.087428,0.112949,0.153784,0.214601"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("0.161794,0.170457,0.184916,0.213690,0.270701,0.384038,0.609853", \ "0.162398,0.171145,0.185756,0.214807,0.272311,0.386293,0.612734", \ "0.176033,0.184693,0.199160,0.228036,0.285431,0.399534,0.626554", \ "0.206331,0.214900,0.229170,0.257612,0.314665,0.428488,0.655186", \ "0.249494,0.258484,0.273173,0.301523,0.358391,0.471852,0.698239", \ "0.296683,0.307312,0.324613,0.357727,0.419043,0.532583,0.758567", \ "0.349547,0.361911,0.381885,0.419613,0.488683,0.612757,0.839114"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("0.007913,0.008554,0.009621,0.011691,0.015682,0.023378,0.038431", \ "0.007741,0.008327,0.009337,0.011430,0.015469,0.023232,0.038358", \ "0.014151,0.014601,0.015302,0.016645,0.019087,0.024389,0.038137", \ "0.025407,0.026061,0.027054,0.028949,0.032350,0.038141,0.047803", \ "0.040657,0.041629,0.043190,0.045886,0.050481,0.058082,0.070283", \ "0.060057,0.061466,0.063677,0.067591,0.073718,0.083526,0.098689", \ "0.083492,0.085396,0.088402,0.093896,0.102058,0.114658,0.133284"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("0.084463,0.092021,0.104741,0.130183,0.180580,0.281147,0.481436", \ "0.084485,0.092044,0.104759,0.130180,0.180618,0.281187,0.481439", \ "0.084512,0.092059,0.104771,0.130185,0.180638,0.281148,0.481438", \ "0.084675,0.092168,0.104825,0.130201,0.180594,0.281183,0.481431", \ "0.091070,0.097601,0.108792,0.131846,0.180743,0.281138,0.481434", \ "0.108392,0.115104,0.126318,0.147758,0.189838,0.282242,0.481433", \ "0.130542,0.137321,0.148621,0.170986,0.213730,0.296681,0.482335"); } } timing () { related_pin : "B"; when : "!A & C1 & !C2"; sdf_cond : "(A == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("0.011021,0.011771,0.013011,0.015447,0.020178,0.029297,0.046883", \ "0.016785,0.017498,0.018691,0.021051,0.025697,0.034738,0.052275", \ "0.032726,0.033860,0.035685,0.038996,0.044741,0.054187,0.071345", \ "0.048512,0.050401,0.053413,0.058851,0.068183,0.083327,0.106671", \ "0.060461,0.063233,0.067540,0.075581,0.089454,0.111721,0.145473", \ "0.065665,0.069510,0.075510,0.086462,0.105653,0.136432,0.182609", \ "0.062295,0.067260,0.075171,0.089489,0.114628,0.155058,0.215561"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("0.194740,0.203438,0.217965,0.246938,0.304212,0.417858,0.644034", \ "0.195900,0.204656,0.219301,0.248436,0.306105,0.420234,0.646969", \ "0.209288,0.218008,0.232579,0.261605,0.319360,0.433636,0.660888", \ "0.239337,0.247994,0.262335,0.291002,0.348524,0.462518,0.689552", \ "0.283307,0.291989,0.306482,0.334848,0.391986,0.505787,0.732485", \ "0.336593,0.346516,0.362809,0.394355,0.452912,0.566421,0.792794", \ "0.395825,0.407138,0.425590,0.460960,0.526919,0.647330,0.873257"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("0.008630,0.009295,0.010386,0.012516,0.016614,0.024525,0.039982", \ "0.008407,0.009028,0.010076,0.012240,0.016398,0.024382,0.039906", \ "0.015177,0.015593,0.016262,0.017540,0.019925,0.025483,0.039682", \ "0.028152,0.028664,0.029497,0.031161,0.034269,0.039802,0.049308", \ "0.046941,0.047580,0.048682,0.050712,0.054488,0.061287,0.072814", \ "0.070932,0.071737,0.073158,0.075870,0.080486,0.088698,0.102449", \ "0.098951,0.100073,0.101950,0.105674,0.111663,0.121843,0.138364"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("0.100740,0.108442,0.121392,0.147191,0.198226,0.299337,0.500437", \ "0.100743,0.108445,0.121394,0.147191,0.198204,0.299315,0.500436", \ "0.100751,0.108447,0.121395,0.147180,0.198243,0.299331,0.500443", \ "0.100778,0.108467,0.121397,0.147183,0.198312,0.299326,0.500440", \ "0.103385,0.110465,0.122555,0.147541,0.198123,0.299289,0.500432", \ "0.119443,0.126331,0.137158,0.158775,0.203611,0.299517,0.500432", \ "0.140024,0.147038,0.158717,0.181745,0.225052,0.310702,0.500718"); } } timing () { related_pin : "C1"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("0.0189123,0.0202157,0.0223976,0.0267461,0.0354020,0.0526617,0.0871251", \ "0.0234806,0.0248112,0.0270342,0.0314338,0.0401535,0.0574785,0.0919913", \ "0.0381168,0.0399514,0.0428688,0.0481324,0.0572059,0.0744807,0.108978", \ "0.0512694,0.0542892,0.0590202,0.0675916,0.0821128,0.105396,0.141693", \ "0.0582872,0.0626763,0.0695024,0.0819470,0.103022,0.136414,0.186799", \ "0.0570775,0.0630074,0.0722201,0.0889343,0.117328,0.162193,0.229277", \ "0.0463720,0.0539210,0.0657278,0.0869362,0.123238,0.180648,0.266198"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("0.0952781,0.104063,0.118695,0.147676,0.204972,0.318498,0.544470", \ "0.0941927,0.103187,0.118166,0.147807,0.206039,0.320728,0.547660", \ "0.104814,0.113426,0.128011,0.157139,0.215152,0.330282,0.558322", \ "0.137754,0.145320,0.158583,0.186271,0.242636,0.356052,0.582972", \ "0.187053,0.196332,0.211194,0.239254,0.292038,0.402835,0.627003", \ "0.240859,0.252139,0.270273,0.304038,0.364879,0.473031,0.693649", \ "0.300428,0.313612,0.334829,0.374505,0.445804,0.568547,0.785751"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("0.0113862,0.0124991,0.0143802,0.0181438,0.0256738,0.0407291,0.0708381", \ "0.0113840,0.0125033,0.0143750,0.0181429,0.0256711,0.0407290,0.0708350", \ "0.0166362,0.0174169,0.0187033,0.0211007,0.0267658,0.0407252,0.0708317", \ "0.0292496,0.0303122,0.0320465,0.0352151,0.0408838,0.0507952,0.0729905", \ "0.0463667,0.0478374,0.0503370,0.0545697,0.0618389,0.0740335,0.0942210", \ "0.0679242,0.0699167,0.0731448,0.0788309,0.0880772,0.103216,0.127294", \ "0.0939291,0.0964738,0.100512,0.107815,0.119469,0.138025,0.166824"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("0.0837591,0.0915369,0.104548,0.130107,0.180697,0.281130,0.481442", \ "0.0833456,0.0912462,0.104371,0.130049,0.180603,0.281143,0.481440", \ "0.0815286,0.0898325,0.103483,0.129676,0.180591,0.281184,0.481429", \ "0.0807529,0.0880009,0.100602,0.127073,0.180074,0.281116,0.481432", \ "0.0982229,0.104028,0.113041,0.133478,0.179241,0.280763,0.481440", \ "0.120680,0.127190,0.138028,0.158518,0.196249,0.282707,0.481408", \ "0.146688,0.153978,0.165978,0.188596,0.229798,0.305606,0.482124"); } } timing () { related_pin : "C2"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("0.0216066,0.0229058,0.0250788,0.0294147,0.0380605,0.0553158,0.0897798", \ "0.0267752,0.0280995,0.0303063,0.0346926,0.0433990,0.0607085,0.0952164", \ "0.0407804,0.0423795,0.0449620,0.0498532,0.0588958,0.0763518,0.110988", \ "0.0573481,0.0597524,0.0635348,0.0704219,0.0825468,0.103466,0.139813", \ "0.0705918,0.0742651,0.0800173,0.0902239,0.107536,0.135237,0.179647", \ "0.0771666,0.0824272,0.0903891,0.104918,0.129244,0.166940,0.223273", \ "0.0751764,0.0821297,0.0928828,0.111935,0.144431,0.194396,0.266842"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("0.125385,0.134028,0.148468,0.177284,0.234442,0.347899,0.573976", \ "0.125589,0.134368,0.149032,0.178223,0.235794,0.349884,0.576411", \ "0.136694,0.145371,0.160000,0.189107,0.246798,0.361287,0.588436", \ "0.167266,0.175614,0.189665,0.218092,0.274789,0.388226,0.614586", \ "0.220429,0.228834,0.242495,0.268626,0.323629,0.435131,0.659390", \ "0.282001,0.292203,0.308734,0.339911,0.397126,0.505068,0.726280", \ "0.348998,0.360971,0.380376,0.417080,0.484018,0.601400,0.818309"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("0.0113859,0.0125042,0.0143778,0.0181403,0.0256739,0.0407283,0.0708311", \ "0.0113841,0.0124991,0.0143785,0.0181407,0.0256703,0.0407309,0.0708392", \ "0.0136152,0.0145956,0.0162481,0.0194145,0.0261638,0.0407300,0.0708313", \ "0.0207898,0.0217655,0.0233813,0.0265969,0.0329923,0.0456928,0.0720761", \ "0.0331855,0.0343283,0.0360922,0.0394844,0.0457353,0.0579881,0.0826858", \ "0.0500987,0.0514836,0.0537285,0.0577058,0.0646386,0.0769896,0.100798", \ "0.0709662,0.0727174,0.0754998,0.0805688,0.0888339,0.102526,0.126404"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("0.100579,0.108338,0.121349,0.147176,0.198214,0.299303,0.500431", \ "0.100482,0.108273,0.121307,0.147201,0.198137,0.299343,0.500432", \ "0.0999634,0.107877,0.121071,0.147051,0.198086,0.299337,0.500433", \ "0.0969606,0.104975,0.119038,0.146214,0.197945,0.299362,0.500430", \ "0.106037,0.112421,0.123568,0.146942,0.196024,0.299156,0.500444", \ "0.128656,0.135251,0.146176,0.166711,0.207391,0.298902,0.500429", \ "0.154219,0.161651,0.173739,0.196682,0.238356,0.317295,0.500077"); } } internal_power () { related_pin : "A"; when : "!B & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("1.360612,1.415560,1.459255,1.544525,1.673550,1.835913,2.006185", \ "1.340599,1.347543,1.411474,1.492966,1.609095,1.767413,1.937383", \ "1.424023,1.437144,1.451095,1.489123,1.582600,1.717168,1.889513", \ "1.743554,1.738413,1.745370,1.747726,1.779901,1.779704,1.883488", \ "2.051589,2.045904,2.041135,2.031369,2.045929,2.044741,2.031922", \ "2.448809,2.437109,2.427519,2.416946,2.398552,2.364670,2.313896", \ "2.981995,2.974254,2.952808,2.933603,2.892865,2.803775,2.697318"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("7.684726,7.689698,7.701265,7.693110,7.722149,7.727633,7.721626", \ "7.580019,7.587649,7.604629,7.614581,7.650689,7.670762,7.678405", \ "7.489221,7.494865,7.506552,7.502138,7.540835,7.564706,7.634634", \ "7.446584,7.450353,7.454717,7.467607,7.445980,7.508304,7.523458", \ "7.443368,7.446979,7.441490,7.441653,7.436340,7.446362,7.505293", \ "7.470459,7.474449,7.474974,7.456157,7.466593,7.470181,7.472467", \ "7.451472,7.469465,7.513338,7.574404,7.541735,7.528383,7.546337"); } } internal_power () { related_pin : "A"; when : "!B & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("0.905372,0.947196,1.020890,1.120307,1.272152,1.496737,1.725332", \ "0.902885,0.940873,0.993147,1.085607,1.222524,1.430577,1.672684", \ "1.105392,1.102130,1.105843,1.144568,1.242752,1.407427,1.614501", \ "1.456718,1.459591,1.466113,1.467759,1.488115,1.505476,1.625989", \ "1.792403,1.782328,1.791047,1.777414,1.794020,1.786598,1.789746", \ "2.203941,2.198181,2.191800,2.173519,2.154384,2.114997,2.065273", \ "2.740812,2.728489,2.714939,2.695711,2.639693,2.553877,2.447053"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("7.131806,7.138549,7.149814,7.164822,7.146255,7.163274,7.177928", \ "7.022474,7.038103,7.046624,7.068107,7.076327,7.111033,7.137004", \ "6.934312,6.941069,6.954039,6.962663,6.969547,7.005373,7.047047", \ "6.894188,6.899273,6.900411,6.913276,6.919629,6.949869,6.986448", \ "6.889302,6.894208,6.893312,6.883676,6.876236,6.900095,6.930205", \ "6.917544,6.916288,6.922920,6.907069,6.920778,6.899080,6.921493", \ "6.888277,6.913424,6.960349,7.031373,6.991129,6.987696,6.954767"); } } internal_power () { related_pin : "A"; when : "!B & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("1.084284,1.108029,1.166371,1.246693,1.353205,1.553659,1.758412", \ "1.049207,1.080644,1.132064,1.202180,1.319807,1.476513,1.701156", \ "1.162012,1.159784,1.192578,1.233902,1.324100,1.453245,1.628364", \ "1.505901,1.510839,1.500256,1.511438,1.525289,1.533579,1.649058", \ "1.837735,1.834964,1.830499,1.811196,1.810158,1.809134,1.795709", \ "2.244274,2.234171,2.220341,2.199968,2.172541,2.121700,2.077284", \ "2.789258,2.781437,2.755569,2.713295,2.660455,2.576200,2.454588"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("8.338656,8.346448,8.360895,8.382572,8.405209,8.437070,8.474727", \ "8.249839,8.259382,8.271539,8.298265,8.342514,8.385794,8.435271", \ "8.149789,8.157996,8.175728,8.200850,8.238562,8.286166,8.347717", \ "8.104670,8.111649,8.124553,8.145454,8.148431,8.187479,8.245331", \ "8.091037,8.097832,8.112126,8.101175,8.139743,8.178890,8.231047", \ "8.114239,8.120219,8.127086,8.118442,8.153214,8.177935,8.222954", \ "8.149526,8.179836,8.230344,8.232376,8.208761,8.224071,8.256248"); } } internal_power () { related_pin : "B"; when : "!A & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("1.305724,1.314453,1.339094,1.371533,1.422283,1.500256,1.576437", \ "1.316694,1.330466,1.354839,1.388007,1.449320,1.520802,1.588142", \ "1.370607,1.374768,1.381720,1.396971,1.440045,1.512822,1.597689", \ "1.550444,1.560797,1.547992,1.549795,1.550112,1.550414,1.601511", \ "1.775762,1.769771,1.764322,1.753747,1.762737,1.741896,1.703532", \ "2.110367,2.101447,2.096576,2.074873,2.046684,1.995370,1.942358", \ "2.584117,2.571630,2.562207,2.538990,2.486414,2.392420,2.277139"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("6.623768,6.628878,6.639081,6.642774,6.635165,6.638831,6.693757", \ "6.517898,6.525106,6.544375,6.555241,6.579322,6.598782,6.655345", \ "6.426013,6.432843,6.438343,6.455402,6.447926,6.517408,6.539368", \ "6.393188,6.393021,6.400139,6.389325,6.385778,6.448073,6.464536", \ "6.394232,6.394381,6.396897,6.389352,6.385407,6.393339,6.449642", \ "6.468528,6.469183,6.466503,6.460809,6.438333,6.453928,6.470724", \ "6.578044,6.596175,6.635944,6.668587,6.612566,6.567732,6.524628"); } } internal_power () { related_pin : "B"; when : "!A & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("0.995328,0.993758,1.051845,1.111293,1.181225,1.300445,1.403898", \ "1.015409,1.020462,1.063229,1.119675,1.192911,1.309860,1.404637", \ "1.144095,1.131367,1.145515,1.161244,1.201717,1.295876,1.393961", \ "1.339112,1.347775,1.356368,1.350667,1.339351,1.341861,1.393288", \ "1.587978,1.587348,1.571588,1.574743,1.569401,1.552012,1.511995", \ "1.921468,1.913244,1.911505,1.891274,1.861889,1.812349,1.740627", \ "2.398116,2.390107,2.369951,2.338921,2.290541,2.202128,2.084841"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("6.085026,6.091600,6.097952,6.101288,6.114233,6.131483,6.147313", \ "5.981145,5.989954,6.005273,6.015841,6.057972,6.091638,6.079015", \ "5.891045,5.897943,5.904337,5.920028,5.933343,5.968833,6.011293", \ "5.852913,5.857131,5.864684,5.864538,5.876073,5.905763,5.942171", \ "5.850809,5.854881,5.858341,5.850765,5.854543,5.875617,5.904949", \ "5.931033,5.927727,5.928361,5.924515,5.900859,5.907126,5.921809", \ "6.021804,6.038039,6.079104,6.118685,6.077176,6.017461,5.991623"); } } internal_power () { related_pin : "B"; when : "!A & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("1.088994,1.107602,1.114642,1.168210,1.224274,1.324979,1.414326", \ "1.102328,1.119445,1.136510,1.184422,1.247281,1.331873,1.415338", \ "1.154697,1.164737,1.179982,1.199452,1.241484,1.317794,1.402463", \ "1.370338,1.367968,1.368947,1.371244,1.368822,1.343406,1.402131", \ "1.609095,1.603110,1.589948,1.579640,1.564484,1.543461,1.507992", \ "1.947345,1.944335,1.923461,1.898406,1.870587,1.809992,1.744792", \ "2.438357,2.426541,2.405783,2.372990,2.305531,2.209998,2.082039"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("7.291495,7.299157,7.312756,7.323399,7.335238,7.365205,7.401995", \ "7.201883,7.211153,7.225895,7.250718,7.286083,7.328679,7.377643", \ "7.106513,7.115254,7.131215,7.144797,7.163218,7.250232,7.312204", \ "7.064184,7.069331,7.074244,7.082894,7.104541,7.144598,7.201880", \ "7.056863,7.063179,7.073617,7.074440,7.077721,7.114908,7.163756", \ "7.119949,7.117465,7.126980,7.140068,7.118968,7.143432,7.180333", \ "7.261241,7.287759,7.317923,7.301871,7.284245,7.278376,7.290290"); } } internal_power () { related_pin : "C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("0.589034,0.580891,0.566462,0.536936,0.505097,0.476988,0.462444", \ "0.557500,0.553937,0.548151,0.534728,0.520750,0.501037,0.504374", \ "0.501219,0.504815,0.508972,0.512091,0.522381,0.531678,0.544846", \ "0.621497,0.612857,0.600662,0.587290,0.557295,0.556513,0.572017", \ "0.822032,0.817806,0.799647,0.782916,0.742204,0.681364,0.630962", \ "1.161469,1.149458,1.124863,1.089555,1.023397,0.933245,0.812171", \ "1.648615,1.626882,1.601252,1.545653,1.454531,1.307897,1.128591"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("4.385840,4.401410,4.417225,4.432317,4.443197,4.463204,4.438518", \ "4.233950,4.248450,4.268567,4.299273,4.336292,4.417733,4.419532", \ "4.108191,4.116127,4.132982,4.154204,4.190467,4.247835,4.311468", \ "4.209161,4.204724,4.207470,4.187374,4.211405,4.195185,4.238388", \ "4.477036,4.469048,4.448723,4.411626,4.391058,4.331569,4.283300", \ "4.922846,4.902009,4.875228,4.822922,4.729625,4.632989,4.531772", \ "5.342061,5.349196,5.362320,5.390906,5.296237,5.092134,4.898071"); } } internal_power () { related_pin : "C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("0.586268,0.569708,0.562340,0.536986,0.502350,0.471167,0.463397", \ "0.552872,0.552273,0.543230,0.534882,0.521215,0.506803,0.506421", \ "0.474583,0.470755,0.478364,0.493887,0.507452,0.521731,0.544999", \ "0.481904,0.489999,0.488293,0.493856,0.486358,0.503906,0.544505", \ "0.556662,0.559877,0.563653,0.556671,0.570720,0.562791,0.544603", \ "0.747705,0.741402,0.735928,0.724384,0.711891,0.686518,0.649003", \ "1.064691,1.061251,1.041016,1.013489,0.970061,0.908276,0.836525"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("5.500858,5.513682,5.524576,5.528418,5.529725,5.557465,5.590569", \ "5.388433,5.397805,5.413517,5.439438,5.468145,5.510319,5.554615", \ "5.288095,5.295610,5.309407,5.343200,5.366409,5.418020,5.476942", \ "5.373060,5.376340,5.373195,5.377169,5.401340,5.393771,5.438029", \ "5.616684,5.615283,5.610420,5.602178,5.577832,5.536318,5.544241", \ "6.030977,6.022823,6.004292,5.985823,5.915232,5.839226,5.759092", \ "6.492094,6.509220,6.541943,6.530077,6.463999,6.300896,6.172350"); } } } } /****************************************************************************************** Module : AOI211_X4 Cell Description : Combinational cell (AOI211_X4) with drive strength X4 *******************************************************************************************/ cell (AOI211_X4) { drive_strength : 4; area : 2.926000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 77.922222; leakage_power () { when : "!A & !B & !C1 & !C2"; value : 94.404065; } leakage_power () { when : "!A & !B & !C1 & C2"; value : 108.638057; } leakage_power () { when : "!A & !B & C1 & !C2"; value : 98.951196; } leakage_power () { when : "!A & !B & C1 & C2"; value : 76.365550; } leakage_power () { when : "!A & B & !C1 & !C2"; value : 66.527550; } leakage_power () { when : "!A & B & !C1 & C2"; value : 71.529575; } leakage_power () { when : "!A & B & C1 & !C2"; value : 71.531704; } leakage_power () { when : "!A & B & C1 & C2"; value : 75.057049; } leakage_power () { when : "A & !B & !C1 & !C2"; value : 66.309126; } leakage_power () { when : "A & !B & !C1 & C2"; value : 71.382135; } leakage_power () { when : "A & !B & C1 & !C2"; value : 71.384359; } leakage_power () { when : "A & !B & C1 & C2"; value : 75.045649; } leakage_power () { when : "A & B & !C1 & !C2"; value : 69.852825; } leakage_power () { when : "A & B & !C1 & C2"; value : 74.959626; } leakage_power () { when : "A & B & C1 & !C2"; value : 74.960652; } leakage_power () { when : "A & B & C1 & C2"; value : 79.856430; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.607501; fall_capacitance : 1.538550; rise_capacitance : 1.607501; } pin (B) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.628464; fall_capacitance : 1.461891; rise_capacitance : 1.628464; } pin (C1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.582227; fall_capacitance : 1.356662; rise_capacitance : 1.582227; } pin (C2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.670652; fall_capacitance : 1.411129; rise_capacitance : 1.670652; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 242.306300; function : "!(!(!(((C1 & C2) | B) | A)))"; timing () { related_pin : "A"; when : "!B & !C1 & !C2"; sdf_cond : "(B == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.094522,0.104795,0.112604,0.125209,0.146251,0.183656,0.254811", \ "0.099767,0.110038,0.117845,0.130449,0.151488,0.188895,0.260047", \ "0.118718,0.128971,0.136770,0.149367,0.170397,0.207798,0.278953", \ "0.148667,0.158918,0.166639,0.179151,0.200174,0.237570,0.308724", \ "0.180614,0.190884,0.198666,0.210785,0.231808,0.269233,0.340374", \ "0.210819,0.221126,0.228973,0.241334,0.262429,0.299905,0.371067", \ "0.236613,0.247191,0.255185,0.267817,0.289058,0.326575,0.397735"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.349393,0.368619,0.385191,0.417147,0.481154,0.609239,0.865096", \ "0.352918,0.372155,0.388753,0.420699,0.484683,0.612805,0.868631", \ "0.369127,0.388366,0.404941,0.436916,0.500900,0.628991,0.884843", \ "0.398045,0.417282,0.433813,0.465699,0.529693,0.657767,0.913659", \ "0.439085,0.458324,0.474915,0.506535,0.570513,0.698583,0.954430", \ "0.492951,0.512230,0.528797,0.560613,0.624605,0.752673,1.008519", \ "0.555018,0.574807,0.591481,0.623406,0.687317,0.815329,1.071138"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.012197,0.016267,0.020084,0.027341,0.041685,0.071189,0.132731", \ "0.012194,0.016261,0.020081,0.027338,0.041683,0.071185,0.132725", \ "0.012173,0.016244,0.020065,0.027327,0.041674,0.071184,0.132722", \ "0.012185,0.016255,0.020070,0.027326,0.041671,0.071180,0.132726", \ "0.012382,0.016418,0.020207,0.027432,0.041738,0.071216,0.132732", \ "0.012787,0.016736,0.020473,0.027631,0.041868,0.071276,0.132749", \ "0.013574,0.017361,0.020997,0.028031,0.042127,0.071402,0.132782"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.019695,0.029835,0.042785,0.072010,0.132822,0.255114,0.499989", \ "0.019698,0.029837,0.042783,0.072012,0.132825,0.255117,0.499989", \ "0.019694,0.029834,0.042783,0.072009,0.132816,0.255114,0.499988", \ "0.019695,0.029835,0.042784,0.072005,0.132819,0.255112,0.499988", \ "0.019695,0.029836,0.042785,0.072004,0.132818,0.255111,0.499998", \ "0.019816,0.029916,0.042822,0.072023,0.132814,0.255130,0.499996", \ "0.020793,0.030673,0.043235,0.072154,0.132862,0.255145,0.499989"); } } timing () { related_pin : "A"; when : "!B & !C1 & C2"; sdf_cond : "(B == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.091853,0.102151,0.109972,0.122589,0.143643,0.181060,0.252213", \ "0.097149,0.107440,0.115258,0.127875,0.148926,0.186339,0.257495", \ "0.116073,0.126350,0.134160,0.146765,0.167802,0.205208,0.276360", \ "0.145035,0.155288,0.163027,0.175575,0.196603,0.234001,0.305157", \ "0.175687,0.185970,0.193757,0.205884,0.226932,0.264366,0.335504", \ "0.204362,0.214688,0.222550,0.234929,0.256027,0.293485,0.364662", \ "0.228309,0.238947,0.246957,0.259608,0.280849,0.318396,0.389562"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.379261,0.399200,0.415937,0.447863,0.511724,0.639777,0.895580", \ "0.382496,0.402437,0.419195,0.451054,0.514963,0.642990,0.898819", \ "0.398331,0.418271,0.434980,0.466920,0.530805,0.658797,0.914664", \ "0.426922,0.446863,0.463578,0.495396,0.559292,0.687294,0.943122", \ "0.467036,0.486979,0.503730,0.535322,0.599188,0.727169,0.982997", \ "0.518735,0.538702,0.555443,0.587347,0.651204,0.779190,1.034992", \ "0.578757,0.599196,0.616077,0.648030,0.711813,0.839779,1.095571"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.012245,0.016309,0.020121,0.027376,0.041712,0.071205,0.132731", \ "0.012234,0.016299,0.020115,0.027369,0.041707,0.071204,0.132735", \ "0.012199,0.016273,0.020091,0.027349,0.041693,0.071194,0.132728", \ "0.012220,0.016282,0.020093,0.027346,0.041685,0.071188,0.132726", \ "0.012419,0.016451,0.020236,0.027454,0.041753,0.071226,0.132738", \ "0.012856,0.016794,0.020523,0.027670,0.041893,0.071288,0.132753", \ "0.013723,0.017476,0.021095,0.028107,0.042177,0.071426,0.132789"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.020951,0.030863,0.043364,0.072200,0.132883,0.255147,0.500011", \ "0.020960,0.030862,0.043362,0.072200,0.132882,0.255144,0.500011", \ "0.020953,0.030865,0.043365,0.072200,0.132874,0.255145,0.500002", \ "0.020957,0.030863,0.043361,0.072197,0.132881,0.255143,0.500010", \ "0.020960,0.030863,0.043364,0.072203,0.132885,0.255145,0.500005", \ "0.021022,0.030913,0.043389,0.072210,0.132876,0.255143,0.499995", \ "0.021897,0.031631,0.043799,0.072340,0.132909,0.255152,0.500004"); } } timing () { related_pin : "A"; when : "!B & C1 & !C2"; sdf_cond : "(B == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.094648,0.104965,0.112793,0.125417,0.146469,0.183886,0.255043", \ "0.099934,0.110243,0.118069,0.130691,0.151741,0.189157,0.260314", \ "0.118856,0.129143,0.136959,0.149569,0.170611,0.208022,0.279179", \ "0.148800,0.159059,0.166783,0.179316,0.200362,0.237772,0.308931", \ "0.181158,0.191469,0.199270,0.211428,0.232488,0.269930,0.341085", \ "0.211875,0.222337,0.230238,0.242671,0.263810,0.301308,0.372485", \ "0.238131,0.248978,0.257071,0.269805,0.291108,0.328680,0.399864"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.415052,0.435297,0.452100,0.483958,0.547742,0.675775,0.931611", \ "0.418604,0.438840,0.455643,0.487469,0.551283,0.679315,0.935160", \ "0.434451,0.454697,0.471518,0.503336,0.567140,0.695187,0.950988", \ "0.462916,0.483156,0.499881,0.531686,0.595472,0.723535,0.979334", \ "0.502886,0.523138,0.539932,0.571383,0.635243,0.763238,1.019054", \ "0.554684,0.574938,0.591758,0.623619,0.687421,0.815390,1.071173", \ "0.617068,0.637667,0.654563,0.686580,0.750281,0.878192,1.133962"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.012289,0.016345,0.020150,0.027395,0.041725,0.071213,0.132735", \ "0.012282,0.016335,0.020145,0.027390,0.041720,0.071208,0.132733", \ "0.012246,0.016309,0.020120,0.027370,0.041706,0.071203,0.132736", \ "0.012276,0.016329,0.020132,0.027371,0.041701,0.071197,0.132734", \ "0.012557,0.016554,0.020319,0.027511,0.041789,0.071237,0.132739", \ "0.013135,0.017004,0.020695,0.027796,0.041969,0.071322,0.132765", \ "0.014193,0.017858,0.021415,0.028350,0.042334,0.071502,0.132806"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.021541,0.031345,0.043638,0.072297,0.132900,0.255163,0.500007", \ "0.021541,0.031346,0.043639,0.072297,0.132902,0.255165,0.500008", \ "0.021537,0.031345,0.043639,0.072298,0.132904,0.255153,0.500007", \ "0.021541,0.031345,0.043640,0.072297,0.132918,0.255158,0.500011", \ "0.021542,0.031346,0.043639,0.072292,0.132907,0.255153,0.500003", \ "0.021557,0.031358,0.043646,0.072293,0.132908,0.255154,0.500004", \ "0.022197,0.031910,0.043967,0.072392,0.132934,0.255173,0.500007"); } } timing () { related_pin : "B"; when : "!A & !C1 & !C2"; sdf_cond : "(A == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.092994,0.103220,0.111000,0.123574,0.144583,0.181967,0.253112", \ "0.098381,0.108609,0.116389,0.128961,0.149971,0.187356,0.258503", \ "0.117195,0.127412,0.135187,0.147759,0.168761,0.206141,0.277290", \ "0.146040,0.156250,0.163987,0.176507,0.197511,0.234895,0.306039", \ "0.176112,0.186354,0.194122,0.206322,0.227329,0.264736,0.335890", \ "0.203931,0.214216,0.222058,0.234424,0.255494,0.292938,0.364111", \ "0.226789,0.237391,0.245380,0.258028,0.279253,0.316772,0.387927"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.337221,0.356445,0.373021,0.404944,0.468985,0.597069,0.852927", \ "0.339043,0.358268,0.374838,0.406766,0.470806,0.598888,0.854747", \ "0.352483,0.371707,0.388279,0.420251,0.484253,0.612326,0.868193", \ "0.382930,0.402168,0.418648,0.450294,0.514339,0.642418,0.898264", \ "0.429414,0.448652,0.465200,0.497020,0.560893,0.688969,0.944812", \ "0.494202,0.513500,0.530075,0.561887,0.625869,0.753918,1.009758", \ "0.571828,0.591815,0.608524,0.640312,0.704122,0.832120,1.087918"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.012071,0.016154,0.019981,0.027256,0.041621,0.071149,0.132709", \ "0.012073,0.016154,0.019981,0.027255,0.041620,0.071149,0.132713", \ "0.012066,0.016151,0.019979,0.027253,0.041619,0.071146,0.132708", \ "0.012120,0.016191,0.020011,0.027273,0.041628,0.071149,0.132706", \ "0.012323,0.016364,0.020156,0.027386,0.041702,0.071190,0.132725", \ "0.012757,0.016706,0.020441,0.027601,0.041840,0.071256,0.132737", \ "0.013617,0.017388,0.021014,0.028038,0.042124,0.071392,0.132772"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.019698,0.029836,0.042784,0.072005,0.132808,0.255108,0.499987", \ "0.019695,0.029835,0.042785,0.072004,0.132820,0.255114,0.499988", \ "0.019694,0.029835,0.042785,0.072004,0.132820,0.255112,0.499988", \ "0.019693,0.029834,0.042784,0.072005,0.132823,0.255112,0.499988", \ "0.019702,0.029838,0.042785,0.072004,0.132818,0.255108,0.499987", \ "0.019860,0.029942,0.042838,0.072023,0.132826,0.255123,0.499992", \ "0.021189,0.030973,0.043394,0.072199,0.132881,0.255146,0.499991"); } } timing () { related_pin : "B"; when : "!A & !C1 & C2"; sdf_cond : "(A == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.090925,0.101160,0.108945,0.121522,0.142536,0.179925,0.251071", \ "0.096316,0.106549,0.114334,0.126911,0.147924,0.185313,0.256459", \ "0.115036,0.125263,0.133044,0.145614,0.166621,0.204004,0.275150", \ "0.142945,0.153168,0.160892,0.173435,0.194439,0.231822,0.302972", \ "0.171783,0.182033,0.189800,0.201991,0.223016,0.260429,0.331564", \ "0.198087,0.208390,0.216226,0.228600,0.249683,0.287141,0.358295", \ "0.219081,0.229728,0.237741,0.250399,0.271652,0.309172,0.380330"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.367562,0.387500,0.404198,0.436086,0.499986,0.628016,0.883843", \ "0.369089,0.389027,0.405722,0.437643,0.501505,0.629540,0.885364", \ "0.382180,0.402120,0.418895,0.450739,0.514638,0.642673,0.898500", \ "0.412027,0.431958,0.448581,0.480169,0.544093,0.672116,0.927947", \ "0.455895,0.475837,0.492557,0.524386,0.588158,0.716159,0.971974", \ "0.516133,0.536120,0.552862,0.584774,0.648631,0.776602,1.032398", \ "0.589538,0.610127,0.627024,0.658908,0.722660,0.850588,1.106359"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.012091,0.016169,0.019996,0.027267,0.041629,0.071152,0.132710", \ "0.012086,0.016168,0.019994,0.027266,0.041628,0.071153,0.132709", \ "0.012075,0.016160,0.019989,0.027261,0.041623,0.071150,0.132712", \ "0.012135,0.016202,0.020019,0.027279,0.041633,0.071154,0.132713", \ "0.012343,0.016379,0.020170,0.027398,0.041710,0.071197,0.132722", \ "0.012809,0.016745,0.020475,0.027625,0.041856,0.071264,0.132736", \ "0.013736,0.017479,0.021093,0.028097,0.042162,0.071410,0.132779"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.020954,0.030862,0.043361,0.072199,0.132883,0.255146,0.500010", \ "0.020952,0.030864,0.043364,0.072198,0.132869,0.255144,0.500006", \ "0.020957,0.030863,0.043362,0.072204,0.132872,0.255143,0.500011", \ "0.020959,0.030861,0.043365,0.072203,0.132885,0.255143,0.500001", \ "0.020959,0.030864,0.043365,0.072200,0.132870,0.255146,0.500008", \ "0.021065,0.030941,0.043402,0.072211,0.132873,0.255141,0.500000", \ "0.022211,0.031897,0.043957,0.072394,0.132920,0.255169,0.500000"); } } timing () { related_pin : "B"; when : "!A & C1 & !C2"; sdf_cond : "(A == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.093660,0.103915,0.111710,0.124293,0.145307,0.182699,0.253844", \ "0.099047,0.109301,0.117094,0.129676,0.150691,0.188082,0.259227", \ "0.117786,0.128020,0.135807,0.148385,0.169396,0.206784,0.277931", \ "0.146735,0.156954,0.164675,0.177202,0.198223,0.235615,0.306764", \ "0.177304,0.187593,0.195376,0.207596,0.228649,0.266076,0.337227", \ "0.205741,0.216191,0.224085,0.236513,0.257642,0.295114,0.366278", \ "0.229163,0.240023,0.248121,0.260861,0.282184,0.319754,0.390928"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.403304,0.423554,0.440357,0.472216,0.535994,0.664033,0.919869", \ "0.405168,0.425412,0.442211,0.474060,0.537783,0.665879,0.921701", \ "0.418341,0.438593,0.455395,0.487285,0.550979,0.679089,0.934888", \ "0.447995,0.468250,0.484941,0.516466,0.580303,0.708318,0.964150", \ "0.491764,0.512000,0.528783,0.560394,0.624289,0.752275,1.008081", \ "0.552216,0.572474,0.589286,0.621226,0.685030,0.812955,1.068738", \ "0.628906,0.649637,0.666563,0.698419,0.762151,0.890051,1.145809"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.012137,0.016208,0.020026,0.027288,0.041642,0.071159,0.132712", \ "0.012136,0.016204,0.020024,0.027287,0.041641,0.071159,0.132713", \ "0.012125,0.016197,0.020018,0.027282,0.041638,0.071157,0.132710", \ "0.012194,0.016251,0.020058,0.027309,0.041650,0.071162,0.132709", \ "0.012488,0.016490,0.020260,0.027459,0.041745,0.071211,0.132727", \ "0.013101,0.016970,0.020658,0.027761,0.041939,0.071301,0.132744", \ "0.014238,0.017887,0.021435,0.028360,0.042333,0.071492,0.132798"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.021539,0.031344,0.043639,0.072293,0.132898,0.255165,0.500009", \ "0.021534,0.031346,0.043639,0.072296,0.132900,0.255152,0.500011", \ "0.021543,0.031346,0.043637,0.072294,0.132902,0.255152,0.500004", \ "0.021549,0.031345,0.043638,0.072299,0.132917,0.255165,0.500009", \ "0.021542,0.031346,0.043639,0.072298,0.132902,0.255154,0.500005", \ "0.021568,0.031367,0.043650,0.072291,0.132912,0.255158,0.500009", \ "0.022421,0.032090,0.044059,0.072417,0.132936,0.255176,0.500007"); } } timing () { related_pin : "C1"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.112641,0.122969,0.130824,0.143489,0.164591,0.202048,0.273213", \ "0.117331,0.127664,0.135514,0.148181,0.169286,0.206740,0.277910", \ "0.134181,0.144509,0.152356,0.165020,0.186124,0.223586,0.294750", \ "0.162863,0.173214,0.181013,0.193560,0.214675,0.252115,0.323274", \ "0.192114,0.202513,0.210388,0.222686,0.243810,0.281326,0.352543", \ "0.217660,0.228276,0.236292,0.248905,0.270173,0.307731,0.378903", \ "0.237210,0.248386,0.256624,0.269602,0.291101,0.328790,0.399972"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.300579,0.320522,0.337229,0.369118,0.433035,0.561041,0.816869", \ "0.301500,0.321454,0.338157,0.370073,0.433992,0.561972,0.817793", \ "0.310609,0.330548,0.347275,0.379222,0.443109,0.571109,0.826920", \ "0.338597,0.358537,0.375229,0.407054,0.470933,0.598932,0.854751", \ "0.388427,0.408354,0.425084,0.456928,0.520782,0.648778,0.904576", \ "0.461442,0.481360,0.498074,0.529905,0.593784,0.721781,0.977592", \ "0.548205,0.568987,0.585901,0.617661,0.681350,0.809254,1.065026"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.0124612,0.0164947,0.0202847,0.0275055,0.0418029,0.0712540,0.132735", \ "0.0124619,0.0164951,0.0202850,0.0275051,0.0418035,0.0712517,0.132742", \ "0.0124649,0.0164982,0.0202878,0.0275070,0.0418039,0.0712543,0.132738", \ "0.0125667,0.0165787,0.0203523,0.0275525,0.0418310,0.0712644,0.132740", \ "0.0129699,0.0169120,0.0206394,0.0277829,0.0419955,0.0713648,0.132765", \ "0.0136821,0.0174858,0.0211255,0.0281564,0.0422343,0.0714705,0.132805", \ "0.0150066,0.0185807,0.0220729,0.0289028,0.0427413,0.0717349,0.132871"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.0209548,0.0308628,0.0433622,0.0722033,0.132873,0.255142,0.500009", \ "0.0209539,0.0308632,0.0433647,0.0721972,0.132883,0.255143,0.499996", \ "0.0209515,0.0308618,0.0433629,0.0721976,0.132883,0.255145,0.500009", \ "0.0209534,0.0308605,0.0433620,0.0722026,0.132868,0.255145,0.500011", \ "0.0209220,0.0308419,0.0433517,0.0721955,0.132883,0.255142,0.500002", \ "0.0209717,0.0308790,0.0433687,0.0722008,0.132870,0.255149,0.500011", \ "0.0226018,0.0321861,0.0441039,0.0724302,0.132935,0.255156,0.500005"); } } timing () { related_pin : "C2"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.115342,0.125669,0.133523,0.146186,0.167286,0.204747,0.275910", \ "0.120651,0.130979,0.138837,0.151499,0.172600,0.210059,0.281223", \ "0.136091,0.146418,0.154268,0.166935,0.188037,0.225498,0.296661", \ "0.161644,0.171994,0.179855,0.192510,0.213621,0.251089,0.322252", \ "0.191091,0.201496,0.209322,0.221794,0.242923,0.280434,0.351617", \ "0.219952,0.230460,0.238370,0.250533,0.271687,0.309253,0.380468", \ "0.244796,0.255565,0.263623,0.276100,0.297417,0.335045,0.406233"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.332485,0.352731,0.369527,0.401436,0.465190,0.593193,0.849013", \ "0.333800,0.354047,0.370822,0.402687,0.466500,0.594492,0.850352", \ "0.344714,0.364968,0.381766,0.413592,0.477446,0.605434,0.861250", \ "0.373100,0.393345,0.410089,0.441841,0.505664,0.633621,0.889428", \ "0.422423,0.442661,0.459446,0.491220,0.555034,0.682986,0.938818", \ "0.495113,0.515352,0.532142,0.563994,0.627806,0.755775,1.011580", \ "0.586544,0.607330,0.624245,0.656050,0.719705,0.847573,1.103349"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.0124626,0.0164948,0.0202858,0.0275070,0.0418038,0.0712520,0.132734", \ "0.0124625,0.0164944,0.0202845,0.0275064,0.0418038,0.0712542,0.132735", \ "0.0124608,0.0164958,0.0202859,0.0275058,0.0418043,0.0712527,0.132735", \ "0.0125307,0.0165490,0.0203280,0.0275356,0.0418212,0.0712610,0.132735", \ "0.0128189,0.0167920,0.0205388,0.0277052,0.0419380,0.0713281,0.132754", \ "0.0132848,0.0171781,0.0208729,0.0279698,0.0421182,0.0714201,0.132783", \ "0.0140714,0.0178340,0.0214429,0.0284282,0.0424405,0.0715933,0.132837"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.0215421,0.0313457,0.0436395,0.0722947,0.132896,0.255154,0.500010", \ "0.0215366,0.0313455,0.0436400,0.0722918,0.132914,0.255154,0.500008", \ "0.0215480,0.0313441,0.0436402,0.0722930,0.132898,0.255153,0.500003", \ "0.0215352,0.0313448,0.0436401,0.0722921,0.132914,0.255152,0.500005", \ "0.0215311,0.0313396,0.0436357,0.0722881,0.132908,0.255153,0.500008", \ "0.0215180,0.0313238,0.0436286,0.0722952,0.132916,0.255153,0.500008", \ "0.0226311,0.0322058,0.0441210,0.0724412,0.132932,0.255175,0.500008"); } } internal_power () { related_pin : "A"; when : "!B & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.843974,8.540521,8.933114,9.344902,9.667288,9.864433,9.972021", \ "7.858926,8.512864,8.902641,9.311274,9.636798,9.819420,9.938735", \ "7.789026,8.438859,8.832196,9.248313,9.569808,9.759273,9.867212", \ "7.761487,8.406138,8.796964,9.202604,9.533067,9.719046,9.832460", \ "7.882999,8.475036,8.843712,9.233899,9.576196,9.765826,9.886582", \ "8.032638,8.675203,9.056362,9.452416,9.771643,9.942823,10.057340", \ "8.383345,8.953453,9.336967,9.759992,10.096330,10.282720,10.359040"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.976340,11.570890,11.861330,12.347070,12.816220,12.970140,13.240590", \ "10.952810,11.492380,11.817240,12.288430,12.759850,12.946010,13.193420", \ "10.869180,11.465590,11.802000,12.219210,12.770790,12.864400,13.110750", \ "10.843710,11.439700,11.734730,12.154930,12.626080,12.897030,13.141810", \ "10.835740,11.441050,11.750780,12.183190,12.652380,13.004210,12.979400", \ "10.870910,11.467880,11.816800,12.238740,12.683790,12.867930,13.116740", \ "10.900380,11.543570,11.887230,12.320820,12.719480,13.149790,13.040880"); } } internal_power () { related_pin : "A"; when : "!B & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.764821,8.433582,8.819614,9.229421,9.578445,9.769736,9.896789", \ "7.694281,8.385700,8.784789,9.209970,9.536264,9.739906,9.853444", \ "7.611117,8.316080,8.709417,9.126054,9.451936,9.658864,9.770131", \ "7.638342,8.298999,8.685059,9.078570,9.416462,9.614049,9.732511", \ "7.759677,8.349107,8.728669,9.133466,9.458376,9.669350,9.787095", \ "7.939780,8.539813,8.940364,9.338523,9.670435,9.835494,9.958397", \ "8.280354,8.847110,9.177308,9.650663,9.990422,10.183790,10.264150"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.828080,11.408680,11.672480,12.050980,12.502370,12.670070,12.922060", \ "10.821330,11.346620,11.631680,12.012280,12.461680,12.662850,12.913530", \ "10.760640,11.290890,11.583950,11.964270,12.441400,12.603660,12.853160", \ "10.695780,11.277500,11.597760,11.919550,12.466490,12.651800,12.631100", \ "10.684200,11.266250,11.547900,11.999350,12.446690,12.537620,12.790300", \ "10.765970,11.310440,11.590350,12.040860,12.489070,12.787850,12.768250", \ "10.802600,11.417830,11.701170,12.119560,12.533010,12.819230,12.802480"); } } internal_power () { related_pin : "A"; when : "!B & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.699244,8.419464,8.817427,9.236138,9.575018,9.776803,9.889961", \ "7.731380,8.384622,8.778719,9.193228,9.534502,9.737698,9.855776", \ "7.624551,8.286412,8.707991,9.118586,9.453489,9.654688,9.768648", \ "7.617383,8.266470,8.659742,9.091495,9.420207,9.622665,9.736731", \ "7.766020,8.371068,8.738068,9.128989,9.463946,9.668910,9.794623", \ "7.976260,8.557826,8.932692,9.348653,9.676034,9.851679,9.976790", \ "8.290876,8.844528,9.211602,9.669552,10.013380,10.209330,10.294480"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.420730,12.020100,12.333080,12.742300,13.231710,13.597420,13.601040", \ "11.402050,12.000500,12.297270,12.690530,13.180480,13.305830,13.581250", \ "11.361850,11.952060,12.252070,12.644790,13.177150,13.516670,13.521930", \ "11.338910,11.912720,12.201420,12.611240,13.100800,13.297580,13.575250", \ "11.333250,11.898940,12.218180,12.584630,12.983280,13.462310,13.468230", \ "11.363890,11.906560,12.201230,12.612630,13.043170,13.429100,13.434270", \ "11.460020,12.041430,12.307180,12.712640,13.266190,13.602490,13.611180"); } } internal_power () { related_pin : "B"; when : "!A & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.616762,8.297475,8.685006,9.087057,9.388698,9.564598,9.646421", \ "7.654947,8.323616,8.702260,9.100005,9.394856,9.570024,9.651413", \ "7.584636,8.283433,8.654123,9.047914,9.348721,9.519431,9.607587", \ "7.595779,8.225550,8.610266,9.002245,9.309719,9.485992,9.566576", \ "7.669525,8.302060,8.662554,9.036582,9.350301,9.523040,9.622382", \ "7.869931,8.479242,8.844198,9.233262,9.542664,9.691906,9.774936", \ "8.155813,8.737200,9.084141,9.529375,9.855861,10.027970,10.085780"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.454930,10.993930,11.365540,11.808290,12.174570,12.557110,12.716050", \ "10.416130,10.955440,11.296780,11.713700,12.184390,12.356170,12.676110", \ "10.378100,10.916780,11.247910,11.665350,12.134910,12.425500,12.398540", \ "10.321800,10.917550,11.235620,11.661670,12.146410,12.385960,12.360640", \ "10.349230,10.888750,11.215860,11.639300,12.114820,12.462740,12.438220", \ "10.423130,10.963480,11.305460,11.795520,12.263430,12.583300,12.560190", \ "10.496920,11.145040,11.449900,11.888160,12.378840,12.553330,12.805840"); } } internal_power () { related_pin : "B"; when : "!A & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.577702,8.246248,8.615668,9.005226,9.320540,9.492648,9.580952", \ "7.555790,8.246433,8.625331,9.011227,9.327093,9.486977,9.581785", \ "7.539352,8.161908,8.560222,8.961504,9.260694,9.431738,9.518088", \ "7.467336,8.114099,8.516903,8.910714,9.216981,9.387930,9.482193", \ "7.602819,8.205898,8.558505,8.942882,9.251981,9.432185,9.530589", \ "7.805193,8.378769,8.750315,9.141396,9.450062,9.605591,9.696833", \ "8.071776,8.628933,9.025555,9.437181,9.767081,9.939541,10.001880"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.299350,10.870410,11.180550,11.498320,12.079710,12.242330,12.221400", \ "10.267060,10.847580,11.106020,11.555590,11.915170,12.328090,12.307880", \ "10.246640,10.774390,11.070640,11.523900,11.884250,12.142700,12.121660", \ "10.227920,10.752590,11.028330,11.425730,11.872440,12.139410,12.119500", \ "10.175640,10.757190,11.026960,11.412030,11.868980,12.082310,12.334120", \ "10.277960,10.803760,11.099620,11.552650,11.916350,12.174790,12.154480", \ "10.392050,11.015440,11.287260,11.616260,12.209840,12.394840,12.344860"); } } internal_power () { related_pin : "B"; when : "!A & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.546219,8.224323,8.616048,9.007029,9.316474,9.486466,9.578806", \ "7.576160,8.221228,8.610142,9.006321,9.310697,9.488054,9.577876", \ "7.514396,8.160292,8.551121,8.949593,9.261265,9.428582,9.516791", \ "7.511495,8.119201,8.494437,8.903667,9.220783,9.388400,9.487430", \ "7.606776,8.200394,8.560487,8.938010,9.254357,9.444838,9.538694", \ "7.812366,8.383066,8.743688,9.147597,9.456802,9.622157,9.714755", \ "8.087981,8.651589,9.023453,9.456811,9.788919,9.970566,10.035160"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.940770,11.487580,11.791750,12.235940,12.610780,12.898410,13.173110", \ "10.904770,11.476910,11.775470,12.239950,12.599870,12.971120,12.975570", \ "10.861080,11.423810,11.734840,12.074230,12.568450,12.782380,13.059280", \ "10.813470,11.411470,11.706400,12.125060,12.631910,12.787540,13.063030", \ "10.823780,11.380560,11.711870,12.129670,12.480750,12.730790,13.008380", \ "10.869700,11.458850,11.762290,12.178680,12.612250,12.799740,13.076770", \ "11.032910,11.591900,11.875560,12.287250,12.780500,13.073800,13.081890"); } } internal_power () { related_pin : "C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("6.932287,7.574852,7.976369,8.375259,8.668833,8.817230,8.885140", \ "6.992574,7.592973,7.980233,8.372202,8.671708,8.826228,8.894077", \ "6.978709,7.600670,7.967949,8.357627,8.664144,8.814165,8.878165", \ "6.964842,7.579443,7.950653,8.352514,8.659465,8.808517,8.877859", \ "7.079441,7.668345,8.003361,8.423615,8.738680,8.899124,8.969985", \ "7.284993,7.882354,8.245094,8.649357,8.955590,9.101966,9.174951", \ "7.563311,8.156203,8.531047,8.970716,9.312669,9.495779,9.539913"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("9.486521,10.067830,10.358390,10.756320,11.279110,11.548710,11.528290", \ "9.488677,10.014600,10.306540,10.705120,11.179270,11.386310,11.365220", \ "9.385759,9.966128,10.216880,10.609660,11.084400,11.390140,11.370860", \ "9.385771,9.966208,10.247080,10.651430,11.078130,11.236720,11.488060", \ "9.500758,10.041390,10.316890,10.725440,11.250970,11.518330,11.498000", \ "9.776357,10.353210,10.626540,11.067160,11.403230,11.660330,11.912410", \ "10.160930,10.770370,11.074010,11.484810,11.836130,12.180700,12.164260"); } } internal_power () { related_pin : "C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("6.989240,7.586075,7.981988,8.371801,8.667240,8.819154,8.888684", \ "6.998671,7.609663,7.985677,8.375534,8.677666,8.828660,8.898590", \ "6.942766,7.571755,7.971718,8.365392,8.663077,8.815412,8.886151", \ "6.923546,7.526274,7.933548,8.319894,8.628513,8.775788,8.846079", \ "6.969289,7.555314,7.931103,8.311336,8.621281,8.782120,8.849024", \ "7.092376,7.676145,8.035817,8.438910,8.735634,8.884605,8.951527", \ "7.274062,7.850253,8.195462,8.642201,8.980437,9.141006,9.183217"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.068410,10.642250,10.937200,11.375170,11.753160,12.079720,12.083890", \ "10.010450,10.610800,10.869490,11.290210,11.802710,12.173430,12.177080", \ "9.991134,10.546450,10.832810,11.302940,11.675720,12.108200,12.113790", \ "9.977957,10.576470,10.845620,11.307920,11.752230,11.943520,12.220270", \ "10.058980,10.657910,10.920810,11.387970,11.772650,11.970430,12.248510", \ "10.314010,10.912090,11.194210,11.646450,12.135930,12.378680,12.383790", \ "10.716490,11.332730,11.647650,12.062550,12.557980,12.649520,12.928170"); } } } } /****************************************************************************************** Module : AOI221_X1 Cell Description : Combinational cell (AOI221_X1) with drive strength X1 *******************************************************************************************/ cell (AOI221_X1) { drive_strength : 1; area : 1.596000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 21.453110; leakage_power () { when : "!A & !B1 & !B2 & !C1 & !C2"; value : 18.674530; } leakage_power () { when : "!A & !B1 & !B2 & !C1 & C2"; value : 32.906518; } leakage_power () { when : "!A & !B1 & !B2 & C1 & !C2"; value : 23.212825; } leakage_power () { when : "!A & !B1 & !B2 & C1 & C2"; value : 17.276462; } leakage_power () { when : "!A & !B1 & B2 & !C1 & !C2"; value : 32.906432; } leakage_power () { when : "!A & !B1 & B2 & !C1 & C2"; value : 47.126736; } leakage_power () { when : "!A & !B1 & B2 & C1 & !C2"; value : 37.441118; } leakage_power () { when : "!A & !B1 & B2 & C1 & C2"; value : 22.342489; } leakage_power () { when : "!A & B1 & !B2 & !C1 & !C2"; value : 23.212730; } leakage_power () { when : "!A & B1 & !B2 & !C1 & C2"; value : 37.441109; } leakage_power () { when : "!A & B1 & !B2 & C1 & !C2"; value : 27.751500; } leakage_power () { when : "!A & B1 & !B2 & C1 & C2"; value : 22.340504; } leakage_power () { when : "!A & B1 & B2 & !C1 & !C2"; value : 14.127526; } leakage_power () { when : "!A & B1 & B2 & !C1 & C2"; value : 19.167732; } leakage_power () { when : "!A & B1 & B2 & C1 & !C2"; value : 19.166203; } leakage_power () { when : "!A & B1 & B2 & C1 & C2"; value : 21.504086; } leakage_power () { when : "A & !B1 & !B2 & !C1 & !C2"; value : 7.432610; } leakage_power () { when : "A & !B1 & !B2 & !C1 & C2"; value : 12.434598; } leakage_power () { when : "A & !B1 & !B2 & C1 & !C2"; value : 12.434132; } leakage_power () { when : "A & !B1 & !B2 & C1 & C2"; value : 15.963201; } leakage_power () { when : "A & !B1 & B2 & !C1 & !C2"; value : 12.502285; } leakage_power () { when : "A & !B1 & B2 & !C1 & C2"; value : 17.504310; } leakage_power () { when : "A & !B1 & B2 & C1 & !C2"; value : 17.503845; } leakage_power () { when : "A & !B1 & B2 & C1 & C2"; value : 21.033240; } leakage_power () { when : "A & B1 & !B2 & !C1 & !C2"; value : 12.501819; } leakage_power () { when : "A & B1 & !B2 & !C1 & C2"; value : 17.503845; } leakage_power () { when : "A & B1 & !B2 & C1 & !C2"; value : 17.502942; } leakage_power () { when : "A & B1 & !B2 & C1 & C2"; value : 21.032737; } leakage_power () { when : "A & B1 & B2 & !C1 & !C2"; value : 16.145734; } leakage_power () { when : "A & B1 & B2 & !C1 & C2"; value : 21.242313; } leakage_power () { when : "A & B1 & B2 & C1 & !C2"; value : 21.241805; } leakage_power () { when : "A & B1 & B2 & C1 & C2"; value : 25.921605; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.606635; fall_capacitance : 1.451094; rise_capacitance : 1.606635; } pin (B1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.548680; fall_capacitance : 1.507183; rise_capacitance : 1.548680; } pin (B2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.588505; fall_capacitance : 1.485684; rise_capacitance : 1.588505; } pin (C1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.579039; fall_capacitance : 1.354940; rise_capacitance : 1.579039; } pin (C2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.635743; fall_capacitance : 1.374273; rise_capacitance : 1.635743; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 13.798600; function : "!(((C1 & C2) | A) | (B1 & B2))"; timing () { related_pin : "A"; when : "!B1 & !B2 & !C1 & !C2"; sdf_cond : "(B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.013277,0.014119,0.015633,0.018331,0.023107,0.031554,0.046595", \ "0.018785,0.019599,0.021078,0.023740,0.028482,0.036910,0.051944", \ "0.035598,0.036746,0.038760,0.042166,0.047624,0.056147,0.070980", \ "0.053012,0.054902,0.058141,0.063733,0.072600,0.086161,0.106087", \ "0.067001,0.069816,0.074396,0.082673,0.095825,0.115699,0.144522", \ "0.074916,0.078801,0.085279,0.096375,0.114545,0.141952,0.181280", \ "0.074988,0.080047,0.088684,0.103160,0.126794,0.162545,0.213920"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.117781,0.124339,0.136421,0.158219,0.197952,0.270506,0.402777", \ "0.120211,0.126842,0.139055,0.161054,0.201120,0.274018,0.406712", \ "0.136101,0.142670,0.154750,0.176677,0.216713,0.289743,0.422781", \ "0.168277,0.174845,0.186465,0.208257,0.248102,0.320890,0.453844", \ "0.212672,0.220225,0.233654,0.256423,0.296325,0.368882,0.501629", \ "0.260560,0.269823,0.286047,0.313998,0.360654,0.437033,0.569621", \ "0.312448,0.323680,0.343255,0.376415,0.431288,0.519512,0.659850"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.009346,0.010004,0.011198,0.013348,0.017233,0.024301,0.037248", \ "0.008997,0.009690,0.010936,0.013157,0.017118,0.024245,0.037231", \ "0.014286,0.014792,0.015655,0.017139,0.019649,0.025069,0.037131", \ "0.025207,0.025977,0.027288,0.029390,0.032852,0.038316,0.046791", \ "0.040143,0.041232,0.043322,0.046297,0.050988,0.058186,0.068958", \ "0.059098,0.060643,0.063537,0.067878,0.074136,0.083493,0.096944", \ "0.081868,0.083979,0.087810,0.093707,0.102182,0.114217,0.130945"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.064025,0.069740,0.080335,0.099462,0.134314,0.198195,0.314500", \ "0.064033,0.069747,0.080326,0.099456,0.134337,0.198125,0.314500", \ "0.064048,0.069738,0.080347,0.099447,0.134329,0.198157,0.314496", \ "0.064377,0.069982,0.080395,0.099432,0.134283,0.198075,0.314554", \ "0.075498,0.079921,0.088317,0.104321,0.135694,0.198012,0.314532", \ "0.094864,0.099745,0.108858,0.124595,0.151746,0.204626,0.314537", \ "0.119742,0.124846,0.134332,0.150802,0.179333,0.229210,0.324567"); } } timing () { related_pin : "A"; when : "!B1 & !B2 & !C1 & C2"; sdf_cond : "(B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.011266,0.012099,0.013610,0.016316,0.021141,0.029684,0.044854", \ "0.016950,0.017745,0.019201,0.021836,0.026577,0.035054,0.050182", \ "0.032777,0.034035,0.036238,0.039862,0.045629,0.054424,0.069236", \ "0.048479,0.050545,0.054130,0.060095,0.069473,0.083575,0.104042", \ "0.060330,0.063426,0.068471,0.077350,0.091261,0.112013,0.141636", \ "0.065658,0.069918,0.076983,0.088959,0.108204,0.136836,0.177330", \ "0.062721,0.068255,0.077625,0.093258,0.118307,0.155739,0.208709"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.130064,0.137939,0.152362,0.178537,0.226115,0.312965,0.471502", \ "0.132103,0.140055,0.154625,0.181027,0.229018,0.316326,0.475297", \ "0.147703,0.155591,0.170025,0.196297,0.244260,0.331684,0.491085", \ "0.178820,0.186634,0.200650,0.226822,0.274432,0.361649,0.520802", \ "0.220840,0.229569,0.245168,0.271530,0.319081,0.406064,0.564942", \ "0.266621,0.276848,0.294980,0.326411,0.379530,0.468379,0.627034", \ "0.317661,0.329676,0.350769,0.386865,0.447151,0.546459,0.709297"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.008258,0.008968,0.010247,0.012533,0.016584,0.023790,0.036790", \ "0.008033,0.008702,0.009963,0.012275,0.016377,0.023651,0.036712", \ "0.014438,0.014926,0.015776,0.017244,0.019686,0.024773,0.036554", \ "0.025846,0.026582,0.027823,0.029877,0.033240,0.038593,0.046949", \ "0.041302,0.042355,0.044377,0.047209,0.051740,0.058733,0.069291", \ "0.060849,0.062310,0.065151,0.069324,0.075368,0.084381,0.097540", \ "0.084230,0.086286,0.090004,0.095776,0.103965,0.115566,0.131815"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.071371,0.078313,0.091238,0.114586,0.157162,0.234975,0.376917", \ "0.071390,0.078323,0.091254,0.114560,0.157184,0.234976,0.376912", \ "0.071417,0.078336,0.091272,0.114593,0.157233,0.235007,0.376915", \ "0.071757,0.078577,0.091377,0.114609,0.157122,0.234982,0.376919", \ "0.081020,0.086745,0.097566,0.118089,0.157676,0.234957,0.376899", \ "0.097588,0.103782,0.115340,0.135583,0.170242,0.238902,0.376989", \ "0.119189,0.125531,0.137327,0.158139,0.194387,0.258035,0.382967"); } } timing () { related_pin : "A"; when : "!B1 & !B2 & C1 & !C2"; sdf_cond : "(B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.011494,0.012325,0.013833,0.016539,0.021356,0.029898,0.045072", \ "0.017169,0.017964,0.019414,0.022049,0.026791,0.035270,0.050401", \ "0.033163,0.034404,0.036564,0.040160,0.045878,0.054631,0.069449", \ "0.049157,0.051197,0.054694,0.060613,0.069913,0.083943,0.104318", \ "0.061413,0.064456,0.069427,0.078181,0.091985,0.112578,0.142070", \ "0.067259,0.071460,0.078467,0.090244,0.109326,0.137733,0.178032", \ "0.065011,0.070460,0.079738,0.095191,0.119937,0.157049,0.209727"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.156502,0.164420,0.178910,0.205261,0.253242,0.340264,0.499066", \ "0.158962,0.166951,0.181578,0.208121,0.256348,0.343763,0.502904", \ "0.174383,0.182331,0.196916,0.223384,0.271645,0.359191,0.518755", \ "0.205316,0.213200,0.227343,0.253642,0.301683,0.389023,0.548484", \ "0.249775,0.257883,0.272476,0.298483,0.346208,0.433401,0.592567", \ "0.300574,0.310075,0.327090,0.356918,0.408242,0.495690,0.654636", \ "0.357485,0.368459,0.387932,0.421748,0.479396,0.575833,0.736789"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.008980,0.009715,0.011022,0.013373,0.017540,0.024951,0.038288", \ "0.008713,0.009401,0.010723,0.013104,0.017321,0.024814,0.038216", \ "0.015431,0.015893,0.016711,0.018125,0.020539,0.025889,0.038045", \ "0.028518,0.029122,0.030198,0.032001,0.035115,0.040250,0.048456", \ "0.047415,0.048123,0.049645,0.051827,0.055606,0.061888,0.071863", \ "0.071361,0.072276,0.074134,0.077190,0.081806,0.089438,0.101360", \ "0.099194,0.100459,0.102867,0.106873,0.113045,0.122593,0.137011"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.084463,0.091520,0.104738,0.128436,0.171498,0.249704,0.392150", \ "0.084463,0.091517,0.104738,0.128462,0.171510,0.249608,0.392139", \ "0.084464,0.091520,0.104739,0.128320,0.171444,0.249605,0.392137", \ "0.084536,0.091566,0.104763,0.128368,0.171363,0.249601,0.392134", \ "0.089799,0.096043,0.107791,0.129674,0.171384,0.249568,0.392131", \ "0.105971,0.112302,0.124123,0.144175,0.180253,0.251768,0.392128", \ "0.126290,0.132859,0.145090,0.166340,0.203228,0.267874,0.396454"); } } timing () { related_pin : "A"; when : "!B1 & B2 & !C1 & !C2"; sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.013276,0.014118,0.015631,0.018328,0.023102,0.031547,0.046581", \ "0.018814,0.019629,0.021109,0.023770,0.028511,0.036936,0.051964", \ "0.035723,0.036871,0.038881,0.042278,0.047723,0.056231,0.071061", \ "0.053220,0.055116,0.058365,0.063943,0.072785,0.086317,0.106212", \ "0.067230,0.070052,0.074658,0.082887,0.096036,0.115905,0.144679", \ "0.074836,0.078750,0.085286,0.096375,0.114624,0.142055,0.181411", \ "0.074140,0.079260,0.087982,0.102611,0.126394,0.162385,0.213896"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.149636,0.158043,0.173452,0.201447,0.252217,0.344888,0.513836", \ "0.151009,0.159506,0.175065,0.203314,0.254566,0.347704,0.517130", \ "0.164959,0.173378,0.188847,0.216929,0.268118,0.361358,0.531289", \ "0.195577,0.203948,0.218962,0.246884,0.297835,0.390862,0.560508", \ "0.241016,0.249921,0.265520,0.293230,0.344006,0.436787,0.606208", \ "0.291787,0.302516,0.321435,0.354210,0.409484,0.502462,0.671694", \ "0.347436,0.360234,0.382659,0.420911,0.484597,0.588468,0.759174"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.009346,0.010006,0.011198,0.013347,0.017232,0.024301,0.037248", \ "0.009004,0.009696,0.010940,0.013160,0.017120,0.024247,0.037231", \ "0.014235,0.014736,0.015611,0.017089,0.019610,0.025048,0.037130", \ "0.025094,0.025851,0.027157,0.029268,0.032749,0.038229,0.046725", \ "0.039968,0.041079,0.043144,0.046138,0.050833,0.058060,0.068851", \ "0.059041,0.060616,0.063457,0.067821,0.074049,0.083394,0.096846", \ "0.082184,0.084273,0.088061,0.093966,0.102346,0.114308,0.130934"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.083403,0.090657,0.104109,0.128517,0.172824,0.253689,0.401014", \ "0.083420,0.090669,0.104103,0.128436,0.172855,0.253671,0.401014", \ "0.083432,0.090671,0.104119,0.128435,0.172855,0.253626,0.401006", \ "0.083507,0.090735,0.104151,0.128458,0.172771,0.253671,0.401009", \ "0.090309,0.096437,0.108040,0.130083,0.172809,0.253640,0.401009", \ "0.110570,0.116627,0.127886,0.147200,0.182741,0.255469,0.401004", \ "0.136575,0.142768,0.154206,0.174447,0.209829,0.272807,0.404212"); } } timing () { related_pin : "A"; when : "!B1 & B2 & !C1 & C2"; sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.011263,0.012096,0.013607,0.016311,0.021131,0.029673,0.044836", \ "0.016973,0.017768,0.019224,0.021858,0.026604,0.035080,0.050200", \ "0.032907,0.034164,0.036360,0.039977,0.045723,0.054503,0.069314", \ "0.048761,0.050819,0.054351,0.060298,0.069669,0.083739,0.104167", \ "0.060584,0.063679,0.068707,0.077579,0.091486,0.112205,0.141775", \ "0.065576,0.069864,0.076994,0.089008,0.108312,0.136948,0.177470", \ "0.061843,0.067442,0.076907,0.092665,0.117903,0.155591,0.208703"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.161981,0.171706,0.189490,0.221804,0.280503,0.387472,0.582759", \ "0.162966,0.172784,0.190772,0.223355,0.282599,0.390095,0.585908", \ "0.176673,0.186405,0.204240,0.236682,0.295792,0.403484,0.599833", \ "0.206554,0.216224,0.233611,0.265894,0.324714,0.432079,0.628115", \ "0.249535,0.259560,0.277487,0.309475,0.368052,0.475185,0.670896", \ "0.297508,0.309304,0.330286,0.366858,0.428881,0.535895,0.731309", \ "0.351752,0.365412,0.389452,0.430797,0.500453,0.616384,0.812036"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.008259,0.008969,0.010249,0.012534,0.016583,0.023794,0.036792", \ "0.008040,0.008710,0.009970,0.012285,0.016385,0.023659,0.036720", \ "0.014380,0.014874,0.015728,0.017197,0.019630,0.024754,0.036553", \ "0.025690,0.026429,0.027687,0.029753,0.033126,0.038501,0.046887", \ "0.041095,0.042172,0.044196,0.047039,0.051594,0.058598,0.069196", \ "0.060739,0.062269,0.065042,0.069210,0.075265,0.084271,0.097432", \ "0.084477,0.086545,0.090196,0.095973,0.104084,0.115646,0.131824"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.089158,0.097649,0.113363,0.142138,0.194168,0.289165,0.462023", \ "0.089186,0.097669,0.113382,0.142152,0.194182,0.289162,0.462018", \ "0.089214,0.097690,0.113392,0.142131,0.194157,0.289170,0.462020", \ "0.089368,0.097800,0.113473,0.142116,0.194272,0.289171,0.462017", \ "0.095491,0.102907,0.116902,0.143212,0.194179,0.289251,0.462013", \ "0.112745,0.120259,0.134206,0.157811,0.201913,0.290061,0.462004", \ "0.134925,0.142491,0.156488,0.181390,0.225241,0.303679,0.463724"); } } timing () { related_pin : "A"; when : "!B1 & B2 & C1 & !C2"; sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.011491,0.012324,0.013829,0.016535,0.021350,0.029887,0.045056", \ "0.017192,0.017987,0.019438,0.022075,0.026817,0.035293,0.050419", \ "0.033298,0.034533,0.036689,0.040272,0.045979,0.054713,0.069531", \ "0.049391,0.051421,0.054921,0.060821,0.070099,0.084103,0.104438", \ "0.061673,0.064690,0.069670,0.078413,0.092202,0.112785,0.142234", \ "0.067203,0.071388,0.078463,0.090317,0.109415,0.137825,0.178158", \ "0.064179,0.069642,0.079058,0.094660,0.119585,0.156884,0.209729"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.194995,0.204758,0.222591,0.255142,0.314098,0.421283,0.616889", \ "0.196513,0.206343,0.224313,0.257098,0.316307,0.424061,0.620108", \ "0.209996,0.219778,0.237696,0.270334,0.329763,0.437550,0.634138", \ "0.239636,0.249371,0.266854,0.299400,0.358411,0.466065,0.662395", \ "0.283285,0.293034,0.310820,0.342879,0.401763,0.509124,0.705137", \ "0.337074,0.348131,0.367941,0.402869,0.462547,0.569781,0.765460", \ "0.397417,0.409977,0.432324,0.471301,0.538149,0.650778,0.846076"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.008983,0.009709,0.011022,0.013378,0.017539,0.024950,0.038293", \ "0.008720,0.009418,0.010732,0.013118,0.017327,0.024811,0.038218", \ "0.015369,0.015841,0.016667,0.018074,0.020501,0.025866,0.038044", \ "0.028367,0.028975,0.030059,0.031860,0.034992,0.040163,0.048386", \ "0.047213,0.047920,0.049434,0.051649,0.055441,0.061770,0.071755", \ "0.071277,0.072206,0.074079,0.077030,0.081723,0.089317,0.101250", \ "0.099490,0.100757,0.103138,0.107054,0.113160,0.122634,0.136988"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.105475,0.114121,0.130172,0.159324,0.211855,0.307398,0.480954", \ "0.105479,0.114126,0.130171,0.159314,0.211894,0.307396,0.480944", \ "0.105487,0.114131,0.130160,0.159366,0.211864,0.307414,0.480954", \ "0.105524,0.114158,0.130184,0.159315,0.211849,0.307400,0.480949", \ "0.108097,0.116081,0.131174,0.159530,0.211815,0.307386,0.480949", \ "0.123889,0.131501,0.144881,0.169371,0.216291,0.307665,0.480946", \ "0.144500,0.152331,0.166768,0.192291,0.236308,0.317963,0.481603"); } } timing () { related_pin : "A"; when : "B1 & !B2 & !C1 & !C2"; sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.013508,0.014348,0.015857,0.018549,0.023319,0.031762,0.046803", \ "0.019039,0.019852,0.021330,0.023988,0.028727,0.037150,0.052184", \ "0.036055,0.037192,0.039182,0.042551,0.047967,0.056440,0.071281", \ "0.053814,0.055673,0.058894,0.064405,0.073200,0.086661,0.106492", \ "0.068195,0.070971,0.075490,0.083675,0.096714,0.116453,0.145121", \ "0.076302,0.080144,0.086630,0.097633,0.115677,0.142894,0.182074", \ "0.076240,0.081250,0.089946,0.104481,0.127974,0.163665,0.214894"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.178149,0.186590,0.202054,0.230175,0.281247,0.374174,0.543515", \ "0.179992,0.188501,0.204099,0.232431,0.283869,0.377120,0.546887", \ "0.193778,0.202255,0.217825,0.246078,0.297452,0.390966,0.561106", \ "0.224176,0.232608,0.247698,0.275839,0.327142,0.420309,0.590310", \ "0.270572,0.279020,0.294390,0.322126,0.373195,0.466155,0.635995", \ "0.327629,0.337559,0.355238,0.386204,0.438866,0.531761,0.701351", \ "0.390159,0.401812,0.422384,0.458135,0.518640,0.619223,0.788801"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.010086,0.010757,0.011984,0.014184,0.018180,0.025456,0.038755", \ "0.009736,0.010453,0.011722,0.013998,0.018073,0.025402,0.038740", \ "0.015174,0.015647,0.016489,0.017929,0.020487,0.026176,0.038641", \ "0.027475,0.028148,0.029315,0.031266,0.034526,0.039842,0.048209", \ "0.045389,0.046219,0.047942,0.050405,0.054509,0.061119,0.071388", \ "0.068658,0.069732,0.071820,0.075161,0.080235,0.088283,0.100624", \ "0.096044,0.097475,0.100101,0.104413,0.111059,0.121124,0.136026"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.097402,0.104765,0.118455,0.143094,0.187869,0.269091,0.417139", \ "0.097405,0.104767,0.118453,0.143111,0.187902,0.269093,0.417126", \ "0.097412,0.104774,0.118482,0.143108,0.187873,0.269173,0.417130", \ "0.097428,0.104792,0.118481,0.143092,0.187824,0.269094,0.417123", \ "0.100476,0.107166,0.119812,0.143358,0.187739,0.269061,0.417132", \ "0.118596,0.124831,0.136006,0.155631,0.193875,0.269635,0.417117", \ "0.143172,0.149579,0.161423,0.182194,0.218223,0.283565,0.418930"); } } timing () { related_pin : "A"; when : "B1 & !B2 & !C1 & C2"; sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.011491,0.012324,0.013829,0.016535,0.021350,0.029887,0.045056", \ "0.017192,0.017987,0.019438,0.022075,0.026817,0.035293,0.050419", \ "0.033298,0.034533,0.036689,0.040272,0.045979,0.054713,0.069531", \ "0.049391,0.051421,0.054921,0.060821,0.070099,0.084103,0.104438", \ "0.061673,0.064690,0.069670,0.078413,0.092202,0.112785,0.142234", \ "0.067203,0.071388,0.078463,0.090317,0.109415,0.137825,0.178158", \ "0.064179,0.069642,0.079058,0.094660,0.119585,0.156884,0.209729"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.194995,0.204758,0.222591,0.255142,0.314098,0.421283,0.616889", \ "0.196513,0.206343,0.224313,0.257098,0.316307,0.424061,0.620108", \ "0.209996,0.219778,0.237696,0.270334,0.329763,0.437550,0.634138", \ "0.239636,0.249371,0.266854,0.299400,0.358411,0.466065,0.662395", \ "0.283285,0.293034,0.310820,0.342879,0.401763,0.509124,0.705137", \ "0.337074,0.348131,0.367941,0.402869,0.462547,0.569781,0.765460", \ "0.397417,0.409977,0.432324,0.471301,0.538149,0.650778,0.846076"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.008983,0.009709,0.011022,0.013378,0.017539,0.024950,0.038293", \ "0.008720,0.009418,0.010732,0.013118,0.017327,0.024811,0.038218", \ "0.015369,0.015841,0.016667,0.018074,0.020501,0.025866,0.038044", \ "0.028367,0.028975,0.030059,0.031860,0.034992,0.040163,0.048386", \ "0.047213,0.047920,0.049434,0.051649,0.055441,0.061770,0.071755", \ "0.071277,0.072206,0.074079,0.077030,0.081723,0.089317,0.101250", \ "0.099490,0.100757,0.103138,0.107054,0.113160,0.122634,0.136988"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.105475,0.114121,0.130172,0.159324,0.211855,0.307398,0.480954", \ "0.105479,0.114126,0.130171,0.159314,0.211894,0.307396,0.480944", \ "0.105487,0.114131,0.130160,0.159366,0.211864,0.307414,0.480954", \ "0.105524,0.114158,0.130184,0.159315,0.211849,0.307400,0.480949", \ "0.108097,0.116081,0.131174,0.159530,0.211815,0.307386,0.480949", \ "0.123889,0.131501,0.144881,0.169371,0.216291,0.307665,0.480946", \ "0.144500,0.152331,0.166768,0.192291,0.236308,0.317963,0.481603"); } } timing () { related_pin : "A"; when : "B1 & !B2 & C1 & !C2"; sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.011720,0.012548,0.014052,0.016753,0.021566,0.030105,0.045277", \ "0.017409,0.018205,0.019655,0.022290,0.027031,0.035507,0.050640", \ "0.033661,0.034876,0.037009,0.040556,0.046226,0.054920,0.069749", \ "0.050020,0.052026,0.055486,0.061325,0.070539,0.084447,0.104718", \ "0.062713,0.065709,0.070590,0.079232,0.092868,0.113317,0.142670", \ "0.068840,0.072980,0.079915,0.091575,0.110513,0.138669,0.178844", \ "0.066537,0.071929,0.081303,0.096562,0.121142,0.158123,0.210666"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.228353,0.238142,0.256049,0.288657,0.347798,0.455365,0.651281", \ "0.230269,0.240116,0.258113,0.290839,0.350400,0.458258,0.654585", \ "0.243680,0.253502,0.271457,0.304280,0.363802,0.471851,0.668660", \ "0.273106,0.282899,0.300373,0.333127,0.392462,0.500268,0.697029", \ "0.316704,0.326475,0.344196,0.376561,0.435728,0.543222,0.739616", \ "0.374536,0.385101,0.404121,0.437201,0.496421,0.603879,0.799921", \ "0.439901,0.451715,0.472908,0.510156,0.574835,0.684825,0.880393"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.009926,0.010684,0.012023,0.014433,0.018718,0.026359,0.040059", \ "0.009609,0.010337,0.011703,0.014153,0.018504,0.026216,0.039985", \ "0.016706,0.017119,0.017848,0.019171,0.021559,0.027204,0.039804", \ "0.032338,0.032684,0.033384,0.034727,0.037387,0.042149,0.050106", \ "0.054475,0.054837,0.055840,0.057223,0.060031,0.065355,0.074515", \ "0.081077,0.081586,0.082793,0.084824,0.088239,0.094443,0.105146", \ "0.112479,0.113188,0.114699,0.117355,0.121835,0.129471,0.142108"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.122080,0.130867,0.147167,0.176723,0.229750,0.325767,0.500107", \ "0.122083,0.130867,0.147166,0.176779,0.229868,0.325769,0.500110", \ "0.122083,0.130868,0.147162,0.176718,0.229761,0.325851,0.500105", \ "0.122090,0.130874,0.147168,0.176692,0.229845,0.325855,0.500118", \ "0.122750,0.131346,0.147434,0.176846,0.229812,0.325843,0.500112", \ "0.135116,0.142615,0.156701,0.182739,0.231932,0.325823,0.500122", \ "0.155571,0.163536,0.178244,0.204123,0.248086,0.333284,0.500282"); } } timing () { related_pin : "B1"; when : "!A & B2 & !C1 & !C2"; sdf_cond : "(A == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.028927,0.030787,0.034123,0.040050,0.050471,0.068704,0.100686", \ "0.033560,0.035393,0.038694,0.044573,0.054943,0.073121,0.105072", \ "0.051714,0.053382,0.056337,0.061829,0.071811,0.089691,0.121469", \ "0.077706,0.080071,0.084315,0.091864,0.104027,0.123075,0.153999", \ "0.100960,0.104312,0.109820,0.120246,0.137195,0.163552,0.203128", \ "0.118628,0.123069,0.130585,0.144026,0.166090,0.200665,0.252413", \ "0.129042,0.134649,0.144256,0.161127,0.188867,0.232379,0.297469"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.173821,0.182296,0.197763,0.225688,0.276523,0.369206,0.538154", \ "0.176627,0.185261,0.200976,0.229326,0.280733,0.373927,0.543490", \ "0.192342,0.200866,0.216552,0.244821,0.296397,0.390194,0.560486", \ "0.223106,0.231414,0.246699,0.274760,0.325963,0.419323,0.589689", \ "0.265676,0.274121,0.289182,0.317023,0.367923,0.460952,0.630848", \ "0.312692,0.322364,0.339507,0.369864,0.423092,0.516057,0.685557", \ "0.361412,0.372349,0.391973,0.425932,0.484674,0.584960,0.755847"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.022745,0.024143,0.026669,0.031196,0.039287,0.053746,0.079851", \ "0.022310,0.023739,0.026299,0.030889,0.039048,0.053594,0.079766", \ "0.022482,0.023636,0.025806,0.029972,0.038069,0.053094,0.079580", \ "0.034805,0.035989,0.038008,0.041269,0.046818,0.056894,0.079597", \ "0.051493,0.053110,0.056051,0.060381,0.067420,0.078591,0.096174", \ "0.071957,0.074076,0.077898,0.083755,0.092957,0.107003,0.128084", \ "0.096056,0.098743,0.103692,0.111188,0.122869,0.140438,0.166058"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.083395,0.090696,0.104195,0.128451,0.172800,0.253673,0.401012", \ "0.083409,0.090696,0.104150,0.128420,0.172838,0.253610,0.401013", \ "0.083421,0.090718,0.104172,0.128425,0.172813,0.253665,0.401009", \ "0.083450,0.090734,0.104174,0.128470,0.172773,0.253599,0.401009", \ "0.086165,0.092921,0.105454,0.128872,0.172737,0.253583,0.401017", \ "0.098530,0.105267,0.117685,0.138815,0.178333,0.254536,0.400991", \ "0.114763,0.121716,0.134568,0.156948,0.196712,0.266828,0.403693"); } } timing () { related_pin : "B1"; when : "!A & B2 & !C1 & C2"; sdf_cond : "(A == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.023135,0.024984,0.028318,0.034270,0.044797,0.063266,0.095642", \ "0.027992,0.029801,0.033077,0.038952,0.049385,0.067762,0.100072", \ "0.046502,0.048320,0.051515,0.056890,0.066714,0.084579,0.116552", \ "0.069955,0.072564,0.077237,0.085340,0.098250,0.118190,0.149370", \ "0.090451,0.094114,0.100095,0.111426,0.129448,0.157038,0.197889", \ "0.105054,0.109923,0.118115,0.132529,0.156021,0.192297,0.245783", \ "0.112109,0.118227,0.128641,0.146797,0.176271,0.221870,0.289144"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.185984,0.195773,0.213626,0.245970,0.304651,0.411628,0.606931", \ "0.188359,0.198333,0.216515,0.249316,0.308658,0.416250,0.612201", \ "0.203581,0.213438,0.231502,0.264264,0.323777,0.432058,0.628851", \ "0.234066,0.243687,0.261401,0.293776,0.352963,0.460722,0.657558", \ "0.276029,0.285774,0.303109,0.335291,0.394046,0.501499,0.697770", \ "0.322422,0.333317,0.352736,0.387093,0.447214,0.554371,0.750138", \ "0.370357,0.382470,0.404179,0.441970,0.507560,0.620565,0.816494"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.019737,0.021266,0.024034,0.028919,0.037472,0.052444,0.078922", \ "0.019313,0.020860,0.023646,0.028555,0.037168,0.052206,0.078775", \ "0.021848,0.022787,0.024664,0.028486,0.036255,0.051445,0.078373", \ "0.035543,0.036701,0.038624,0.041821,0.047264,0.056773,0.078629", \ "0.053132,0.054744,0.057596,0.061767,0.068597,0.079475,0.096751", \ "0.074585,0.076587,0.080328,0.086060,0.094908,0.108481,0.129067", \ "0.099640,0.102246,0.106993,0.114250,0.125543,0.142507,0.167466"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.089138,0.097691,0.113562,0.142085,0.194180,0.289171,0.462020", \ "0.089166,0.097706,0.113543,0.142134,0.194170,0.289163,0.462022", \ "0.089212,0.097738,0.113578,0.142106,0.194172,0.289168,0.462022", \ "0.089254,0.097764,0.113577,0.142066,0.194292,0.289160,0.462016", \ "0.091490,0.099468,0.114585,0.142398,0.194068,0.289158,0.462027", \ "0.102782,0.110747,0.125299,0.150445,0.198051,0.289433,0.462006", \ "0.117322,0.125543,0.140672,0.167188,0.214369,0.298731,0.463318"); } } timing () { related_pin : "B1"; when : "!A & B2 & C1 & !C2"; sdf_cond : "(A == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.023672,0.025516,0.028847,0.034796,0.045316,0.063790,0.096186", \ "0.028522,0.030328,0.033594,0.039470,0.049900,0.068284,0.100613", \ "0.047096,0.048884,0.052007,0.057361,0.067202,0.085088,0.117089", \ "0.070879,0.073426,0.078095,0.086096,0.098922,0.118759,0.149886", \ "0.091831,0.095473,0.101412,0.112583,0.130443,0.157893,0.198608", \ "0.107037,0.111878,0.120005,0.134354,0.157544,0.193539,0.246787", \ "0.114854,0.120921,0.131291,0.149241,0.178441,0.223636,0.290578"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.219082,0.228888,0.246782,0.279277,0.338317,0.445426,0.641056", \ "0.222250,0.232169,0.250316,0.283252,0.342599,0.450285,0.646389", \ "0.237330,0.247240,0.265369,0.298378,0.357887,0.466251,0.663188", \ "0.267350,0.277030,0.294821,0.327549,0.386912,0.494892,0.691949", \ "0.309295,0.319002,0.336440,0.368836,0.427954,0.535466,0.732060", \ "0.359118,0.369621,0.388451,0.421774,0.480959,0.588380,0.784383", \ "0.411046,0.422573,0.443318,0.479853,0.543951,0.654837,0.850575"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.023019,0.024607,0.027462,0.032501,0.041315,0.056593,0.083223", \ "0.022512,0.024143,0.027018,0.032104,0.040982,0.056344,0.083071", \ "0.024511,0.025586,0.027662,0.031776,0.039952,0.055565,0.082677", \ "0.040370,0.041381,0.043022,0.045906,0.051023,0.060693,0.082858", \ "0.061899,0.063057,0.065283,0.068646,0.074515,0.084451,0.100881", \ "0.087439,0.088858,0.091516,0.095917,0.103273,0.115246,0.134361", \ "0.116692,0.118509,0.121899,0.127381,0.136550,0.151289,0.174191"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.105469,0.114207,0.130432,0.159498,0.211979,0.307418,0.480953", \ "0.105472,0.114208,0.130416,0.159483,0.211890,0.307403,0.480952", \ "0.105483,0.114214,0.130425,0.159477,0.211861,0.307403,0.480952", \ "0.105493,0.114216,0.130432,0.159570,0.212004,0.307358,0.480951", \ "0.106204,0.114751,0.130733,0.159368,0.211967,0.307347,0.480952", \ "0.115944,0.123775,0.138146,0.164468,0.213853,0.307487,0.480939", \ "0.130138,0.138540,0.153929,0.180701,0.227800,0.314408,0.481431"); } } timing () { related_pin : "B2"; when : "!A & B1 & !C1 & !C2"; sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.031712,0.033554,0.036876,0.042779,0.053179,0.071390,0.103366", \ "0.036825,0.038661,0.041960,0.047834,0.058192,0.076364,0.108312", \ "0.052652,0.054431,0.057598,0.063301,0.073511,0.091588,0.123499", \ "0.077199,0.079401,0.083262,0.090003,0.101407,0.120360,0.152251", \ "0.103918,0.106795,0.111631,0.120273,0.134677,0.157805,0.194563", \ "0.128431,0.132274,0.138596,0.149796,0.168601,0.197826,0.242440", \ "0.147680,0.152663,0.160941,0.175498,0.199540,0.236695,0.291906"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.199166,0.207542,0.222939,0.250956,0.301743,0.394424,0.563686", \ "0.202870,0.211313,0.226842,0.255058,0.305970,0.398918,0.568290", \ "0.220181,0.228634,0.244176,0.272367,0.323633,0.416747,0.586550", \ "0.251365,0.259786,0.274951,0.303065,0.354397,0.447405,0.617234", \ "0.294299,0.302724,0.317865,0.345652,0.396758,0.489728,0.659381", \ "0.344888,0.354154,0.370747,0.400246,0.452144,0.544997,0.714607", \ "0.397734,0.408089,0.426759,0.459595,0.516654,0.615024,0.785048"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.022562,0.023973,0.026510,0.031055,0.039174,0.053673,0.079833", \ "0.022288,0.023703,0.026263,0.030836,0.038992,0.053549,0.079755", \ "0.022155,0.023472,0.025893,0.030340,0.038496,0.053300,0.079654", \ "0.027965,0.029217,0.031439,0.035424,0.042444,0.055095,0.079764", \ "0.038153,0.039482,0.041866,0.045957,0.053094,0.065698,0.087954", \ "0.052837,0.054402,0.057313,0.061930,0.069425,0.082149,0.104469", \ "0.071370,0.073322,0.076770,0.082464,0.091250,0.105069,0.127660"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.097408,0.104780,0.118492,0.143175,0.187868,0.269072,0.417124", \ "0.097410,0.104781,0.118492,0.143131,0.187880,0.269093,0.417127", \ "0.097409,0.104782,0.118511,0.143098,0.187899,0.269072,0.417140", \ "0.097411,0.104783,0.118490,0.143140,0.187894,0.269096,0.417133", \ "0.098381,0.105512,0.118926,0.143122,0.187778,0.269156,0.417125", \ "0.109303,0.116026,0.128207,0.150129,0.191185,0.269368,0.417112", \ "0.124809,0.131865,0.144865,0.167681,0.207742,0.279341,0.418851"); } } timing () { related_pin : "B2"; when : "!A & B1 & !C1 & C2"; sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.025970,0.027806,0.031117,0.037045,0.047538,0.065980,0.098334", \ "0.031238,0.033053,0.036334,0.042211,0.052646,0.071014,0.103315", \ "0.047208,0.049051,0.052307,0.057997,0.068174,0.086346,0.118528", \ "0.070331,0.072697,0.076794,0.083889,0.095690,0.115153,0.147377", \ "0.094817,0.097975,0.103277,0.112605,0.127816,0.151766,0.189332", \ "0.116386,0.120641,0.127560,0.139854,0.159911,0.190553,0.236402", \ "0.132109,0.137635,0.146738,0.162623,0.188365,0.227577,0.284670"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.215502,0.225168,0.242890,0.275240,0.333974,0.441043,0.636558", \ "0.218928,0.228677,0.246514,0.279048,0.338118,0.445307,0.641042", \ "0.235925,0.245688,0.263580,0.296260,0.355462,0.462962,0.659084", \ "0.266865,0.276576,0.294105,0.326626,0.385770,0.493217,0.689476", \ "0.309076,0.318784,0.336303,0.368449,0.427344,0.534733,0.730828", \ "0.358822,0.369291,0.388163,0.421545,0.480690,0.587978,0.783754", \ "0.410628,0.422133,0.442877,0.479508,0.543618,0.654578,0.850194"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.019617,0.021150,0.023900,0.028796,0.037352,0.052362,0.078859", \ "0.019341,0.020865,0.023623,0.028517,0.037114,0.052151,0.078725", \ "0.020256,0.021512,0.023883,0.028332,0.036560,0.051715,0.078489", \ "0.027343,0.028550,0.030718,0.034629,0.041621,0.054160,0.078656", \ "0.038841,0.040093,0.042345,0.046245,0.053138,0.065424,0.087502", \ "0.054536,0.056023,0.058811,0.063223,0.070393,0.082673,0.104444", \ "0.073956,0.075843,0.079146,0.084668,0.093070,0.106352,0.128245"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.105516,0.114178,0.130255,0.159442,0.211929,0.307524,0.481122", \ "0.105520,0.114181,0.130254,0.159441,0.212050,0.307522,0.481128", \ "0.105529,0.114186,0.130255,0.159404,0.212002,0.307519,0.481118", \ "0.105533,0.114189,0.130257,0.159421,0.211960,0.307462,0.481117", \ "0.106254,0.114723,0.130577,0.159408,0.211913,0.307510,0.481121", \ "0.115822,0.123704,0.138174,0.164605,0.213974,0.307604,0.481118", \ "0.129724,0.138072,0.153379,0.180452,0.227790,0.314576,0.481632"); } } timing () { related_pin : "B2"; when : "!A & B1 & C1 & !C2"; sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.026503,0.028338,0.031647,0.037565,0.048056,0.066500,0.098879", \ "0.031763,0.033577,0.036854,0.042731,0.053160,0.071535,0.103858", \ "0.047746,0.049577,0.052823,0.058491,0.068676,0.086862,0.119071", \ "0.071076,0.073405,0.077475,0.084502,0.096262,0.115686,0.147910", \ "0.095880,0.098988,0.104232,0.113478,0.128567,0.152433,0.189944", \ "0.117925,0.122121,0.128936,0.141105,0.161003,0.191439,0.237165", \ "0.134214,0.139663,0.148750,0.164372,0.189889,0.228842,0.285703"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.248620,0.258353,0.276126,0.308646,0.367642,0.474937,0.670716", \ "0.252311,0.262094,0.279953,0.312610,0.371834,0.479285,0.675238", \ "0.269418,0.279230,0.297170,0.329802,0.389240,0.497038,0.693334", \ "0.300243,0.310002,0.327560,0.360191,0.419610,0.527298,0.723755", \ "0.342372,0.352119,0.369664,0.401956,0.461137,0.568815,0.765123", \ "0.394383,0.404586,0.422892,0.455455,0.514408,0.621878,0.817967", \ "0.449672,0.460747,0.480792,0.516423,0.579256,0.688581,0.884359"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.022872,0.024462,0.027316,0.032361,0.041195,0.056502,0.083169", \ "0.022525,0.024124,0.026987,0.032054,0.040924,0.056288,0.083031", \ "0.023178,0.024525,0.027068,0.031733,0.040319,0.055834,0.082789", \ "0.031212,0.032385,0.034515,0.038388,0.045420,0.058179,0.082935", \ "0.044624,0.045714,0.047738,0.051325,0.057904,0.069978,0.091785", \ "0.063264,0.064389,0.066597,0.070281,0.076619,0.088127,0.109262", \ "0.085986,0.087308,0.089798,0.094119,0.101148,0.113026,0.133747"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.122084,0.130884,0.147205,0.176763,0.229765,0.325769,0.500106", \ "0.122085,0.130885,0.147207,0.176732,0.229802,0.325833,0.500116", \ "0.122081,0.130882,0.147222,0.176737,0.229764,0.325842,0.500110", \ "0.122083,0.130886,0.147212,0.176720,0.229805,0.325769,0.500123", \ "0.122283,0.131022,0.147294,0.176731,0.229682,0.325838,0.500108", \ "0.129173,0.137253,0.152325,0.179774,0.230598,0.325854,0.500114", \ "0.143388,0.151791,0.167228,0.194394,0.241807,0.330853,0.500267"); } } timing () { related_pin : "C1"; when : "!A & !B1 & !B2 & C2"; sdf_cond : "(A == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.019709,0.021173,0.023852,0.028745,0.037666,0.053979,0.083800", \ "0.024291,0.025783,0.028507,0.033452,0.042434,0.058795,0.088669", \ "0.039160,0.041157,0.044610,0.050287,0.059395,0.075727,0.105583", \ "0.052886,0.056124,0.061720,0.070951,0.085309,0.106807,0.138270", \ "0.060783,0.065516,0.073507,0.086822,0.107621,0.138371,0.182295", \ "0.061015,0.067388,0.078036,0.095840,0.123711,0.164939,0.223332", \ "0.052430,0.060515,0.074190,0.096770,0.132145,0.184590,0.258887"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.087534,0.095530,0.110069,0.136425,0.184280,0.271247,0.429887", \ "0.087554,0.095735,0.110648,0.137520,0.186067,0.273919,0.433160", \ "0.099212,0.107124,0.121649,0.148216,0.196677,0.284825,0.444942", \ "0.132499,0.139517,0.152802,0.178202,0.225471,0.312345,0.471549", \ "0.178607,0.187811,0.203821,0.231042,0.276091,0.360912,0.518010", \ "0.228940,0.240220,0.259823,0.293041,0.347459,0.433640,0.587887", \ "0.284565,0.297810,0.320928,0.360152,0.424483,0.526150,0.683628"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.012075,0.013339,0.015642,0.019884,0.027637,0.041869,0.067915", \ "0.012078,0.013329,0.015648,0.019882,0.027643,0.041862,0.067907", \ "0.017157,0.018037,0.019582,0.022325,0.028447,0.041862,0.067905", \ "0.030071,0.031304,0.033344,0.036742,0.042390,0.051566,0.070545", \ "0.047456,0.049113,0.052167,0.056668,0.063802,0.075030,0.092522", \ "0.069224,0.071398,0.075402,0.081342,0.090482,0.104442,0.125335", \ "0.095272,0.098053,0.102940,0.110566,0.122070,0.139280,0.164386"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.071140,0.078220,0.091325,0.114583,0.157229,0.235010,0.376920", \ "0.070984,0.078108,0.091265,0.114565,0.157153,0.235042,0.376904", \ "0.070059,0.077428,0.090836,0.114384,0.157117,0.234978,0.376927", \ "0.071957,0.078083,0.089880,0.112834,0.156865,0.235031,0.376932", \ "0.091134,0.096633,0.105932,0.122900,0.158925,0.234337,0.376914", \ "0.114082,0.120300,0.131529,0.150196,0.180897,0.242397,0.376535", \ "0.140119,0.147215,0.159885,0.181099,0.216214,0.273123,0.386599"); } } timing () { related_pin : "C1"; when : "!A & !B1 & B2 & C2"; sdf_cond : "(A == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.019699,0.021162,0.023840,0.028731,0.037643,0.053946,0.083751", \ "0.024285,0.025776,0.028492,0.033439,0.042413,0.058764,0.088627", \ "0.039212,0.041202,0.044661,0.050324,0.059419,0.075740,0.105585", \ "0.053116,0.056338,0.061925,0.071112,0.085447,0.106892,0.138324", \ "0.061033,0.065765,0.073727,0.087101,0.107803,0.138536,0.182397", \ "0.060809,0.067226,0.077931,0.095823,0.123754,0.165015,0.223419", \ "0.051139,0.059330,0.073167,0.095988,0.131633,0.184337,0.258795"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.099717,0.109555,0.127467,0.160010,0.219060,0.326079,0.521527", \ "0.098754,0.108813,0.127146,0.160449,0.220295,0.328335,0.524653", \ "0.109261,0.118934,0.136759,0.169627,0.229374,0.337959,0.535265", \ "0.141855,0.150488,0.166883,0.198263,0.256557,0.363685,0.559990", \ "0.192254,0.202452,0.220239,0.250429,0.305376,0.410234,0.604087", \ "0.247292,0.259707,0.281339,0.318212,0.379077,0.480520,0.671310", \ "0.308046,0.322563,0.347963,0.391209,0.462568,0.576475,0.763776"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.012074,0.013334,0.015648,0.019881,0.027641,0.041868,0.067920", \ "0.012078,0.013331,0.015640,0.019880,0.027645,0.041857,0.067910", \ "0.017131,0.018020,0.019553,0.022306,0.028438,0.041860,0.067906", \ "0.029943,0.031166,0.033224,0.036648,0.042329,0.051516,0.070529", \ "0.047227,0.048903,0.051971,0.056474,0.063694,0.074949,0.092465", \ "0.069076,0.071251,0.075262,0.081221,0.090379,0.104352,0.125270", \ "0.095394,0.098199,0.103035,0.110646,0.122164,0.139342,0.164391"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.088205,0.097027,0.113185,0.142059,0.194290,0.289174,0.462019", \ "0.087763,0.096704,0.113009,0.141996,0.194264,0.289166,0.462027", \ "0.086028,0.095351,0.112157,0.141618,0.194072,0.289181,0.462027", \ "0.084768,0.093058,0.108882,0.139547,0.193633,0.289171,0.462030", \ "0.101922,0.107765,0.119629,0.143380,0.191881,0.288791,0.462029", \ "0.124716,0.131968,0.145221,0.167816,0.206616,0.289879,0.461944", \ "0.151266,0.159369,0.173929,0.198577,0.240254,0.311610,0.463574"); } } timing () { related_pin : "C1"; when : "!A & B1 & !B2 & C2"; sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.020095,0.021563,0.024243,0.029132,0.038062,0.054383,0.084236", \ "0.024690,0.026180,0.028897,0.033845,0.042833,0.059208,0.089104", \ "0.039766,0.041752,0.045158,0.050770,0.059839,0.076179,0.106064", \ "0.054115,0.057278,0.062806,0.071890,0.086103,0.107439,0.138788", \ "0.062662,0.067314,0.075120,0.088298,0.108865,0.139365,0.183078", \ "0.063197,0.069528,0.080241,0.097733,0.125390,0.166299,0.224441", \ "0.054510,0.062563,0.076328,0.098821,0.133956,0.186209,0.260280"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.133079,0.142926,0.160925,0.193508,0.252664,0.360115,0.555877", \ "0.133241,0.143256,0.161556,0.194582,0.254520,0.362597,0.559095", \ "0.142827,0.152691,0.170816,0.203816,0.263841,0.372489,0.569874", \ "0.172468,0.181882,0.199197,0.231271,0.290205,0.397853,0.594532", \ "0.225679,0.234985,0.251064,0.280974,0.338092,0.443730,0.638272", \ "0.288065,0.299347,0.319170,0.353438,0.410992,0.513249,0.704989", \ "0.355845,0.369076,0.392429,0.432652,0.500048,0.609345,0.796875"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.013635,0.014985,0.017460,0.021999,0.030248,0.045185,0.071831", \ "0.013637,0.014982,0.017463,0.022007,0.030254,0.045186,0.071830", \ "0.018982,0.019859,0.021314,0.024334,0.031003,0.045188,0.071828", \ "0.034577,0.035609,0.037370,0.040425,0.045768,0.054780,0.074397", \ "0.056890,0.058035,0.060167,0.063669,0.069696,0.079915,0.096600", \ "0.083974,0.085296,0.087918,0.092181,0.099372,0.111380,0.130676", \ "0.115763,0.117348,0.120389,0.125542,0.134320,0.148712,0.171393"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.105287,0.114092,0.130386,0.159391,0.211996,0.307515,0.481116", \ "0.105188,0.114019,0.130345,0.159349,0.212011,0.307525,0.481120", \ "0.104619,0.113605,0.130080,0.159228,0.211968,0.307512,0.481118", \ "0.101457,0.110909,0.128349,0.158438,0.211708,0.307524,0.481129", \ "0.109656,0.116963,0.131006,0.157826,0.209629,0.307360,0.481121", \ "0.133184,0.140556,0.153949,0.175684,0.218668,0.306637,0.481109", \ "0.159974,0.168130,0.182763,0.207463,0.249272,0.323551,0.481199"); } } timing () { related_pin : "C2"; when : "!A & !B1 & !B2 & C1"; sdf_cond : "(A == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.022422,0.023884,0.026554,0.031436,0.040357,0.056649,0.086476", \ "0.027613,0.029099,0.031806,0.036735,0.045700,0.062051,0.091918", \ "0.041728,0.043493,0.046611,0.052005,0.061174,0.077651,0.107621", \ "0.058661,0.061278,0.065734,0.073231,0.085349,0.104832,0.136359", \ "0.072605,0.076547,0.083164,0.094176,0.111290,0.136930,0.175532", \ "0.080234,0.085926,0.094878,0.110474,0.134382,0.169090,0.218183", \ "0.079875,0.087365,0.099695,0.119859,0.151543,0.197299,0.260453"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.111637,0.119519,0.133938,0.160218,0.208044,0.294947,0.453688", \ "0.112664,0.120663,0.135305,0.161898,0.209959,0.297338,0.456395", \ "0.124877,0.132787,0.147335,0.173919,0.222172,0.309821,0.469279", \ "0.156415,0.164035,0.177921,0.203815,0.251272,0.338215,0.497088", \ "0.207983,0.216302,0.230902,0.255765,0.301548,0.386867,0.544130", \ "0.265424,0.275613,0.293489,0.324120,0.375238,0.459301,0.614146", \ "0.327860,0.339885,0.361037,0.397386,0.457783,0.554836,0.709752"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.012081,0.013335,0.015648,0.019877,0.027650,0.041875,0.067904", \ "0.012077,0.013336,0.015640,0.019884,0.027641,0.041867,0.067913", \ "0.014229,0.015344,0.017313,0.020937,0.028005,0.041863,0.067922", \ "0.021487,0.022573,0.024559,0.028165,0.034719,0.046672,0.069377", \ "0.034091,0.035301,0.037494,0.041155,0.047524,0.059031,0.080337", \ "0.051173,0.052694,0.055504,0.059756,0.066631,0.078118,0.098640", \ "0.072173,0.074062,0.077476,0.082927,0.091105,0.103725,0.124356"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.084432,0.091507,0.104747,0.128361,0.171444,0.249606,0.392123", \ "0.084399,0.091484,0.104731,0.128442,0.171417,0.249629,0.392130", \ "0.084143,0.091301,0.104629,0.128312,0.171340,0.249622,0.392140", \ "0.082985,0.089927,0.103235,0.127768,0.171146,0.249609,0.392144", \ "0.096613,0.101806,0.112077,0.131993,0.171075,0.249371,0.392143", \ "0.119748,0.125916,0.137192,0.156012,0.187836,0.253824,0.392004", \ "0.145451,0.152608,0.165385,0.186918,0.222195,0.280093,0.398830"); } } timing () { related_pin : "C2"; when : "!A & !B1 & B2 & C1"; sdf_cond : "(A == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.022414,0.023873,0.026543,0.031421,0.040335,0.056622,0.086435", \ "0.027606,0.029091,0.031798,0.036719,0.045681,0.062025,0.091873", \ "0.041777,0.043542,0.046651,0.052040,0.061199,0.077662,0.107618", \ "0.058853,0.061464,0.065910,0.073382,0.085483,0.104936,0.136408", \ "0.072895,0.076895,0.083476,0.094445,0.111486,0.137084,0.175635", \ "0.080521,0.086169,0.095257,0.110793,0.134671,0.169302,0.218370", \ "0.079640,0.087187,0.099524,0.119718,0.151651,0.197415,0.260590"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.129761,0.139468,0.157217,0.189639,0.248409,0.355542,0.551058", \ "0.130016,0.139879,0.157861,0.190574,0.249852,0.357454,0.553429", \ "0.141168,0.150911,0.168803,0.201504,0.260968,0.368937,0.565429", \ "0.171693,0.181086,0.198220,0.230160,0.288839,0.395840,0.591626", \ "0.225116,0.234410,0.250607,0.280423,0.337266,0.442615,0.636525", \ "0.287779,0.299049,0.318937,0.353071,0.410545,0.512659,0.703909", \ "0.355876,0.369110,0.392445,0.432650,0.499936,0.609034,0.796308"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.012077,0.013331,0.015641,0.019877,0.027645,0.041866,0.067905", \ "0.012077,0.013334,0.015649,0.019879,0.027644,0.041862,0.067914", \ "0.014224,0.015335,0.017306,0.020930,0.028002,0.041861,0.067919", \ "0.021395,0.022495,0.024505,0.028112,0.034691,0.046643,0.069369", \ "0.033900,0.035148,0.037305,0.041009,0.047429,0.058973,0.080303", \ "0.050939,0.052450,0.055234,0.059531,0.066429,0.077973,0.098595", \ "0.072001,0.073907,0.077354,0.082782,0.090908,0.103622,0.124271"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.105203,0.113936,0.130102,0.159321,0.211863,0.307409,0.480955", \ "0.105085,0.113853,0.130054,0.159321,0.211862,0.307361,0.480959", \ "0.104519,0.113428,0.129793,0.159173,0.211864,0.307421,0.480951", \ "0.101456,0.110714,0.128030,0.158427,0.211772,0.307412,0.480957", \ "0.109748,0.117056,0.131087,0.157942,0.209449,0.307250,0.480958", \ "0.132713,0.140035,0.153473,0.175579,0.218757,0.306548,0.480939", \ "0.158842,0.167033,0.181736,0.206812,0.248798,0.323544,0.481094"); } } timing () { related_pin : "C2"; when : "!A & B1 & !B2 & C1"; sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.022812,0.024277,0.026949,0.031826,0.040749,0.057058,0.086910", \ "0.028010,0.029496,0.032207,0.037132,0.046102,0.062462,0.092358", \ "0.042263,0.044022,0.047108,0.052476,0.061620,0.078103,0.108105", \ "0.059590,0.062173,0.066571,0.073986,0.086031,0.105437,0.136895", \ "0.074165,0.078073,0.084505,0.095406,0.112283,0.137751,0.176250", \ "0.082391,0.087966,0.096949,0.112211,0.135839,0.170264,0.219100", \ "0.082309,0.089730,0.101969,0.122017,0.153421,0.198826,0.261627"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.163028,0.172785,0.190609,0.223144,0.282297,0.389631,0.585438", \ "0.163846,0.173705,0.191677,0.224425,0.283854,0.391633,0.587868", \ "0.174834,0.184672,0.202642,0.235494,0.295123,0.403243,0.599963", \ "0.204165,0.213789,0.231213,0.263481,0.322497,0.429872,0.626079", \ "0.255603,0.264601,0.281347,0.312554,0.370241,0.476184,0.670670", \ "0.325119,0.335553,0.354097,0.386314,0.441751,0.545630,0.737667", \ "0.399826,0.412081,0.433828,0.471643,0.535581,0.640773,0.829492"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.013629,0.014987,0.017465,0.021993,0.030253,0.045192,0.071828", \ "0.013625,0.014991,0.017464,0.022008,0.030247,0.045189,0.071830", \ "0.015939,0.017107,0.019112,0.023009,0.030589,0.045189,0.071832", \ "0.024231,0.025310,0.027316,0.030996,0.037745,0.049977,0.073275", \ "0.039552,0.040497,0.042394,0.045683,0.051751,0.063190,0.084402", \ "0.060824,0.061763,0.063738,0.066948,0.072721,0.083359,0.103281", \ "0.086291,0.087378,0.089544,0.093364,0.099595,0.110506,0.129779"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.122017,0.130821,0.147185,0.176753,0.229868,0.325849,0.500114", \ "0.121994,0.130806,0.147187,0.176731,0.229776,0.325842,0.500125", \ "0.121851,0.130699,0.147121,0.176730,0.229776,0.325778,0.500127", \ "0.120597,0.129750,0.146516,0.176433,0.229736,0.325872,0.500126", \ "0.122039,0.130169,0.145584,0.174413,0.228629,0.325828,0.500110", \ "0.142415,0.149757,0.162402,0.186134,0.232681,0.324429,0.500128", \ "0.169032,0.177078,0.191637,0.216550,0.257849,0.336990,0.499434"); } } internal_power () { related_pin : "A"; when : "!B1 & !B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.617078,0.627991,0.630302,0.656111,0.675629,0.711611,0.744101", \ "0.616390,0.622647,0.632370,0.655211,0.679691,0.705801,0.741002", \ "0.633284,0.634919,0.633699,0.649178,0.669191,0.697261,0.732700", \ "0.723714,0.722954,0.712626,0.712828,0.709886,0.713047,0.730145", \ "0.838961,0.836405,0.831978,0.830123,0.819873,0.810429,0.790709", \ "1.022717,1.016496,1.012973,0.994157,0.975303,0.950130,0.917256", \ "1.284186,1.277840,1.271706,1.254163,1.214761,1.171847,1.106137"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("3.272516,3.275912,3.278206,3.288807,3.292008,3.296437,3.303197", \ "3.222292,3.225365,3.233305,3.241071,3.260222,3.269662,3.284805", \ "3.173252,3.175182,3.184169,3.191690,3.207134,3.218251,3.239584", \ "3.158684,3.162165,3.150646,3.160875,3.166267,3.178493,3.217500", \ "3.163698,3.163979,3.161762,3.160760,3.160794,3.166648,3.179725", \ "3.211795,3.215785,3.217360,3.209104,3.188781,3.206811,3.215348", \ "3.249306,3.257133,3.277510,3.303736,3.307613,3.279974,3.263315"); } } internal_power () { related_pin : "A"; when : "!B1 & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.482723,0.486035,0.502559,0.530259,0.575850,0.617373,0.657362", \ "0.470793,0.483650,0.499641,0.532309,0.567921,0.605376,0.649415", \ "0.516645,0.518567,0.522974,0.530821,0.554524,0.588326,0.631493", \ "0.620138,0.621654,0.618437,0.625482,0.618489,0.615915,0.634197", \ "0.749681,0.746788,0.745262,0.739557,0.733908,0.716123,0.697164", \ "0.936723,0.929063,0.923935,0.905534,0.890844,0.862290,0.825125", \ "1.196885,1.189652,1.175403,1.160878,1.126134,1.069294,1.009723"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("3.010620,3.016154,3.017836,3.029144,3.034531,3.032722,3.032361", \ "2.956947,2.963840,2.973824,2.983629,2.979475,3.008588,3.014526", \ "2.909853,2.915718,2.919128,2.921184,2.927883,2.958859,2.987116", \ "2.892901,2.897685,2.895123,2.892746,2.894079,2.923086,2.932177", \ "2.901459,2.901453,2.898531,2.901871,2.903838,2.911148,2.910926", \ "2.948642,2.950985,2.955672,2.948247,2.932739,2.922550,2.948368", \ "2.977459,2.983826,3.007826,3.046498,3.051857,3.004835,2.984197"); } } internal_power () { related_pin : "A"; when : "!B1 & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.517315,0.537082,0.542737,0.568164,0.587891,0.632336,0.662601", \ "0.516119,0.528347,0.536678,0.555893,0.578396,0.622246,0.651169", \ "0.529960,0.531473,0.540237,0.546472,0.572612,0.605487,0.635777", \ "0.635028,0.630606,0.630619,0.630496,0.625890,0.616329,0.635940", \ "0.758099,0.756641,0.747534,0.743423,0.733822,0.719930,0.695941", \ "0.944841,0.941247,0.932480,0.910178,0.893601,0.860779,0.826599", \ "1.213471,1.204942,1.190701,1.168760,1.129813,1.075168,1.010724"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("3.607395,3.611273,3.621264,3.622111,3.634754,3.663450,3.683714", \ "3.560606,3.569958,3.576467,3.583236,3.607140,3.640384,3.655299", \ "3.511253,3.516687,3.526764,3.541434,3.555800,3.591958,3.612766", \ "3.491667,3.498536,3.496200,3.505637,3.520212,3.554984,3.574383", \ "3.493473,3.498535,3.498607,3.503053,3.504700,3.537600,3.552663", \ "3.538961,3.538865,3.544691,3.538246,3.553368,3.553876,3.563515", \ "3.584315,3.596130,3.617979,3.657972,3.626288,3.630685,3.623999"); } } internal_power () { related_pin : "A"; when : "!B1 & B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.641829,0.649780,0.661534,0.664661,0.684488,0.715562,0.738035", \ "0.643693,0.646705,0.652529,0.673124,0.693211,0.716317,0.749563", \ "0.661944,0.663755,0.665136,0.673550,0.696257,0.721492,0.754863", \ "0.746483,0.749742,0.751218,0.741706,0.752657,0.743265,0.763248", \ "0.854316,0.854119,0.853086,0.851530,0.846598,0.832506,0.819018", \ "1.022635,1.017453,1.013642,0.999357,0.991892,0.970344,0.937455", \ "1.259379,1.254103,1.244191,1.227942,1.202503,1.167034,1.112613"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("3.246523,3.249197,3.254862,3.259752,3.261636,3.274990,3.288461", \ "3.196402,3.200406,3.206257,3.217228,3.234021,3.253518,3.248586", \ "3.148534,3.151399,3.157848,3.162151,3.167562,3.187970,3.224494", \ "3.131117,3.133300,3.132175,3.130635,3.135674,3.154346,3.177009", \ "3.130080,3.131455,3.135042,3.126350,3.135382,3.151885,3.154002", \ "3.172109,3.172173,3.172597,3.168562,3.162412,3.169879,3.159473", \ "3.238866,3.252317,3.271257,3.266728,3.252251,3.215742,3.213908"); } } internal_power () { related_pin : "A"; when : "!B1 & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.508765,0.510732,0.525894,0.546875,0.579006,0.619665,0.658278", \ "0.498793,0.509598,0.526111,0.542511,0.583300,0.615547,0.654569", \ "0.544930,0.551856,0.551628,0.560808,0.585169,0.612754,0.655776", \ "0.655944,0.648331,0.652743,0.653784,0.643135,0.645679,0.662621", \ "0.766332,0.767724,0.759302,0.758637,0.757043,0.746488,0.725980", \ "0.936445,0.929062,0.925500,0.910767,0.898144,0.877471,0.845643", \ "1.168572,1.165298,1.156057,1.134722,1.113122,1.070321,1.015909"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("2.980881,2.986620,2.992212,3.001859,3.004028,3.010746,3.010139", \ "2.931287,2.934889,2.944748,2.948292,2.956245,2.989476,2.995170", \ "2.884647,2.887048,2.891587,2.895295,2.912325,2.927769,2.939142", \ "2.863075,2.868225,2.864705,2.869974,2.884707,2.896725,2.905418", \ "2.864285,2.868738,2.871974,2.865627,2.873715,2.884769,2.888729", \ "2.907240,2.905301,2.903187,2.903288,2.897560,2.901041,2.900356", \ "2.961907,2.977469,3.003267,2.999590,2.968552,2.951782,2.937930"); } } internal_power () { related_pin : "A"; when : "!B1 & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.538695,0.546098,0.558572,0.581245,0.600438,0.627535,0.663715", \ "0.545120,0.546169,0.551770,0.570480,0.589731,0.632277,0.667839", \ "0.558686,0.560215,0.563851,0.582385,0.601229,0.630023,0.658238", \ "0.661649,0.656648,0.658763,0.658919,0.655154,0.646407,0.669920", \ "0.776226,0.775990,0.767193,0.766784,0.757367,0.746177,0.728098", \ "0.944535,0.941508,0.932346,0.917749,0.904064,0.875898,0.846963", \ "1.185179,1.178788,1.166816,1.148477,1.118623,1.072990,1.017396"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("3.585085,3.591650,3.600036,3.611668,3.615570,3.628131,3.636747", \ "3.542339,3.546437,3.559437,3.576233,3.590626,3.608798,3.638324", \ "3.493409,3.497171,3.503032,3.524645,3.528309,3.569306,3.588763", \ "3.469023,3.476147,3.478053,3.484684,3.498614,3.516943,3.555400", \ "3.468098,3.471819,3.477624,3.480459,3.488056,3.503454,3.538540", \ "3.502689,3.502635,3.507721,3.506568,3.507814,3.521177,3.528153", \ "3.586198,3.599306,3.608877,3.600228,3.592285,3.587762,3.585697"); } } internal_power () { related_pin : "A"; when : "B1 & !B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.670555,0.668242,0.680708,0.686583,0.698227,0.718735,0.742415", \ "0.666600,0.670175,0.678095,0.680033,0.705428,0.722761,0.754046", \ "0.669195,0.673661,0.682188,0.693600,0.708939,0.725745,0.762021", \ "0.755044,0.758289,0.750458,0.752811,0.750782,0.744741,0.765045", \ "0.866232,0.862107,0.857932,0.853897,0.850770,0.837230,0.818423", \ "1.029526,1.026670,1.020808,1.003866,0.990499,0.970862,0.940600", \ "1.272696,1.268161,1.258376,1.240557,1.212666,1.168133,1.114171"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("3.851792,3.854976,3.858091,3.863619,3.876968,3.895068,3.917251", \ "3.808367,3.812478,3.823859,3.827288,3.851980,3.875391,3.902903", \ "3.758630,3.764757,3.772468,3.777623,3.787163,3.813255,3.844522", \ "3.734508,3.741579,3.738083,3.739764,3.757891,3.777229,3.807861", \ "3.735159,3.737648,3.740217,3.734326,3.751885,3.773982,3.802438", \ "3.769319,3.770389,3.770865,3.765350,3.774433,3.791828,3.814004", \ "3.857985,3.869011,3.876377,3.873354,3.859167,3.833541,3.842677"); } } internal_power () { related_pin : "A"; when : "B1 & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.538695,0.546098,0.558572,0.581245,0.600438,0.627535,0.663715", \ "0.545120,0.546169,0.551770,0.570480,0.589731,0.632277,0.667839", \ "0.558686,0.560215,0.563851,0.582385,0.601229,0.630023,0.658238", \ "0.661649,0.656648,0.658763,0.658919,0.655154,0.646407,0.669920", \ "0.776226,0.775990,0.767193,0.766784,0.757367,0.746177,0.728098", \ "0.944535,0.941508,0.932346,0.917749,0.904064,0.875898,0.846963", \ "1.185179,1.178788,1.166816,1.148477,1.118623,1.072990,1.017396"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("3.585085,3.591650,3.600036,3.611668,3.615570,3.628131,3.636747", \ "3.542339,3.546437,3.559437,3.576233,3.590626,3.608798,3.638324", \ "3.493409,3.497171,3.503032,3.524645,3.528309,3.569306,3.588763", \ "3.469023,3.476147,3.478053,3.484684,3.498614,3.516943,3.555400", \ "3.468098,3.471819,3.477624,3.480459,3.488056,3.503454,3.538540", \ "3.502689,3.502635,3.507721,3.506568,3.507814,3.521177,3.528153", \ "3.586198,3.599306,3.608877,3.600228,3.592285,3.587762,3.585697"); } } internal_power () { related_pin : "A"; when : "B1 & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.562986,0.569060,0.581760,0.578239,0.611331,0.633267,0.672609", \ "0.566890,0.566601,0.581284,0.578214,0.612087,0.638359,0.670478", \ "0.575514,0.574122,0.586292,0.592923,0.606152,0.630268,0.665142", \ "0.664450,0.666200,0.664746,0.655269,0.654237,0.651672,0.667249", \ "0.779540,0.777364,0.772047,0.766836,0.758770,0.742651,0.729174", \ "0.950096,0.947670,0.935090,0.923189,0.906374,0.880348,0.846864", \ "1.195728,1.190550,1.177582,1.151454,1.122616,1.074447,1.017536"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("4.189970,4.197147,4.209855,4.217030,4.247086,4.265884,4.283649", \ "4.152338,4.161426,4.172736,4.195635,4.205702,4.247730,4.270029", \ "4.102809,4.108867,4.123809,4.143997,4.163772,4.189661,4.237397", \ "4.079797,4.083407,4.089533,4.107830,4.133810,4.157279,4.183438", \ "4.073910,4.080064,4.089407,4.105702,4.122831,4.143439,4.165599", \ "4.101313,4.107111,4.114587,4.118549,4.140503,4.159573,4.177095", \ "4.197339,4.199017,4.203160,4.206336,4.198586,4.204305,4.223313"); } } internal_power () { related_pin : "B1"; when : "!A & B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.928811,0.937745,0.952808,0.998260,1.053247,1.130971,1.208716", \ "0.902407,0.908467,0.932870,0.972421,1.032505,1.104278,1.182239", \ "0.876258,0.881998,0.904065,0.943998,1.002561,1.065555,1.136910", \ "0.943990,0.945545,0.951457,0.969778,0.994286,1.064522,1.132335", \ "1.103113,1.101759,1.099304,1.097773,1.110276,1.107671,1.147623", \ "1.293214,1.295523,1.289542,1.288228,1.281483,1.267093,1.250014", \ "1.553951,1.550301,1.542434,1.537451,1.517451,1.484259,1.437934"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("4.022294,4.026871,4.030459,4.024235,4.043317,4.056849,4.045805", \ "3.971407,3.977805,3.985395,3.997401,4.015919,4.035941,4.056858", \ "3.900503,3.908508,3.914891,3.925270,3.945059,3.973098,4.003534", \ "3.873257,3.873939,3.874957,3.885920,3.895757,3.920025,3.951555", \ "3.865604,3.869061,3.859234,3.857682,3.878533,3.874568,3.900101", \ "3.873859,3.875016,3.869437,3.867601,3.881472,3.873384,3.895619", \ "3.867901,3.881468,3.909714,3.912055,3.909080,3.914434,3.915151"); } } internal_power () { related_pin : "B1"; when : "!A & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.713680,0.739869,0.762069,0.803732,0.898196,0.986236,1.082277", \ "0.696700,0.714038,0.746075,0.800578,0.859995,0.956712,1.049487", \ "0.690751,0.702626,0.729995,0.762025,0.844746,0.920462,1.019234", \ "0.801508,0.801298,0.807685,0.819576,0.855817,0.911526,1.003134", \ "0.968117,0.965595,0.966267,0.963032,0.975209,0.980279,1.019886", \ "1.168560,1.166259,1.163504,1.156432,1.155985,1.144895,1.129455", \ "1.428658,1.427092,1.424024,1.410683,1.388087,1.357598,1.310402"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("3.747964,3.751314,3.760527,3.754506,3.776609,3.783794,3.783273", \ "3.697589,3.703106,3.716143,3.727665,3.749621,3.764498,3.770685", \ "3.625438,3.631703,3.639463,3.657443,3.680725,3.703168,3.719544", \ "3.599690,3.600110,3.600343,3.604785,3.629542,3.654194,3.669195", \ "3.590769,3.595233,3.592627,3.583331,3.599066,3.612773,3.623715", \ "3.598938,3.601606,3.604245,3.586395,3.589347,3.620296,3.627136", \ "3.593061,3.607077,3.640299,3.648895,3.636715,3.635795,3.634707"); } } internal_power () { related_pin : "B1"; when : "!A & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.788591,0.807508,0.827522,0.871556,0.922194,1.011709,1.094417", \ "0.769566,0.784560,0.812490,0.828519,0.898869,0.985358,1.066085", \ "0.756549,0.768793,0.783952,0.812285,0.878678,0.947873,1.024717", \ "0.828585,0.824202,0.829260,0.851291,0.876957,0.934019,1.017385", \ "0.988769,0.991923,0.991265,0.991597,0.993051,0.993284,1.034624", \ "1.190052,1.189928,1.183095,1.180132,1.165582,1.148187,1.132148", \ "1.455801,1.452591,1.442416,1.429825,1.401193,1.362822,1.316257"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("4.354595,4.357001,4.368470,4.379324,4.388967,4.400982,4.409711", \ "4.315945,4.323864,4.333214,4.343404,4.365005,4.383531,4.419091", \ "4.242659,4.248242,4.262880,4.281394,4.299414,4.325084,4.369752", \ "4.207968,4.211961,4.220565,4.235839,4.252801,4.273943,4.299060", \ "4.196960,4.201426,4.199768,4.210106,4.216437,4.254114,4.274571", \ "4.202122,4.204416,4.204113,4.198911,4.223322,4.242018,4.256519", \ "4.229412,4.249123,4.258568,4.256734,4.242870,4.271454,4.284064"); } } internal_power () { related_pin : "B2"; when : "!A & B1 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.924271,0.942392,0.963723,1.004008,1.062931,1.132944,1.210061", \ "0.897372,0.912440,0.932733,0.965026,1.031126,1.101400,1.179366", \ "0.874437,0.882805,0.909741,0.941937,1.001047,1.065827,1.144289", \ "0.912224,0.917338,0.917571,0.940722,0.988320,1.046284,1.118408", \ "1.014261,1.016521,1.023746,1.027942,1.052824,1.072527,1.124953", \ "1.133743,1.139153,1.141880,1.142319,1.151864,1.168844,1.180000", \ "1.304116,1.301604,1.302560,1.299096,1.302091,1.301487,1.295342"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("4.571520,4.574146,4.579777,4.587360,4.585778,4.601490,4.622002", \ "4.537422,4.539561,4.549650,4.553301,4.558571,4.577224,4.599640", \ "4.496190,4.497653,4.504307,4.511209,4.536387,4.559185,4.562088", \ "4.476442,4.479930,4.474418,4.480174,4.503910,4.525233,4.553922", \ "4.465856,4.473385,4.473102,4.475603,4.491643,4.513840,4.515897", \ "4.474842,4.477477,4.482508,4.472242,4.471489,4.492387,4.518053", \ "4.485961,4.500047,4.520718,4.528785,4.519098,4.533941,4.555854"); } } internal_power () { related_pin : "B2"; when : "!A & B1 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.720777,0.741200,0.778606,0.828115,0.895145,0.984165,1.085206", \ "0.703423,0.713593,0.747200,0.798046,0.864354,0.957147,1.054260", \ "0.671858,0.692714,0.725076,0.764306,0.835246,0.918941,1.016789", \ "0.747532,0.757502,0.768532,0.775029,0.834657,0.898997,0.986505", \ "0.869289,0.873632,0.876502,0.883300,0.909936,0.938527,0.997353", \ "1.005298,1.007764,1.006384,1.015916,1.027314,1.039807,1.059124", \ "1.179061,1.179783,1.176904,1.174364,1.174026,1.178074,1.176882"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("4.297284,4.298115,4.307837,4.317994,4.317275,4.327553,4.333726", \ "4.260256,4.264444,4.271098,4.285604,4.291880,4.303862,4.312467", \ "4.220692,4.223877,4.234795,4.245283,4.268329,4.285065,4.298453", \ "4.200943,4.203244,4.207054,4.216346,4.235726,4.252015,4.268500", \ "4.193159,4.196940,4.202030,4.202429,4.218410,4.243111,4.256547", \ "4.198527,4.202690,4.206777,4.208804,4.218707,4.235230,4.245463", \ "4.211976,4.235808,4.246073,4.251261,4.238393,4.266033,4.275844"); } } internal_power () { related_pin : "B2"; when : "!A & B1 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.794482,0.812901,0.830595,0.877552,0.929609,1.012629,1.093798", \ "0.769179,0.785406,0.803044,0.847522,0.896701,0.985518,1.069505", \ "0.741458,0.755077,0.781548,0.807292,0.868883,0.936808,1.031923", \ "0.781871,0.787593,0.797046,0.815012,0.859067,0.923507,1.000214", \ "0.900197,0.900951,0.906491,0.911906,0.924411,0.945372,0.999731", \ "1.032299,1.031343,1.030424,1.032666,1.043614,1.047920,1.061944", \ "1.205947,1.204061,1.199987,1.190612,1.191457,1.188547,1.181675"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("4.900605,4.903795,4.914350,4.927887,4.929127,4.965814,4.982112", \ "4.866789,4.874563,4.881427,4.903199,4.924152,4.943227,4.961126", \ "4.829156,4.833883,4.848677,4.857083,4.882878,4.905157,4.948065", \ "4.808358,4.811012,4.813648,4.825048,4.850965,4.872684,4.917232", \ "4.800290,4.805266,4.810129,4.814834,4.842316,4.863829,4.886045", \ "4.802134,4.809862,4.815017,4.816703,4.834188,4.876181,4.896270", \ "4.839371,4.843032,4.850375,4.859509,4.870878,4.887679,4.905676"); } } internal_power () { related_pin : "C1"; when : "!A & !B1 & !B2 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.272337,0.266288,0.258626,0.247822,0.232905,0.231816,0.229742", \ "0.257332,0.255995,0.249762,0.249443,0.247394,0.247631,0.253501", \ "0.232882,0.232690,0.233182,0.240600,0.244385,0.254278,0.267592", \ "0.289573,0.288096,0.281101,0.272457,0.258220,0.263964,0.269880", \ "0.400933,0.394131,0.388098,0.371642,0.350615,0.322790,0.296778", \ "0.584054,0.575363,0.561329,0.538053,0.497041,0.452178,0.396964", \ "0.850097,0.838541,0.818985,0.786016,0.732064,0.654961,0.566361"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("2.196650,2.203852,2.214654,2.209649,2.217139,2.240209,2.240908", \ "2.122722,2.132617,2.144350,2.150044,2.184642,2.220535,2.230343", \ "2.056109,2.062378,2.070245,2.080304,2.106237,2.147225,2.169027", \ "2.109474,2.107463,2.106646,2.093167,2.110566,2.112365,2.123999", \ "2.251023,2.244485,2.235908,2.218305,2.190779,2.173063,2.163513", \ "2.444499,2.456538,2.462469,2.431403,2.384141,2.346346,2.289436", \ "2.686535,2.686456,2.697977,2.698674,2.678941,2.577788,2.493409"); } } internal_power () { related_pin : "C1"; when : "!A & !B1 & B2 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.300857,0.294231,0.285746,0.272820,0.256572,0.238062,0.228473", \ "0.285973,0.282409,0.276447,0.271788,0.264210,0.255734,0.254332", \ "0.257832,0.256566,0.258404,0.262203,0.261737,0.265387,0.273441", \ "0.308389,0.304042,0.302661,0.292194,0.278325,0.280815,0.283166", \ "0.410012,0.405926,0.399882,0.382765,0.366941,0.341075,0.314053", \ "0.576452,0.570168,0.557356,0.538450,0.502594,0.462976,0.411265", \ "0.817235,0.806625,0.792632,0.762821,0.713907,0.651666,0.570267"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("2.170113,2.177046,2.183222,2.193208,2.187651,2.215157,2.215433", \ "2.092397,2.100657,2.117058,2.139302,2.157846,2.174930,2.184183", \ "2.030494,2.035100,2.039923,2.057712,2.082168,2.108014,2.128639", \ "2.076286,2.075781,2.071471,2.073284,2.069131,2.095125,2.091897", \ "2.210494,2.204201,2.194627,2.178579,2.153091,2.147389,2.135133", \ "2.429064,2.416826,2.403654,2.367597,2.340060,2.295662,2.242800", \ "2.649600,2.651339,2.664638,2.666959,2.600060,2.522801,2.449404"); } } internal_power () { related_pin : "C1"; when : "!A & B1 & !B2 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.282813,0.279745,0.270718,0.260461,0.247134,0.238764,0.229423", \ "0.271000,0.270325,0.265425,0.263778,0.257402,0.253260,0.252535", \ "0.247325,0.249813,0.253299,0.255122,0.260858,0.267320,0.274660", \ "0.294221,0.291909,0.287814,0.281177,0.274293,0.273586,0.283719", \ "0.401748,0.396490,0.391646,0.377962,0.360600,0.333236,0.317152", \ "0.576708,0.567304,0.557373,0.533752,0.502654,0.458603,0.407417", \ "0.829130,0.817789,0.797308,0.764893,0.715057,0.649920,0.567927"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("2.776357,2.782435,2.788903,2.794912,2.818088,2.831781,2.840375", \ "2.719977,2.727968,2.743468,2.750664,2.772573,2.814786,2.831517", \ "2.647225,2.653434,2.660460,2.674692,2.701803,2.753319,2.778082", \ "2.670881,2.673404,2.679285,2.674930,2.680941,2.720425,2.740879", \ "2.786717,2.784291,2.778690,2.776660,2.775108,2.759152,2.760374", \ "2.988411,2.985519,2.974026,2.961251,2.938968,2.905966,2.864462", \ "3.233477,3.241386,3.258251,3.239553,3.185922,3.127874,3.067949"); } } internal_power () { related_pin : "C2"; when : "!A & !B1 & !B2 & C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.266741,0.261769,0.253377,0.246325,0.237627,0.228797,0.230811", \ "0.256689,0.255160,0.247770,0.248849,0.246474,0.246011,0.250119", \ "0.214539,0.220887,0.223044,0.230289,0.240390,0.249480,0.263522", \ "0.222486,0.223742,0.227712,0.228506,0.222953,0.234012,0.254922", \ "0.266041,0.265112,0.266747,0.266307,0.265340,0.261333,0.252366", \ "0.368879,0.366031,0.357094,0.350019,0.341731,0.328392,0.307975", \ "0.542776,0.536936,0.521934,0.505682,0.480776,0.445831,0.408242"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("2.748858,2.753568,2.760209,2.764069,2.768873,2.795177,2.803347", \ "2.689880,2.697160,2.709217,2.720228,2.738974,2.746749,2.784547", \ "2.642621,2.644627,2.651710,2.657803,2.682191,2.719888,2.738878", \ "2.682463,2.686523,2.682132,2.689726,2.693132,2.698338,2.736346", \ "2.812440,2.809400,2.806310,2.797850,2.774166,2.787764,2.784931", \ "3.027993,3.021979,3.014630,2.999945,2.965007,2.946703,2.889954", \ "3.249357,3.256053,3.270812,3.285917,3.250353,3.193152,3.122683"); } } internal_power () { related_pin : "C2"; when : "!A & !B1 & B2 & C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.294977,0.289225,0.284169,0.270735,0.251967,0.237492,0.233854", \ "0.284301,0.281258,0.277088,0.270945,0.260242,0.253397,0.251189", \ "0.240978,0.242067,0.244365,0.251797,0.257389,0.260381,0.269185", \ "0.247553,0.248418,0.249658,0.250265,0.242824,0.255589,0.267680", \ "0.280101,0.279963,0.282856,0.283974,0.284113,0.280992,0.271075", \ "0.372495,0.370631,0.366895,0.359411,0.354565,0.345342,0.327515", \ "0.527996,0.523598,0.515651,0.502158,0.481560,0.453724,0.421769"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("2.726929,2.728934,2.738672,2.744380,2.751149,2.762416,2.769494", \ "2.668304,2.675630,2.683725,2.702555,2.722002,2.738815,2.751225", \ "2.620964,2.623874,2.630946,2.645876,2.671024,2.692997,2.711256", \ "2.658304,2.658893,2.662212,2.667485,2.666500,2.679143,2.691100", \ "2.782221,2.780396,2.776103,2.771088,2.749804,2.748611,2.744185", \ "2.984481,2.982361,2.974108,2.949750,2.936458,2.900609,2.855847", \ "3.225800,3.237186,3.255229,3.236830,3.186245,3.132874,3.064735"); } } internal_power () { related_pin : "C2"; when : "!A & B1 & !B2 & C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.282122,0.277525,0.270333,0.258455,0.248989,0.237432,0.232574", \ "0.270470,0.268468,0.266886,0.263453,0.258025,0.251256,0.252399", \ "0.233620,0.237040,0.241477,0.247634,0.252908,0.258928,0.270092", \ "0.231828,0.233618,0.233511,0.238253,0.240051,0.252323,0.269134", \ "0.273048,0.275765,0.274382,0.275345,0.279228,0.275678,0.271585", \ "0.371723,0.370377,0.365594,0.359108,0.351452,0.340697,0.323953", \ "0.538195,0.529818,0.519344,0.503359,0.481143,0.451927,0.418985"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("3.330628,3.335813,3.346273,3.352935,3.363753,3.405333,3.416508", \ "3.282187,3.288830,3.297517,3.316049,3.338312,3.357923,3.398747", \ "3.230688,3.238204,3.251761,3.267624,3.287508,3.333513,3.359905", \ "3.259090,3.263280,3.267288,3.269867,3.297912,3.318614,3.339426", \ "3.367365,3.368931,3.365757,3.373328,3.375987,3.384300,3.369207", \ "3.560200,3.559639,3.553626,3.547463,3.537632,3.511958,3.499235", \ "3.827099,3.839360,3.829942,3.809931,3.777638,3.746064,3.683990"); } } } } /****************************************************************************************** Module : AOI221_X2 Cell Description : Combinational cell (AOI221_X2) with drive strength X2 *******************************************************************************************/ cell (AOI221_X2) { drive_strength : 2; area : 2.926000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 42.914922; leakage_power () { when : "!A & !B1 & !B2 & !C1 & !C2"; value : 37.358655; } leakage_power () { when : "!A & !B1 & !B2 & !C1 & C2"; value : 65.822555; } leakage_power () { when : "!A & !B1 & !B2 & C1 & !C2"; value : 46.435245; } leakage_power () { when : "!A & !B1 & !B2 & C1 & C2"; value : 34.552925; } leakage_power () { when : "!A & !B1 & B2 & !C1 & !C2"; value : 65.822365; } leakage_power () { when : "!A & !B1 & B2 & !C1 & C2"; value : 94.262990; } leakage_power () { when : "!A & !B1 & B2 & C1 & !C2"; value : 74.891833; } leakage_power () { when : "!A & !B1 & B2 & C1 & C2"; value : 44.684960; } leakage_power () { when : "!A & B1 & !B2 & !C1 & !C2"; value : 46.435148; } leakage_power () { when : "!A & B1 & !B2 & !C1 & C2"; value : 74.891829; } leakage_power () { when : "!A & B1 & !B2 & C1 & !C2"; value : 55.512596; } leakage_power () { when : "!A & B1 & !B2 & C1 & C2"; value : 44.681065; } leakage_power () { when : "!A & B1 & B2 & !C1 & !C2"; value : 28.255859; } leakage_power () { when : "!A & B1 & B2 & !C1 & C2"; value : 38.336062; } leakage_power () { when : "!A & B1 & B2 & C1 & !C2"; value : 38.333108; } leakage_power () { when : "!A & B1 & B2 & C1 & C2"; value : 43.008219; } leakage_power () { when : "A & !B1 & !B2 & !C1 & !C2"; value : 14.878558; } leakage_power () { when : "A & !B1 & !B2 & !C1 & C2"; value : 24.882514; } leakage_power () { when : "A & !B1 & !B2 & C1 & !C2"; value : 24.881659; } leakage_power () { when : "A & !B1 & !B2 & C1 & C2"; value : 31.938154; } leakage_power () { when : "A & !B1 & B2 & !C1 & !C2"; value : 25.017889; } leakage_power () { when : "A & !B1 & B2 & !C1 & C2"; value : 35.021930; } leakage_power () { when : "A & !B1 & B2 & C1 & !C2"; value : 35.021066; } leakage_power () { when : "A & !B1 & B2 & C1 & C2"; value : 42.078217; } leakage_power () { when : "A & B1 & !B2 & !C1 & !C2"; value : 25.017024; } leakage_power () { when : "A & B1 & !B2 & !C1 & C2"; value : 35.021066; } leakage_power () { when : "A & B1 & !B2 & C1 & !C2"; value : 35.019166; } leakage_power () { when : "A & B1 & !B2 & C1 & C2"; value : 42.077172; } leakage_power () { when : "A & B1 & B2 & !C1 & !C2"; value : 32.299231; } leakage_power () { when : "A & B1 & B2 & !C1 & C2"; value : 42.492579; } leakage_power () { when : "A & B1 & B2 & C1 & !C2"; value : 42.491628; } leakage_power () { when : "A & B1 & B2 & C1 & C2"; value : 51.854247; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.373074; fall_capacitance : 3.055905; rise_capacitance : 3.373074; } pin (B1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.161009; fall_capacitance : 3.077690; rise_capacitance : 3.161009; } pin (B2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.056226; fall_capacitance : 2.865858; rise_capacitance : 3.056226; } pin (C1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.038334; fall_capacitance : 2.585039; rise_capacitance : 3.038334; } pin (C2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.355065; fall_capacitance : 2.831081; rise_capacitance : 3.355065; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 27.532110; function : "!(((C1 & C2) | A) | (B1 & B2))"; timing () { related_pin : "A"; when : "!B1 & !B2 & !C1 & !C2"; sdf_cond : "(B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.012845,0.013545,0.014740,0.017068,0.021551,0.030156,0.046781", \ "0.018383,0.019056,0.020219,0.022502,0.026943,0.035517,0.052131", \ "0.035071,0.036042,0.037680,0.040680,0.045963,0.054806,0.071169", \ "0.052184,0.053781,0.056475,0.061395,0.069963,0.084143,0.106338", \ "0.065865,0.068225,0.072048,0.079275,0.092011,0.112816,0.144915", \ "0.073397,0.076644,0.081969,0.091728,0.109311,0.137985,0.181833", \ "0.073051,0.077275,0.084250,0.096940,0.119835,0.157389,0.214667"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.115669,0.121064,0.130410,0.148938,0.185722,0.258882,0.404710", \ "0.118052,0.123517,0.132937,0.151667,0.188764,0.262361,0.408607", \ "0.133988,0.139372,0.148729,0.167328,0.204358,0.278039,0.424656", \ "0.166290,0.171630,0.180761,0.199049,0.235882,0.309314,0.455766", \ "0.210272,0.216544,0.227151,0.246942,0.284137,0.357399,0.503617", \ "0.257402,0.265116,0.278016,0.302332,0.346691,0.425484,0.571547", \ "0.308197,0.317578,0.333183,0.362298,0.414795,0.506113,0.661795"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.009083,0.009624,0.010559,0.012397,0.016013,0.023157,0.037424", \ "0.008697,0.009274,0.010258,0.012169,0.015870,0.023090,0.037404", \ "0.014084,0.014497,0.015171,0.016464,0.018815,0.024076,0.037295", \ "0.024884,0.025511,0.026495,0.028383,0.031711,0.037410,0.046851", \ "0.039617,0.040533,0.042085,0.044786,0.049383,0.056950,0.068969", \ "0.058312,0.059610,0.061814,0.065745,0.071943,0.081835,0.096907", \ "0.080764,0.082536,0.085527,0.090974,0.099308,0.112069,0.130835"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.061180,0.065863,0.073990,0.090185,0.122459,0.186751,0.314922", \ "0.061196,0.065879,0.073986,0.090191,0.122448,0.186738,0.314909", \ "0.061229,0.065887,0.074007,0.090181,0.122456,0.186742,0.314932", \ "0.061620,0.066196,0.074155,0.090242,0.122488,0.186721,0.314919", \ "0.073028,0.076668,0.083019,0.096383,0.124744,0.186741,0.314958", \ "0.092103,0.096140,0.103132,0.116712,0.142409,0.194569,0.314929", \ "0.116858,0.121085,0.128374,0.142581,0.169372,0.220397,0.324948"); } } timing () { related_pin : "A"; when : "!B1 & !B2 & !C1 & C2"; sdf_cond : "(B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.010813,0.011502,0.012684,0.015011,0.019526,0.028224,0.045001", \ "0.016541,0.017191,0.018323,0.020571,0.024995,0.033613,0.050336", \ "0.032173,0.033238,0.035018,0.038242,0.043839,0.053053,0.069385", \ "0.047558,0.049301,0.052234,0.057526,0.066659,0.081453,0.104260", \ "0.058994,0.061590,0.065783,0.073625,0.087174,0.108950,0.141998", \ "0.063866,0.067445,0.073262,0.083848,0.102619,0.132665,0.177877", \ "0.060455,0.065093,0.072693,0.086389,0.110854,0.150266,0.209416"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.127412,0.133863,0.145045,0.167248,0.211309,0.298989,0.473673", \ "0.129388,0.135910,0.147206,0.169626,0.214075,0.302260,0.477449", \ "0.145052,0.151505,0.162684,0.184972,0.229299,0.317609,0.493249", \ "0.176318,0.182730,0.193705,0.215607,0.259706,0.347711,0.523144", \ "0.218077,0.225316,0.237640,0.260350,0.304501,0.392208,0.567379", \ "0.263187,0.271681,0.285998,0.313285,0.363482,0.454499,0.629298", \ "0.313217,0.323246,0.339997,0.371573,0.429020,0.531246,0.711613"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.007910,0.008500,0.009510,0.011481,0.015283,0.022619,0.036968", \ "0.007732,0.008264,0.009218,0.011210,0.015061,0.022463,0.036889", \ "0.014212,0.014624,0.015288,0.016581,0.018912,0.023828,0.036696", \ "0.025515,0.026128,0.027081,0.028898,0.032148,0.037718,0.047014", \ "0.040810,0.041720,0.043226,0.045792,0.050214,0.057544,0.069331", \ "0.060121,0.061366,0.063536,0.067305,0.073273,0.082800,0.097503", \ "0.083175,0.084954,0.087868,0.093206,0.101207,0.113535,0.131769"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.067872,0.073555,0.083472,0.103196,0.142537,0.221017,0.377453", \ "0.067901,0.073570,0.083481,0.103198,0.142526,0.220971,0.377444", \ "0.067927,0.073586,0.083498,0.103178,0.142508,0.221016,0.377480", \ "0.068318,0.073880,0.083671,0.103227,0.142487,0.221007,0.377488", \ "0.077868,0.082539,0.090814,0.107894,0.143792,0.220921,0.377508", \ "0.094125,0.099240,0.108093,0.125463,0.158019,0.226108,0.377437", \ "0.115608,0.120854,0.129918,0.147825,0.181704,0.246643,0.383398"); } } timing () { related_pin : "A"; when : "!B1 & !B2 & C1 & !C2"; sdf_cond : "(B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.011039,0.011728,0.012908,0.015230,0.019740,0.028439,0.045220", \ "0.016753,0.017407,0.018538,0.020785,0.025208,0.033828,0.050555", \ "0.032550,0.033600,0.035353,0.038546,0.044097,0.053263,0.069602", \ "0.048192,0.049927,0.052828,0.058067,0.067105,0.081811,0.104538", \ "0.060081,0.062632,0.066756,0.074497,0.087902,0.109535,0.142426", \ "0.065516,0.069013,0.074758,0.085224,0.103722,0.133549,0.178527", \ "0.062793,0.067356,0.074831,0.088413,0.112554,0.151584,0.210397"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.153823,0.160315,0.171552,0.193946,0.238350,0.326244,0.501254", \ "0.156247,0.162793,0.174117,0.196697,0.241274,0.329645,0.505061", \ "0.171712,0.178211,0.189496,0.211968,0.256568,0.345088,0.520919", \ "0.202783,0.209230,0.220316,0.242412,0.286847,0.375125,0.550812", \ "0.247244,0.253925,0.265314,0.287309,0.331505,0.419561,0.594970", \ "0.297452,0.305319,0.318690,0.344536,0.392858,0.481802,0.656910", \ "0.353557,0.362670,0.378032,0.407418,0.462001,0.561032,0.739120"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.008627,0.009231,0.010275,0.012290,0.016204,0.023749,0.038481", \ "0.008396,0.008961,0.009951,0.012011,0.015973,0.023589,0.038400", \ "0.015235,0.015626,0.016254,0.017475,0.019750,0.024890,0.038200", \ "0.028280,0.028764,0.029544,0.031117,0.034080,0.039393,0.048531", \ "0.047119,0.047690,0.048767,0.050691,0.054289,0.060809,0.071901", \ "0.070952,0.071716,0.073072,0.075708,0.080159,0.088076,0.101363", \ "0.098580,0.099642,0.101460,0.105117,0.110957,0.120893,0.136980"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.080900,0.086685,0.096792,0.116807,0.156658,0.235532,0.392648", \ "0.080902,0.086686,0.096791,0.116821,0.156619,0.235528,0.392665", \ "0.080912,0.086688,0.096793,0.116833,0.156617,0.235529,0.392662", \ "0.080996,0.086747,0.096828,0.116824,0.156568,0.235568,0.392652", \ "0.086478,0.091569,0.100531,0.118812,0.156764,0.235480,0.392651", \ "0.102489,0.107726,0.116817,0.134461,0.167490,0.238521,0.392645", \ "0.122656,0.128091,0.137485,0.155874,0.190455,0.256112,0.396818"); } } timing () { related_pin : "A"; when : "!B1 & B2 & !C1 & !C2"; sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.012844,0.013544,0.014739,0.017066,0.021548,0.030150,0.046767", \ "0.018414,0.019087,0.020251,0.022535,0.026975,0.035547,0.052154", \ "0.035203,0.036172,0.037809,0.040801,0.046064,0.054893,0.071252", \ "0.052426,0.054024,0.056714,0.061611,0.070161,0.084308,0.106463", \ "0.066094,0.068451,0.072286,0.079515,0.092224,0.113003,0.145073", \ "0.073309,0.076576,0.081923,0.091733,0.109369,0.138097,0.181967", \ "0.072176,0.076459,0.083505,0.096298,0.119391,0.157167,0.214621"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.147202,0.154100,0.166055,0.189816,0.236824,0.330322,0.516573", \ "0.148515,0.155477,0.167567,0.191551,0.238973,0.333056,0.519804", \ "0.162482,0.169347,0.181317,0.205171,0.252501,0.346654,0.533931", \ "0.193206,0.200030,0.211804,0.235241,0.282314,0.376191,0.563276", \ "0.238367,0.245822,0.258158,0.281637,0.328637,0.422244,0.608980", \ "0.288343,0.297263,0.312232,0.340647,0.393109,0.487980,0.674395", \ "0.342779,0.353470,0.371317,0.404796,0.465590,0.572780,0.761799"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.009083,0.009626,0.010560,0.012397,0.016013,0.023157,0.037424", \ "0.008704,0.009281,0.010265,0.012174,0.015872,0.023092,0.037405", \ "0.014025,0.014436,0.015116,0.016407,0.018774,0.024055,0.037293", \ "0.024749,0.025374,0.026359,0.028259,0.031611,0.037317,0.046781", \ "0.039425,0.040361,0.041923,0.044630,0.049229,0.056821,0.068862", \ "0.058263,0.059579,0.061764,0.065689,0.071864,0.081737,0.096807", \ "0.081057,0.082870,0.085807,0.091232,0.099459,0.112174,0.130843"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.079801,0.085750,0.096096,0.116720,0.157654,0.239190,0.401618", \ "0.079821,0.085765,0.096113,0.116712,0.157668,0.239263,0.401612", \ "0.079836,0.085778,0.096110,0.116710,0.157682,0.239186,0.401608", \ "0.079950,0.085866,0.096166,0.116718,0.157653,0.239208,0.401617", \ "0.087099,0.092078,0.100907,0.119261,0.157985,0.239141,0.401612", \ "0.107271,0.112265,0.120927,0.137843,0.170018,0.241963,0.401605", \ "0.133197,0.138311,0.147127,0.164520,0.197605,0.261116,0.404697"); } } timing () { related_pin : "A"; when : "!B1 & B2 & !C1 & C2"; sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.010810,0.011500,0.012682,0.015007,0.019520,0.028214,0.044983", \ "0.016564,0.017216,0.018348,0.020597,0.025022,0.033639,0.050354", \ "0.032316,0.033376,0.035146,0.038357,0.043943,0.053138,0.069465", \ "0.047818,0.049563,0.052478,0.057761,0.066854,0.081614,0.104383", \ "0.059229,0.061838,0.066027,0.073859,0.087402,0.109151,0.142151", \ "0.063775,0.067374,0.073223,0.083849,0.102664,0.132780,0.178000", \ "0.059550,0.064241,0.071935,0.085808,0.110458,0.150071,0.209408"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.158972,0.166963,0.180752,0.208196,0.262523,0.370541,0.585693", \ "0.159871,0.167926,0.181873,0.209598,0.264379,0.373044,0.588820", \ "0.173609,0.181581,0.195394,0.222914,0.277589,0.386383,0.602711", \ "0.203664,0.211560,0.225172,0.252276,0.306636,0.415080,0.631195", \ "0.246543,0.254859,0.268919,0.295982,0.350222,0.458337,0.674023", \ "0.293752,0.303541,0.320073,0.351810,0.410608,0.519082,0.734370", \ "0.346905,0.358284,0.377354,0.413433,0.479623,0.598745,0.815016"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.007911,0.008502,0.009514,0.011483,0.015285,0.022620,0.036969", \ "0.007740,0.008272,0.009229,0.011219,0.015070,0.022470,0.036891", \ "0.014151,0.014567,0.015233,0.016520,0.018870,0.023804,0.036694", \ "0.025373,0.025975,0.026941,0.028763,0.032026,0.037620,0.046947", \ "0.040623,0.041526,0.043026,0.045631,0.050053,0.057402,0.069224", \ "0.060016,0.061321,0.063442,0.067223,0.073167,0.082690,0.097398", \ "0.083448,0.085206,0.088112,0.093398,0.101363,0.113600,0.131749"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.084859,0.091818,0.103925,0.128171,0.176242,0.272068,0.462953", \ "0.084902,0.091845,0.103949,0.128200,0.176187,0.272056,0.462954", \ "0.084941,0.091873,0.103957,0.128178,0.176198,0.272073,0.462951", \ "0.085112,0.092005,0.104051,0.128205,0.176205,0.272047,0.462949", \ "0.091551,0.097584,0.108251,0.130207,0.176457,0.272033,0.462955", \ "0.108643,0.114833,0.125551,0.146254,0.186247,0.273719,0.462942", \ "0.130749,0.136995,0.147784,0.169197,0.210067,0.289066,0.464530"); } } timing () { related_pin : "A"; when : "!B1 & B2 & C1 & !C2"; sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.011038,0.011728,0.012909,0.015228,0.019736,0.028432,0.045205", \ "0.016778,0.017431,0.018566,0.020813,0.025238,0.033855,0.050577", \ "0.032696,0.033735,0.035487,0.038665,0.044203,0.053349,0.069685", \ "0.048460,0.050180,0.053074,0.058298,0.067308,0.081976,0.104663", \ "0.060324,0.062881,0.067000,0.074721,0.088124,0.109726,0.142586", \ "0.065443,0.068955,0.074731,0.085219,0.103790,0.133669,0.178660", \ "0.061931,0.066515,0.074126,0.087819,0.112107,0.151387,0.210383"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.192024,0.200026,0.213876,0.241510,0.296168,0.404401,0.619957", \ "0.193466,0.201529,0.215478,0.243264,0.298281,0.407052,0.623157", \ "0.206962,0.214974,0.228871,0.256622,0.311695,0.420528,0.637149", \ "0.236739,0.244727,0.258412,0.285712,0.340515,0.449187,0.665590", \ "0.280500,0.288501,0.302312,0.329405,0.383857,0.492356,0.708374", \ "0.333702,0.342845,0.358377,0.388540,0.444712,0.552965,0.768658", \ "0.393164,0.403576,0.421196,0.455025,0.518142,0.633817,0.849240"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.008639,0.009251,0.010288,0.012305,0.016223,0.023762,0.038498", \ "0.008416,0.008977,0.009978,0.012037,0.015994,0.023614,0.038420", \ "0.015194,0.015575,0.016206,0.017438,0.019715,0.024890,0.038225", \ "0.028145,0.028630,0.029416,0.030999,0.033984,0.039319,0.048474", \ "0.046938,0.047521,0.048588,0.050525,0.054145,0.060689,0.071807", \ "0.070901,0.071660,0.073007,0.075636,0.080065,0.087975,0.101268", \ "0.098914,0.099952,0.101748,0.105338,0.111139,0.120973,0.136966"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.101121,0.108216,0.120564,0.145191,0.193859,0.290232,0.481985", \ "0.101129,0.108221,0.120566,0.145171,0.193820,0.290226,0.481987", \ "0.101139,0.108227,0.120567,0.145189,0.193960,0.290245,0.481997", \ "0.101182,0.108260,0.120587,0.145157,0.193825,0.290238,0.481994", \ "0.103940,0.110458,0.121942,0.145671,0.193781,0.290253,0.481993", \ "0.119752,0.126125,0.136581,0.157248,0.199811,0.290664,0.481980", \ "0.140265,0.146734,0.157900,0.179953,0.221553,0.302788,0.482595"); } } timing () { related_pin : "A"; when : "B1 & !B2 & !C1 & !C2"; sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.013080,0.013778,0.014968,0.017290,0.021766,0.030365,0.046988", \ "0.018639,0.019312,0.020474,0.022755,0.027191,0.035762,0.052374", \ "0.035547,0.036505,0.038118,0.041083,0.046316,0.055102,0.071471", \ "0.053031,0.054599,0.057258,0.062102,0.070595,0.084654,0.106738", \ "0.067077,0.069403,0.073160,0.080306,0.092908,0.113567,0.145506", \ "0.074798,0.078020,0.083303,0.092968,0.110421,0.138943,0.182615", \ "0.074317,0.078504,0.085501,0.098150,0.120943,0.158400,0.215577"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.175678,0.182614,0.194610,0.218580,0.265998,0.359580,0.546160", \ "0.177474,0.184446,0.196546,0.220650,0.268296,0.362413,0.549482", \ "0.191204,0.198179,0.210195,0.234193,0.281998,0.376179,0.563708", \ "0.221733,0.228630,0.240497,0.264110,0.311539,0.405659,0.592967", \ "0.268139,0.275078,0.287057,0.310464,0.357658,0.451617,0.638657", \ "0.324515,0.332738,0.346639,0.373396,0.423336,0.517234,0.704023", \ "0.386058,0.395732,0.412021,0.443020,0.500525,0.603920,0.791338"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.009829,0.010385,0.011345,0.013220,0.016938,0.024294,0.038951", \ "0.009442,0.010033,0.011046,0.012998,0.016792,0.024232,0.038934", \ "0.014976,0.015372,0.016020,0.017271,0.019623,0.025148,0.038824", \ "0.027183,0.027733,0.028599,0.030318,0.033459,0.038952,0.048276", \ "0.045003,0.045689,0.046937,0.049116,0.053076,0.059980,0.071417", \ "0.068135,0.069014,0.070568,0.073536,0.078424,0.086851,0.100615", \ "0.095298,0.096495,0.098513,0.102499,0.108829,0.119335,0.135981"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.093738,0.099780,0.110291,0.131154,0.172723,0.254552,0.417637", \ "0.093737,0.099782,0.110295,0.131151,0.172654,0.254554,0.417659", \ "0.093751,0.099786,0.110290,0.131165,0.172709,0.254549,0.417661", \ "0.093766,0.099801,0.110307,0.131168,0.172574,0.254566,0.417638", \ "0.097050,0.102502,0.112136,0.131720,0.172505,0.254567,0.417652", \ "0.115257,0.120380,0.129215,0.145778,0.180402,0.255657,0.417631", \ "0.139722,0.145014,0.154142,0.172038,0.205844,0.271261,0.419332"); } } timing () { related_pin : "A"; when : "B1 & !B2 & !C1 & C2"; sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.011038,0.011728,0.012909,0.015228,0.019736,0.028432,0.045205", \ "0.016778,0.017431,0.018566,0.020813,0.025238,0.033855,0.050577", \ "0.032696,0.033735,0.035487,0.038665,0.044203,0.053349,0.069685", \ "0.048460,0.050180,0.053074,0.058298,0.067308,0.081976,0.104663", \ "0.060324,0.062881,0.067000,0.074721,0.088124,0.109726,0.142586", \ "0.065443,0.068955,0.074731,0.085219,0.103790,0.133669,0.178660", \ "0.061931,0.066515,0.074126,0.087819,0.112107,0.151387,0.210383"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.192024,0.200026,0.213876,0.241510,0.296168,0.404401,0.619957", \ "0.193466,0.201529,0.215478,0.243264,0.298281,0.407052,0.623157", \ "0.206962,0.214974,0.228871,0.256622,0.311695,0.420528,0.637149", \ "0.236739,0.244727,0.258412,0.285712,0.340515,0.449187,0.665590", \ "0.280500,0.288501,0.302312,0.329405,0.383857,0.492356,0.708374", \ "0.333702,0.342845,0.358377,0.388540,0.444712,0.552965,0.768658", \ "0.393164,0.403576,0.421196,0.455025,0.518142,0.633817,0.849240"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.008639,0.009251,0.010288,0.012305,0.016223,0.023762,0.038498", \ "0.008416,0.008977,0.009978,0.012037,0.015994,0.023614,0.038420", \ "0.015194,0.015575,0.016206,0.017438,0.019715,0.024890,0.038225", \ "0.028145,0.028630,0.029416,0.030999,0.033984,0.039319,0.048474", \ "0.046938,0.047521,0.048588,0.050525,0.054145,0.060689,0.071807", \ "0.070901,0.071660,0.073007,0.075636,0.080065,0.087975,0.101268", \ "0.098914,0.099952,0.101748,0.105338,0.111139,0.120973,0.136966"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.101121,0.108216,0.120564,0.145191,0.193859,0.290232,0.481985", \ "0.101129,0.108221,0.120566,0.145171,0.193820,0.290226,0.481987", \ "0.101139,0.108227,0.120567,0.145189,0.193960,0.290245,0.481997", \ "0.101182,0.108260,0.120587,0.145157,0.193825,0.290238,0.481994", \ "0.103940,0.110458,0.121942,0.145671,0.193781,0.290253,0.481993", \ "0.119752,0.126125,0.136581,0.157248,0.199811,0.290664,0.481980", \ "0.140265,0.146734,0.157900,0.179953,0.221553,0.302788,0.482595"); } } timing () { related_pin : "A"; when : "B1 & !B2 & C1 & !C2"; sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.011267,0.011953,0.013132,0.015448,0.019954,0.028648,0.045427", \ "0.016993,0.017648,0.018781,0.021028,0.025451,0.034070,0.050797", \ "0.033056,0.034087,0.035816,0.038965,0.044459,0.053556,0.069903", \ "0.049110,0.050806,0.053649,0.058816,0.067746,0.082329,0.104938", \ "0.061399,0.063914,0.067953,0.075563,0.088828,0.110291,0.143019", \ "0.067100,0.070543,0.076203,0.086493,0.104888,0.134528,0.179318", \ "0.064318,0.068847,0.076287,0.089740,0.113753,0.152666,0.211337"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.225263,0.233294,0.247211,0.274857,0.329695,0.438342,0.654165", \ "0.227131,0.235197,0.249190,0.276963,0.332071,0.441083,0.657413", \ "0.240533,0.248590,0.262534,0.290327,0.345429,0.454673,0.671511", \ "0.270113,0.278146,0.291866,0.319296,0.374276,0.483277,0.699907", \ "0.313840,0.321835,0.335667,0.362889,0.417612,0.526341,0.742640", \ "0.371308,0.380017,0.394900,0.423640,0.478423,0.586935,0.802912", \ "0.435936,0.445703,0.462318,0.494497,0.555332,0.667834,0.883325"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.009582,0.010200,0.011259,0.013342,0.017365,0.025137,0.040271", \ "0.009292,0.009879,0.010922,0.013038,0.017128,0.024980,0.040192", \ "0.016562,0.016891,0.017446,0.018566,0.020738,0.026200,0.039989", \ "0.032261,0.032514,0.032969,0.034063,0.036494,0.041351,0.050195", \ "0.054385,0.054674,0.055303,0.056462,0.059009,0.064409,0.074571", \ "0.080893,0.081307,0.082137,0.083846,0.086955,0.093298,0.105155", \ "0.112142,0.112710,0.113816,0.116206,0.120287,0.128069,0.142084"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.117629,0.124834,0.137373,0.162327,0.211394,0.308494,0.501001", \ "0.117632,0.124833,0.137374,0.162326,0.211375,0.308471,0.501001", \ "0.117633,0.124834,0.137372,0.162329,0.211390,0.308482,0.501006", \ "0.117644,0.124843,0.137371,0.162309,0.211375,0.308486,0.501002", \ "0.118369,0.125399,0.137730,0.162446,0.211410,0.308476,0.501005", \ "0.131074,0.137197,0.147958,0.169851,0.214655,0.308567,0.500994", \ "0.151280,0.157851,0.169192,0.191555,0.232853,0.317454,0.501148"); } } timing () { related_pin : "B1"; when : "!A & B2 & !C1 & !C2"; sdf_cond : "(A == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.028084,0.029627,0.032250,0.037339,0.047092,0.065610,0.100862", \ "0.032712,0.034223,0.036825,0.041869,0.051564,0.070027,0.105238", \ "0.050890,0.052285,0.054640,0.059270,0.068515,0.086631,0.121641", \ "0.076430,0.078504,0.081905,0.088505,0.100185,0.119994,0.154171", \ "0.099111,0.102008,0.106605,0.115576,0.131852,0.159317,0.203340", \ "0.116145,0.119922,0.126052,0.137600,0.158948,0.195057,0.252690", \ "0.125876,0.130605,0.138367,0.152985,0.179667,0.225057,0.297660"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.169368,0.176307,0.188342,0.212050,0.259114,0.352626,0.538887", \ "0.172113,0.179164,0.191390,0.215528,0.263156,0.357343,0.544195", \ "0.187818,0.194803,0.206974,0.230977,0.278726,0.373428,0.561197", \ "0.218325,0.225208,0.237009,0.260732,0.308115,0.402440,0.590047", \ "0.260496,0.267537,0.279368,0.302721,0.349774,0.443646,0.630860", \ "0.306649,0.314635,0.328205,0.354154,0.404329,0.498635,0.685457", \ "0.354500,0.363567,0.379020,0.408379,0.463822,0.566544,0.755555"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.022098,0.023237,0.025187,0.029041,0.036538,0.051140,0.079864", \ "0.021646,0.022807,0.024796,0.028708,0.036285,0.050975,0.079788", \ "0.021939,0.022856,0.024485,0.027925,0.035259,0.050455,0.079612", \ "0.034181,0.035129,0.036711,0.039590,0.044901,0.054901,0.079626", \ "0.050646,0.051951,0.054204,0.058127,0.064939,0.076587,0.096129", \ "0.070842,0.072555,0.075564,0.080906,0.089789,0.104485,0.127990", \ "0.094545,0.096794,0.100658,0.107539,0.118970,0.137444,0.166037"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.079782,0.085734,0.096129,0.116683,0.157660,0.239192,0.401609", \ "0.079810,0.085754,0.096111,0.116705,0.157653,0.239209,0.401616", \ "0.079825,0.085765,0.096141,0.116691,0.157650,0.239189,0.401634", \ "0.079858,0.085798,0.096160,0.116695,0.157650,0.239258,0.401610", \ "0.082901,0.088374,0.097975,0.117530,0.157813,0.239143,0.401618", \ "0.095305,0.100816,0.110386,0.128911,0.164897,0.240804,0.401639", \ "0.111511,0.117185,0.127089,0.146339,0.183489,0.254538,0.404339"); } } timing () { related_pin : "B1"; when : "!A & B2 & !C1 & C2"; sdf_cond : "(A == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.022215,0.023744,0.026362,0.031478,0.041331,0.060120,0.095828", \ "0.027092,0.028583,0.031152,0.036185,0.045937,0.064616,0.100240", \ "0.045610,0.047128,0.049688,0.054378,0.063423,0.081490,0.116722", \ "0.068532,0.070806,0.074572,0.081716,0.094184,0.114980,0.149538", \ "0.088408,0.091590,0.096581,0.106393,0.123789,0.152632,0.198123", \ "0.102330,0.106483,0.113172,0.125693,0.148510,0.186461,0.246052", \ "0.108656,0.113828,0.122271,0.138029,0.166531,0.214254,0.289335"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.180965,0.188975,0.202862,0.230314,0.284692,0.392709,0.607871", \ "0.183257,0.191421,0.205549,0.233422,0.288495,0.397294,0.613143", \ "0.198495,0.206558,0.220557,0.248356,0.303516,0.412891,0.629761", \ "0.228727,0.236690,0.250302,0.277740,0.332490,0.441409,0.658159", \ "0.270301,0.278361,0.291989,0.318980,0.373307,0.481769,0.698002", \ "0.315827,0.324804,0.340140,0.369465,0.426108,0.534415,0.750159", \ "0.362906,0.372911,0.389982,0.422646,0.484391,0.599890,0.816224"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.019004,0.020275,0.022432,0.026625,0.034632,0.049807,0.078960", \ "0.018553,0.019838,0.022017,0.026244,0.034299,0.049560,0.078810", \ "0.021402,0.022143,0.023524,0.026589,0.033509,0.048757,0.078435", \ "0.034936,0.035860,0.037404,0.040204,0.045389,0.054920,0.078670", \ "0.052310,0.053601,0.055816,0.059592,0.066193,0.077520,0.096683", \ "0.073462,0.075118,0.078061,0.083223,0.091777,0.105995,0.128963", \ "0.098160,0.100330,0.104065,0.110750,0.121793,0.139605,0.167434"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.084845,0.091802,0.104024,0.128151,0.176257,0.272061,0.462946", \ "0.084877,0.091829,0.104030,0.128156,0.176255,0.272080,0.462950", \ "0.084924,0.091866,0.104056,0.128156,0.176256,0.272045,0.462951", \ "0.084991,0.091906,0.104089,0.128160,0.176267,0.272064,0.462949", \ "0.087567,0.094017,0.105474,0.128768,0.176275,0.272050,0.462956", \ "0.098846,0.105361,0.116745,0.138379,0.181691,0.272702,0.462945", \ "0.113308,0.120019,0.131731,0.154525,0.198615,0.283622,0.464163"); } } timing () { related_pin : "B1"; when : "!A & B2 & C1 & !C2"; sdf_cond : "(A == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.022750,0.024276,0.026888,0.031998,0.041843,0.060634,0.096365", \ "0.027613,0.029103,0.031670,0.036696,0.046444,0.065128,0.100776", \ "0.046167,0.047669,0.050203,0.054843,0.063905,0.081990,0.117256", \ "0.069458,0.071712,0.075412,0.082476,0.094840,0.115524,0.150068", \ "0.089825,0.092954,0.097906,0.107574,0.124812,0.153474,0.198809", \ "0.104365,0.108427,0.115083,0.127440,0.150004,0.187691,0.247029", \ "0.111461,0.116512,0.124947,0.140554,0.168697,0.216022,0.290762"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.214060,0.222082,0.235969,0.263634,0.318230,0.426488,0.642006", \ "0.217170,0.225294,0.239353,0.267348,0.322361,0.431298,0.647349", \ "0.232232,0.240326,0.254404,0.282406,0.337639,0.447084,0.664116", \ "0.261995,0.270015,0.283712,0.311444,0.366474,0.475465,0.692652", \ "0.303636,0.311618,0.325260,0.352427,0.407032,0.515772,0.732316", \ "0.352764,0.361406,0.376191,0.404805,0.459914,0.568321,0.784403", \ "0.403960,0.413447,0.429724,0.461214,0.521269,0.634609,0.850379"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.022277,0.023593,0.025827,0.030147,0.038390,0.053927,0.083280", \ "0.021753,0.023077,0.025341,0.029710,0.038033,0.053669,0.083130", \ "0.024020,0.024842,0.026390,0.029763,0.037104,0.052835,0.082754", \ "0.039885,0.040622,0.041935,0.044401,0.049210,0.058750,0.082923", \ "0.061315,0.062187,0.063861,0.066831,0.072378,0.082621,0.100854", \ "0.086652,0.087791,0.089865,0.093812,0.100674,0.113031,0.134294", \ "0.115661,0.117155,0.119745,0.124726,0.133480,0.148756,0.174198"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.101097,0.108192,0.120678,0.145229,0.193800,0.290186,0.481892", \ "0.101106,0.108196,0.120681,0.145234,0.193788,0.290239,0.481893", \ "0.101116,0.108204,0.120671,0.145228,0.193785,0.290172,0.481891", \ "0.101128,0.108213,0.120684,0.145236,0.193844,0.290174,0.481905", \ "0.101962,0.108871,0.121127,0.145326,0.193705,0.290186,0.481894", \ "0.112089,0.118503,0.129607,0.151810,0.196891,0.290336,0.481898", \ "0.126046,0.132892,0.144844,0.167907,0.212337,0.298792,0.482376"); } } timing () { related_pin : "B2"; when : "!A & B1 & !C1 & !C2"; sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.030918,0.032449,0.035062,0.040128,0.049848,0.068351,0.103589", \ "0.036027,0.037539,0.040132,0.045171,0.054854,0.073315,0.108523", \ "0.051841,0.053322,0.055806,0.060658,0.070172,0.088527,0.123709", \ "0.076168,0.078000,0.081062,0.086912,0.097718,0.117196,0.152452", \ "0.102477,0.104877,0.108875,0.116401,0.130126,0.154002,0.194767", \ "0.126406,0.129644,0.134934,0.144729,0.162803,0.193188,0.242689", \ "0.144963,0.149157,0.155958,0.168593,0.191869,0.230822,0.292288"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.194776,0.201651,0.213557,0.237317,0.284531,0.377970,0.564395", \ "0.198479,0.205410,0.217385,0.241298,0.288707,0.382373,0.569006", \ "0.215735,0.222683,0.234683,0.258643,0.306165,0.400203,0.587248", \ "0.246628,0.253525,0.265363,0.289200,0.336614,0.430635,0.617677", \ "0.289252,0.296174,0.308049,0.331294,0.378488,0.472430,0.659389", \ "0.339121,0.346770,0.359844,0.385036,0.433731,0.527567,0.714378", \ "0.391216,0.399780,0.414399,0.442645,0.496370,0.596917,0.784689"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.021912,0.023061,0.025018,0.028889,0.036417,0.051065,0.079834", \ "0.021623,0.022776,0.024759,0.028652,0.036223,0.050927,0.079762", \ "0.021532,0.022602,0.024470,0.028208,0.035715,0.050674,0.079675", \ "0.027329,0.028326,0.030049,0.033479,0.040020,0.052756,0.079809", \ "0.037428,0.038524,0.040341,0.043866,0.050554,0.063363,0.087958", \ "0.051995,0.053271,0.055494,0.059598,0.066755,0.079782,0.104388", \ "0.070271,0.071922,0.074631,0.079777,0.088256,0.102570,0.127554"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.093749,0.099788,0.110304,0.131142,0.172624,0.254595,0.417642", \ "0.093744,0.099784,0.110301,0.131137,0.172631,0.254554,0.417653", \ "0.093752,0.099788,0.110304,0.131150,0.172647,0.254583,0.417657", \ "0.093750,0.099788,0.110319,0.131167,0.172638,0.254624,0.417641", \ "0.094916,0.100697,0.110906,0.131370,0.172499,0.254569,0.417653", \ "0.105986,0.111580,0.121116,0.139720,0.177201,0.255155,0.417630", \ "0.121453,0.127260,0.137304,0.156893,0.194343,0.266575,0.419380"); } } timing () { related_pin : "B2"; when : "!A & B1 & !C1 & C2"; sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.025108,0.026626,0.029227,0.034315,0.044132,0.062885,0.098572", \ "0.030375,0.031873,0.034448,0.039488,0.049243,0.067917,0.103537", \ "0.046308,0.047841,0.050414,0.055340,0.064807,0.083246,0.118743", \ "0.069187,0.071156,0.074437,0.080611,0.091866,0.111909,0.147574", \ "0.093180,0.095854,0.100243,0.108435,0.123033,0.147857,0.189544", \ "0.114144,0.117715,0.123509,0.134305,0.153740,0.185705,0.236657", \ "0.129103,0.133769,0.141308,0.155142,0.180274,0.221431,0.285072"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.210563,0.218489,0.232233,0.259621,0.314070,0.422112,0.637477", \ "0.213980,0.221970,0.235811,0.263379,0.318020,0.426406,0.641962", \ "0.230929,0.238929,0.252791,0.280435,0.335289,0.444002,0.660029", \ "0.261561,0.269541,0.283205,0.310641,0.365514,0.474077,0.690188", \ "0.303462,0.311423,0.325141,0.352139,0.406624,0.515107,0.731021", \ "0.352496,0.361134,0.375959,0.404520,0.459709,0.567976,0.783722", \ "0.403574,0.413076,0.429322,0.460901,0.520963,0.634328,0.849988"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.018873,0.020145,0.022307,0.026499,0.034510,0.049722,0.078908", \ "0.018590,0.019852,0.022015,0.026217,0.034251,0.049504,0.078772", \ "0.019655,0.020682,0.022486,0.026197,0.033773,0.049041,0.078548", \ "0.026711,0.027697,0.029370,0.032713,0.039217,0.051887,0.078720", \ "0.038120,0.039168,0.040912,0.044272,0.050694,0.063153,0.087509", \ "0.053708,0.054958,0.057072,0.061021,0.067840,0.080380,0.104376", \ "0.072901,0.074480,0.077094,0.082051,0.090175,0.103919,0.128132"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.101127,0.108219,0.120581,0.145168,0.193819,0.290237,0.481986", \ "0.101131,0.108222,0.120581,0.145179,0.193816,0.290294,0.481986", \ "0.101139,0.108227,0.120584,0.145177,0.193834,0.290312,0.481995", \ "0.101149,0.108236,0.120587,0.145174,0.193961,0.290313,0.481988", \ "0.101996,0.108905,0.121027,0.145336,0.193772,0.290285,0.481989", \ "0.111815,0.118380,0.129604,0.151909,0.196985,0.290386,0.481979", \ "0.125594,0.132470,0.144342,0.167593,0.212110,0.298946,0.482531"); } } timing () { related_pin : "B2"; when : "!A & B1 & C1 & !C2"; sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.025641,0.027154,0.029753,0.034829,0.044644,0.063398,0.099107", \ "0.030898,0.032397,0.034964,0.039999,0.049750,0.068426,0.104074", \ "0.046859,0.048380,0.050934,0.055832,0.065300,0.083755,0.119281", \ "0.069919,0.071881,0.075120,0.081238,0.092447,0.112443,0.148107", \ "0.094263,0.096904,0.101225,0.109334,0.123799,0.148528,0.190150", \ "0.115691,0.119245,0.124909,0.135610,0.154823,0.186599,0.237408", \ "0.131259,0.135858,0.143300,0.156935,0.181806,0.222688,0.286073"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.243644,0.251614,0.265436,0.292916,0.347559,0.456015,0.671653", \ "0.247325,0.255339,0.269223,0.296867,0.351650,0.460289,0.676177", \ "0.264396,0.272431,0.286349,0.314087,0.369031,0.477999,0.694265", \ "0.294913,0.302930,0.316633,0.344166,0.399061,0.508030,0.724486", \ "0.336714,0.344708,0.358472,0.385546,0.440319,0.549029,0.765255", \ "0.388244,0.396637,0.411052,0.438749,0.493388,0.601958,0.817910", \ "0.442854,0.451996,0.467671,0.498334,0.557051,0.668459,0.884137"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.022118,0.023434,0.025673,0.030001,0.038264,0.053831,0.083225", \ "0.021769,0.023084,0.025326,0.029672,0.037975,0.053607,0.083088", \ "0.022548,0.023634,0.025569,0.029501,0.037419,0.053131,0.082862", \ "0.030607,0.031563,0.033179,0.036470,0.043000,0.055843,0.083010", \ "0.044063,0.044932,0.046453,0.049490,0.055571,0.067747,0.091812", \ "0.062689,0.063581,0.065219,0.068397,0.074314,0.085969,0.109212", \ "0.085273,0.086362,0.088265,0.092095,0.098675,0.110805,0.133676"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.117630,0.124834,0.137396,0.162330,0.211384,0.308491,0.500989", \ "0.117632,0.124834,0.137394,0.162311,0.211381,0.308480,0.500986", \ "0.117635,0.124835,0.137395,0.162318,0.211381,0.308493,0.500985", \ "0.117634,0.124838,0.137395,0.162315,0.211429,0.308495,0.500998", \ "0.117875,0.125017,0.137505,0.162371,0.211330,0.308470,0.500992", \ "0.125186,0.131784,0.143328,0.166414,0.213034,0.308529,0.500991", \ "0.139243,0.146152,0.158106,0.181504,0.225891,0.314777,0.501145"); } } timing () { related_pin : "C1"; when : "!A & !B1 & !B2 & C2"; sdf_cond : "(A == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.018962,0.020163,0.022244,0.026391,0.034643,0.051102,0.083961", \ "0.023529,0.024752,0.026874,0.031070,0.039389,0.055908,0.088823", \ "0.038080,0.039788,0.042585,0.047652,0.056386,0.072860,0.105754", \ "0.051110,0.053881,0.058453,0.066698,0.080762,0.103355,0.138449", \ "0.058176,0.062226,0.068764,0.080692,0.101097,0.133492,0.182547", \ "0.057519,0.062941,0.071693,0.087641,0.114966,0.158413,0.223705", \ "0.047994,0.054850,0.066000,0.086121,0.120840,0.176222,0.259308"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.083005,0.089576,0.100893,0.123289,0.167555,0.255447,0.430232", \ "0.082968,0.089688,0.101289,0.124169,0.169207,0.257973,0.433552", \ "0.094877,0.101318,0.112594,0.135083,0.179877,0.268918,0.445389", \ "0.128802,0.134381,0.144482,0.165735,0.209141,0.296666,0.472068", \ "0.173571,0.181285,0.194059,0.218014,0.260653,0.345653,0.518688", \ "0.222879,0.232316,0.248008,0.277197,0.329584,0.418845,0.588366", \ "0.277516,0.288593,0.307044,0.341531,0.403333,0.509065,0.684084"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.011419,0.012447,0.014231,0.017822,0.024995,0.039340,0.068031", \ "0.011423,0.012448,0.014235,0.017823,0.024993,0.039342,0.068032", \ "0.016697,0.017410,0.018631,0.020913,0.026233,0.039337,0.068021", \ "0.029407,0.030410,0.032036,0.035072,0.040487,0.049991,0.070633", \ "0.046605,0.047997,0.050356,0.054416,0.061374,0.073102,0.092532", \ "0.068111,0.069937,0.073029,0.078503,0.087397,0.102033,0.125315", \ "0.093828,0.096165,0.100034,0.107103,0.118349,0.136403,0.164385"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.067552,0.073323,0.083426,0.103174,0.142528,0.221047,0.377459", \ "0.067339,0.073170,0.083332,0.103138,0.142488,0.221018,0.377436", \ "0.066177,0.072270,0.082750,0.102886,0.142444,0.220997,0.377452", \ "0.068779,0.073670,0.082579,0.101405,0.141960,0.220967,0.377443", \ "0.088106,0.092636,0.100397,0.114092,0.145992,0.219984,0.377448", \ "0.110686,0.115815,0.124598,0.140992,0.170724,0.230568,0.377055", \ "0.136230,0.142109,0.152106,0.170872,0.204345,0.263370,0.387035"); } } timing () { related_pin : "C1"; when : "!A & !B1 & B2 & C2"; sdf_cond : "(A == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.018953,0.020153,0.022234,0.026377,0.034626,0.051074,0.083919", \ "0.023521,0.024745,0.026865,0.031057,0.039372,0.055883,0.088776", \ "0.038137,0.039846,0.042639,0.047692,0.056412,0.072875,0.105748", \ "0.051332,0.054122,0.058653,0.066888,0.080906,0.103452,0.138500", \ "0.058431,0.062477,0.069013,0.080945,0.101279,0.133654,0.182648", \ "0.057287,0.062748,0.071558,0.087610,0.114983,0.158502,0.223787", \ "0.046642,0.053593,0.064883,0.085234,0.120256,0.175948,0.259232"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.094186,0.102265,0.116214,0.143839,0.198426,0.306699,0.521989", \ "0.093154,0.101403,0.115673,0.143919,0.199459,0.308847,0.525151", \ "0.103974,0.111832,0.125657,0.153367,0.208680,0.318443,0.535831", \ "0.137277,0.144158,0.156566,0.182802,0.236381,0.344416,0.560626", \ "0.186673,0.195198,0.209363,0.236185,0.286153,0.391501,0.604915", \ "0.240612,0.250982,0.268270,0.300550,0.358921,0.462025,0.671910", \ "0.300314,0.312440,0.332681,0.370638,0.439061,0.557214,0.764377"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.011418,0.012446,0.014236,0.017823,0.024993,0.039340,0.068028", \ "0.011422,0.012447,0.014230,0.017815,0.024994,0.039335,0.068027", \ "0.016667,0.017378,0.018616,0.020896,0.026224,0.039337,0.068020", \ "0.029292,0.030285,0.031925,0.034959,0.040396,0.049935,0.070613", \ "0.046368,0.047785,0.050150,0.054227,0.061240,0.072996,0.092472", \ "0.067951,0.069794,0.072908,0.078361,0.087282,0.101937,0.125249", \ "0.093948,0.096289,0.100166,0.107223,0.118472,0.136469,0.164409"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.083691,0.090940,0.103438,0.127940,0.176212,0.272111,0.462948", \ "0.083152,0.090525,0.103183,0.127835,0.176152,0.272052,0.462957", \ "0.081114,0.088851,0.102039,0.127333,0.176108,0.272107,0.462959", \ "0.080454,0.087128,0.099117,0.124278,0.175356,0.272052,0.462963", \ "0.098153,0.103602,0.112048,0.131347,0.174676,0.271331,0.462950", \ "0.120744,0.126728,0.137067,0.156639,0.192545,0.274087,0.462865", \ "0.146834,0.153542,0.164985,0.186663,0.226109,0.298254,0.464391"); } } timing () { related_pin : "C1"; when : "!A & B1 & !B2 & C2"; sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.019350,0.020553,0.022633,0.026778,0.035038,0.051506,0.084389", \ "0.023919,0.025150,0.027267,0.031462,0.039786,0.056318,0.089251", \ "0.038726,0.040400,0.043160,0.048164,0.056825,0.073307,0.106223", \ "0.052369,0.055096,0.059583,0.067702,0.081586,0.104006,0.138975", \ "0.060080,0.064083,0.070477,0.082225,0.102342,0.134505,0.183322", \ "0.059751,0.065101,0.073800,0.089525,0.116625,0.159808,0.224784", \ "0.050101,0.056923,0.068087,0.088068,0.122602,0.177796,0.260645"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.127532,0.135605,0.149572,0.177320,0.232075,0.340653,0.556295", \ "0.127639,0.135863,0.150057,0.178242,0.233611,0.343073,0.559518", \ "0.137344,0.145417,0.159480,0.187528,0.242967,0.352891,0.570388", \ "0.167273,0.174971,0.188341,0.215556,0.269902,0.378456,0.595088", \ "0.220518,0.228257,0.241276,0.265905,0.318320,0.424825,0.639014", \ "0.281952,0.291338,0.307109,0.336958,0.391796,0.494505,0.705522", \ "0.348775,0.359778,0.378282,0.413355,0.477629,0.590657,0.797406"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.012919,0.014048,0.015956,0.019800,0.027447,0.042573,0.071975", \ "0.012918,0.014043,0.015954,0.019795,0.027445,0.042569,0.071973", \ "0.018510,0.019244,0.020449,0.022786,0.028616,0.042570,0.071973", \ "0.034052,0.034852,0.036239,0.038871,0.043950,0.053198,0.074509", \ "0.056388,0.057254,0.058866,0.061872,0.067640,0.078172,0.096621", \ "0.083374,0.084427,0.086354,0.090015,0.096814,0.109247,0.130700", \ "0.115008,0.116295,0.118590,0.123153,0.131410,0.146245,0.171435"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.100842,0.108013,0.120579,0.145162,0.193797,0.290322,0.481996", \ "0.100719,0.107916,0.120508,0.145147,0.193712,0.290319,0.481981", \ "0.100032,0.107387,0.120163,0.144964,0.193680,0.290272,0.481996", \ "0.096734,0.104161,0.117798,0.143806,0.193458,0.290221,0.481983", \ "0.105897,0.111694,0.122309,0.144550,0.191318,0.289955,0.481998", \ "0.129167,0.135221,0.145678,0.165245,0.203330,0.289990,0.481976", \ "0.155545,0.162280,0.173787,0.195426,0.235090,0.309550,0.481986"); } } timing () { related_pin : "C2"; when : "!A & !B1 & !B2 & C1"; sdf_cond : "(A == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.021658,0.022855,0.024927,0.029063,0.037305,0.053755,0.086614", \ "0.026824,0.028045,0.030150,0.034329,0.042636,0.059146,0.092045", \ "0.040764,0.042237,0.044714,0.049395,0.058067,0.074726,0.107764", \ "0.057208,0.059431,0.063057,0.069685,0.081379,0.101551,0.136501", \ "0.070361,0.073753,0.079268,0.089098,0.105860,0.132738,0.175720", \ "0.077094,0.081880,0.089531,0.103441,0.126989,0.163631,0.218445", \ "0.075666,0.082057,0.092294,0.110364,0.141727,0.190243,0.260860"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.107151,0.113610,0.124806,0.147097,0.191259,0.279147,0.454047", \ "0.108130,0.114697,0.126049,0.148601,0.193228,0.281510,0.456805", \ "0.120426,0.126891,0.138243,0.160734,0.205343,0.293960,0.469731", \ "0.152202,0.158409,0.169209,0.191083,0.234895,0.322526,0.497634", \ "0.203393,0.210293,0.221894,0.243844,0.285684,0.371551,0.544803", \ "0.259892,0.268385,0.282617,0.309444,0.358285,0.444224,0.614625", \ "0.321421,0.331439,0.348241,0.380001,0.437777,0.538407,0.710211"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.011418,0.012442,0.014231,0.017820,0.024995,0.039341,0.068023", \ "0.011421,0.012443,0.014236,0.017818,0.024993,0.039337,0.068027", \ "0.013658,0.014557,0.016132,0.019150,0.025546,0.039340,0.068028", \ "0.020906,0.021793,0.023328,0.026395,0.032464,0.044550,0.069486", \ "0.033408,0.034461,0.036141,0.039349,0.045303,0.056945,0.080411", \ "0.050370,0.051655,0.053783,0.057617,0.064226,0.076021,0.098650", \ "0.071170,0.072773,0.075421,0.080363,0.088274,0.101466,0.124317"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.080848,0.086648,0.096778,0.116789,0.156523,0.235561,0.392649", \ "0.080797,0.086612,0.096761,0.116743,0.156563,0.235577,0.392640", \ "0.080457,0.086360,0.096597,0.116682,0.156443,0.235520,0.392663", \ "0.079408,0.085031,0.095051,0.115812,0.156305,0.235465,0.392655", \ "0.093955,0.098059,0.105669,0.122136,0.157153,0.235136,0.392648", \ "0.116338,0.121498,0.130247,0.146832,0.176541,0.241274,0.392513", \ "0.141495,0.147499,0.157576,0.176624,0.210285,0.269641,0.399221"); } } timing () { related_pin : "C2"; when : "!A & !B1 & B2 & C1"; sdf_cond : "(A == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.021649,0.022845,0.024915,0.029049,0.037287,0.053730,0.086567", \ "0.026818,0.028033,0.030140,0.034322,0.042620,0.059120,0.092006", \ "0.040814,0.042284,0.044752,0.049434,0.058093,0.074742,0.107758", \ "0.057417,0.059619,0.063239,0.069841,0.081513,0.101637,0.136553", \ "0.070693,0.074065,0.079583,0.089375,0.106080,0.132930,0.175831", \ "0.077327,0.082179,0.089836,0.103728,0.127246,0.163854,0.218604", \ "0.075396,0.081816,0.092141,0.110375,0.141782,0.190350,0.260982"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.124272,0.132230,0.146007,0.173483,0.227895,0.336153,0.551520", \ "0.124489,0.132568,0.146554,0.174343,0.229260,0.338029,0.553912", \ "0.135706,0.143683,0.157631,0.185376,0.240357,0.349487,0.566003", \ "0.166511,0.174155,0.187483,0.214508,0.268539,0.376594,0.592299", \ "0.219943,0.227674,0.240697,0.265439,0.317583,0.423761,0.637326", \ "0.281652,0.291032,0.306795,0.336619,0.391382,0.493952,0.704504", \ "0.348785,0.359798,0.378304,0.413368,0.477573,0.590410,0.796859"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.011418,0.012443,0.014231,0.017818,0.024995,0.039339,0.068021", \ "0.011417,0.012444,0.014230,0.017819,0.024993,0.039343,0.068027", \ "0.013646,0.014548,0.016123,0.019143,0.025542,0.039339,0.068024", \ "0.020824,0.021719,0.023265,0.026337,0.032420,0.044527,0.069476", \ "0.033236,0.034290,0.035969,0.039208,0.045193,0.056873,0.080374", \ "0.050141,0.051414,0.053542,0.057405,0.064057,0.075886,0.098577", \ "0.071001,0.072606,0.075276,0.080173,0.088141,0.101350,0.124228"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.100764,0.107944,0.120394,0.145092,0.193706,0.290256,0.481903", \ "0.100611,0.107837,0.120324,0.145060,0.193666,0.290188,0.481898", \ "0.099917,0.107280,0.119962,0.144883,0.193642,0.290192,0.481902", \ "0.096756,0.104164,0.117575,0.143751,0.193428,0.290179,0.481902", \ "0.105959,0.111816,0.122417,0.144706,0.191358,0.289940,0.481893", \ "0.128686,0.134773,0.145193,0.164985,0.203360,0.290007,0.481881", \ "0.154350,0.161194,0.172730,0.194709,0.234575,0.309488,0.481939"); } } timing () { related_pin : "C2"; when : "!A & B1 & !B2 & C1"; sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.022045,0.023244,0.025316,0.029451,0.037701,0.054162,0.087044", \ "0.027219,0.028441,0.030543,0.034725,0.043034,0.059554,0.092481", \ "0.041307,0.042768,0.045221,0.049877,0.058511,0.075176,0.108235", \ "0.058175,0.060351,0.063918,0.070467,0.082065,0.102143,0.137040", \ "0.071962,0.075308,0.080698,0.090362,0.106908,0.133598,0.176424", \ "0.079277,0.084014,0.091541,0.105221,0.128471,0.164820,0.219359", \ "0.078171,0.084470,0.094599,0.112566,0.143569,0.191732,0.262031"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.157493,0.165508,0.179337,0.206910,0.261573,0.370076,0.585818", \ "0.158289,0.166386,0.180363,0.208124,0.263172,0.372125,0.588291", \ "0.169329,0.177399,0.191383,0.219247,0.274403,0.383728,0.600447", \ "0.198811,0.206686,0.220291,0.247599,0.302075,0.410575,0.626677", \ "0.250745,0.257968,0.270838,0.297023,0.350303,0.457202,0.671381", \ "0.319395,0.328055,0.342693,0.370590,0.422530,0.526716,0.738167", \ "0.393218,0.403387,0.420563,0.453391,0.514188,0.622719,0.829979"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.012932,0.014034,0.015964,0.019802,0.027446,0.042569,0.071970", \ "0.012934,0.014041,0.015957,0.019799,0.027448,0.042573,0.071971", \ "0.015334,0.016292,0.017889,0.021080,0.027974,0.042574,0.071971", \ "0.023655,0.024550,0.026081,0.029177,0.035438,0.047867,0.073408", \ "0.039069,0.039849,0.041199,0.044008,0.049625,0.061126,0.084496", \ "0.060391,0.061146,0.062555,0.065301,0.070685,0.081403,0.103317", \ "0.085796,0.086670,0.088266,0.091520,0.097400,0.108510,0.129796"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.117555,0.124780,0.137354,0.162353,0.211346,0.308484,0.500991", \ "0.117526,0.124757,0.137347,0.162333,0.211418,0.308489,0.500986", \ "0.117336,0.124612,0.137259,0.162287,0.211366,0.308492,0.501006", \ "0.115838,0.123407,0.136420,0.161866,0.211214,0.308454,0.500986", \ "0.117800,0.124424,0.136145,0.160100,0.209642,0.308370,0.500985", \ "0.138387,0.144472,0.154751,0.174312,0.216272,0.307144,0.500995", \ "0.164625,0.171327,0.182711,0.204482,0.244126,0.322143,0.500245"); } } internal_power () { related_pin : "A"; when : "!B1 & !B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("1.256566,1.303555,1.320604,1.344295,1.405151,1.484456,1.561001", \ "1.275648,1.270609,1.305352,1.335546,1.406861,1.478344,1.555425", \ "1.315067,1.303004,1.310194,1.348740,1.383800,1.457320,1.535585", \ "1.502572,1.507119,1.510753,1.504385,1.502574,1.489063,1.539259", \ "1.749882,1.743136,1.724090,1.730390,1.721729,1.697979,1.661581", \ "2.109010,2.107856,2.092426,2.075879,2.034374,1.982354,1.914092", \ "2.642627,2.633619,2.620280,2.585523,2.521521,2.428806,2.289485"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("6.576018,6.574966,6.590885,6.584525,6.585149,6.631331,6.648672", \ "6.464585,6.475649,6.486194,6.507606,6.519426,6.580256,6.611979", \ "6.368042,6.377507,6.381874,6.404810,6.412041,6.476771,6.521407", \ "6.342452,6.339612,6.346686,6.336699,6.333406,6.409623,6.428417", \ "6.357628,6.353971,6.359172,6.341708,6.323270,6.371367,6.400750", \ "6.432115,6.460315,6.455131,6.443381,6.431458,6.399633,6.437441", \ "6.515789,6.528349,6.545486,6.578261,6.652463,6.610345,6.570135"); } } internal_power () { related_pin : "A"; when : "!B1 & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.979626,0.993837,1.038731,1.073419,1.167804,1.280785,1.383209", \ "0.948958,0.986409,1.027856,1.068144,1.162130,1.266844,1.371947", \ "1.070749,1.083809,1.094825,1.108263,1.150767,1.236239,1.333946", \ "1.296566,1.289230,1.290370,1.290372,1.304722,1.299479,1.334406", \ "1.555257,1.559626,1.553710,1.536636,1.519328,1.492638,1.468529", \ "1.932355,1.927109,1.912307,1.882987,1.844620,1.798740,1.717297", \ "2.463883,2.450339,2.433673,2.396800,2.332479,2.218988,2.084317"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("6.048942,6.052499,6.062372,6.070096,6.089534,6.067630,6.103661", \ "5.940909,5.946495,5.967549,5.971080,5.976978,6.017633,6.068355", \ "5.848288,5.851583,5.863524,5.874336,5.872630,5.917752,5.995942", \ "5.813145,5.823476,5.821740,5.824486,5.808922,5.845371,5.904317", \ "5.828513,5.829791,5.836383,5.828902,5.829779,5.864407,5.862530", \ "5.898985,5.922634,5.928135,5.911758,5.887863,5.895530,5.875790", \ "5.963921,5.977440,6.009796,6.069790,6.090665,6.067074,6.002832"); } } internal_power () { related_pin : "A"; when : "!B1 & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("1.084518,1.082770,1.112696,1.141634,1.199367,1.310502,1.403370", \ "1.074459,1.086035,1.094504,1.149934,1.191515,1.282994,1.377341", \ "1.099316,1.113881,1.122546,1.134706,1.169729,1.251926,1.340019", \ "1.325307,1.322771,1.323651,1.304700,1.299988,1.300019,1.341912", \ "1.572930,1.571352,1.560845,1.553864,1.538100,1.506191,1.466048", \ "1.957590,1.946972,1.926699,1.906815,1.861299,1.797847,1.716639", \ "2.495925,2.479291,2.459456,2.414854,2.346182,2.229633,2.082414"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("7.241591,7.246372,7.261277,7.262161,7.285678,7.333585,7.382916", \ "7.147933,7.162518,7.170793,7.200114,7.250527,7.295302,7.348984", \ "7.050214,7.055010,7.075251,7.091319,7.125445,7.182284,7.264662", \ "7.009889,7.021567,7.027607,7.033649,7.057078,7.109412,7.187574", \ "7.011747,7.023336,7.023972,7.036339,7.026655,7.071521,7.142195", \ "7.098933,7.102010,7.105380,7.101857,7.128859,7.105083,7.158074", \ "7.174310,7.196682,7.225686,7.294381,7.319968,7.266532,7.280921"); } } internal_power () { related_pin : "A"; when : "!B1 & B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("1.333856,1.335712,1.349902,1.388029,1.422861,1.490142,1.553885", \ "1.319939,1.315289,1.342515,1.374560,1.424774,1.497962,1.573792", \ "1.381096,1.383959,1.381079,1.405971,1.441181,1.506145,1.582723", \ "1.556251,1.551957,1.553071,1.561636,1.561195,1.549634,1.597113", \ "1.784682,1.779406,1.777791,1.772611,1.762160,1.749718,1.718349", \ "2.108091,2.108594,2.092618,2.084181,2.051318,2.010550,1.954580", \ "2.592558,2.576175,2.567025,2.543364,2.494506,2.416753,2.298995"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("6.519657,6.526668,6.533835,6.538473,6.550630,6.558943,6.558627", \ "6.418727,6.427896,6.434469,6.460887,6.490869,6.515639,6.528595", \ "6.324688,6.331548,6.342275,6.354973,6.359000,6.384233,6.458817", \ "6.290176,6.295054,6.294951,6.304791,6.298953,6.317143,6.387687", \ "6.294455,6.291262,6.298352,6.286616,6.300896,6.312228,6.324847", \ "6.376058,6.370853,6.372291,6.359009,6.357266,6.351528,6.348338", \ "6.495947,6.506912,6.535424,6.584859,6.538918,6.496547,6.456515"); } } internal_power () { related_pin : "A"; when : "!B1 & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("1.031218,1.038636,1.081196,1.108185,1.187757,1.287155,1.382453", \ "1.032625,1.037914,1.076448,1.113346,1.194259,1.286986,1.388447", \ "1.126450,1.149527,1.153805,1.162976,1.203204,1.283945,1.379970", \ "1.348497,1.360579,1.362480,1.368923,1.361946,1.359850,1.392674", \ "1.592729,1.595381,1.582919,1.585078,1.569567,1.557137,1.524200", \ "1.931013,1.927641,1.918491,1.888961,1.863471,1.821627,1.753666", \ "2.406679,2.395245,2.386662,2.359535,2.306482,2.215799,2.096577"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("5.993061,5.996185,6.012403,6.023802,6.008741,6.043933,6.054310", \ "5.886540,5.898540,5.906788,5.934662,5.948688,5.998963,6.024453", \ "5.794707,5.798146,5.809672,5.814353,5.822462,5.875466,5.912139", \ "5.758296,5.766037,5.772011,5.770882,5.768320,5.813983,5.847254", \ "5.758996,5.766579,5.764530,5.765078,5.750173,5.788105,5.811455", \ "5.837018,5.836942,5.837848,5.830587,5.800416,5.822442,5.831004", \ "5.935041,5.954741,5.996371,6.036478,5.983778,5.931258,5.905756"); } } internal_power () { related_pin : "A"; when : "!B1 & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("1.124587,1.120885,1.148266,1.169656,1.219957,1.310234,1.401669", \ "1.112556,1.132471,1.150363,1.169149,1.213123,1.301850,1.393589", \ "1.162878,1.172981,1.185605,1.189130,1.243385,1.300338,1.394099", \ "1.379436,1.376834,1.381217,1.376628,1.358263,1.360029,1.400190", \ "1.607523,1.608018,1.598834,1.592852,1.583941,1.554901,1.522155", \ "1.957308,1.948427,1.939204,1.915279,1.879181,1.826090,1.757665", \ "2.446999,2.432251,2.411199,2.377811,2.319666,2.221403,2.094866"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("7.199920,7.203929,7.223251,7.232738,7.267043,7.274951,7.305267", \ "7.107754,7.120131,7.131942,7.155082,7.175140,7.234521,7.277171", \ "7.011064,7.022209,7.031707,7.063388,7.092192,7.154529,7.209733", \ "6.967860,6.978153,6.988753,6.994922,7.032612,7.052232,7.144464", \ "6.965051,6.967706,6.976358,6.976565,7.009915,7.024881,7.108737", \ "7.024974,7.032700,7.035529,7.047224,7.054493,7.077668,7.085573", \ "7.179950,7.200543,7.228268,7.230521,7.215983,7.196911,7.200345"); } } internal_power () { related_pin : "A"; when : "B1 & !B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("1.379022,1.380229,1.409393,1.418811,1.451914,1.497882,1.573545", \ "1.386548,1.387330,1.397088,1.421445,1.453495,1.518814,1.583397", \ "1.400714,1.403662,1.412317,1.441455,1.471341,1.518786,1.598026", \ "1.573043,1.578249,1.570296,1.580701,1.566729,1.550688,1.603678", \ "1.795135,1.794099,1.785594,1.772196,1.763908,1.752842,1.717013", \ "2.131114,2.125359,2.120745,2.093844,2.067102,2.021920,1.949698", \ "2.623005,2.611804,2.589769,2.565711,2.503482,2.421553,2.298262"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("7.730083,7.737803,7.750422,7.753932,7.782947,7.799406,7.867222", \ "7.643148,7.652406,7.665792,7.673543,7.729874,7.759104,7.789573", \ "7.544266,7.553096,7.560824,7.577562,7.600695,7.679196,7.722943", \ "7.503485,7.502790,7.518210,7.518739,7.549927,7.564867,7.670591", \ "7.497552,7.502840,7.507838,7.511384,7.531143,7.558573,7.637842", \ "7.562864,7.567121,7.574664,7.580207,7.580986,7.593537,7.654096", \ "7.723153,7.751385,7.771776,7.771709,7.751717,7.729824,7.718354"); } } internal_power () { related_pin : "A"; when : "B1 & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("1.124587,1.120885,1.148266,1.169656,1.219957,1.310234,1.401669", \ "1.112556,1.132471,1.150363,1.169149,1.213123,1.301850,1.393589", \ "1.162878,1.172981,1.185605,1.189130,1.243385,1.300338,1.394099", \ "1.379436,1.376834,1.381217,1.376628,1.358263,1.360029,1.400190", \ "1.607523,1.608018,1.598834,1.592852,1.583941,1.554901,1.522155", \ "1.957308,1.948427,1.939204,1.915279,1.879181,1.826090,1.757665", \ "2.446999,2.432251,2.411199,2.377811,2.319666,2.221403,2.094866"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("7.199920,7.203929,7.223251,7.232738,7.267043,7.274951,7.305267", \ "7.107754,7.120131,7.131942,7.155082,7.175140,7.234521,7.277171", \ "7.011064,7.022209,7.031707,7.063388,7.092192,7.154529,7.209733", \ "6.967860,6.978153,6.988753,6.994922,7.032612,7.052232,7.144464", \ "6.965051,6.967706,6.976358,6.976565,7.009915,7.024881,7.108737", \ "7.024974,7.032700,7.035529,7.047224,7.054493,7.077668,7.085573", \ "7.179950,7.200543,7.228268,7.230521,7.215983,7.196911,7.200345"); } } internal_power () { related_pin : "A"; when : "B1 & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("1.172087,1.174927,1.186497,1.219509,1.271602,1.332218,1.415403", \ "1.164402,1.181163,1.195441,1.188993,1.263482,1.329521,1.413562", \ "1.196964,1.203451,1.211603,1.210164,1.268794,1.318427,1.405056", \ "1.385686,1.384799,1.387450,1.377453,1.377628,1.362676,1.411689", \ "1.624741,1.623678,1.613396,1.603914,1.585874,1.561897,1.521465", \ "1.971387,1.961670,1.948985,1.925709,1.882673,1.828246,1.762533", \ "2.466795,2.454490,2.433964,2.385762,2.323103,2.222480,2.102972"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("8.409292,8.414730,8.434367,8.459413,8.486072,8.550996,8.602901", \ "8.334515,8.340782,8.363155,8.391947,8.439181,8.513228,8.576171", \ "8.229272,8.245298,8.262098,8.290845,8.318426,8.395638,8.469239", \ "8.182259,8.194347,8.203279,8.215868,8.258728,8.332479,8.403133", \ "8.176681,8.181119,8.195124,8.210887,8.237498,8.305138,8.367683", \ "8.229559,8.232088,8.245191,8.263117,8.275842,8.335168,8.386971", \ "8.416330,8.418746,8.423042,8.434700,8.396448,8.428024,8.454999"); } } internal_power () { related_pin : "B1"; when : "!A & B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("1.866901,1.896690,1.926313,2.012168,2.123751,2.266805,2.426480", \ "1.802654,1.832537,1.886056,1.945699,2.044026,2.215075,2.371229", \ "1.759719,1.787279,1.824050,1.872387,1.996444,2.139523,2.301229", \ "1.910156,1.924572,1.914507,1.944429,2.007780,2.121509,2.279518", \ "2.224981,2.223248,2.225861,2.211972,2.236273,2.244222,2.325622", \ "2.605522,2.608652,2.604881,2.591601,2.586409,2.558171,2.512113", \ "3.129570,3.125106,3.122724,3.098412,3.057242,2.997338,2.891459"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("8.020715,8.028466,8.030267,8.046884,8.028990,8.083519,8.084426", \ "7.916543,7.928228,7.941067,7.955329,7.969544,8.041268,8.057111", \ "7.776626,7.785918,7.799423,7.811514,7.827199,7.913071,7.989279", \ "7.716634,7.723754,7.717805,7.721815,7.736902,7.814369,7.850039", \ "7.705028,7.710927,7.697847,7.690889,7.705125,7.724937,7.800130", \ "7.723792,7.730233,7.725729,7.719969,7.719662,7.729428,7.745862", \ "7.697802,7.721259,7.757192,7.823457,7.818233,7.770369,7.814965"); } } internal_power () { related_pin : "B1"; when : "!A & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("1.447891,1.484775,1.514920,1.614469,1.754240,1.959337,2.174889", \ "1.390361,1.426835,1.483966,1.577524,1.714737,1.920003,2.112247", \ "1.383412,1.412561,1.451326,1.531990,1.645435,1.843597,2.037325", \ "1.611713,1.623240,1.625678,1.635658,1.703968,1.845619,2.019709", \ "1.946517,1.954212,1.951427,1.952737,1.971832,1.972071,2.071328", \ "2.344734,2.344751,2.344496,2.327428,2.319119,2.299826,2.266481", \ "2.878801,2.870621,2.859141,2.836232,2.797104,2.732290,2.643289"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("7.469820,7.473507,7.483592,7.494776,7.512316,7.508164,7.517886", \ "7.360209,7.374446,7.395687,7.406103,7.454136,7.467557,7.492750", \ "7.224150,7.229277,7.247056,7.267398,7.315757,7.342999,7.433202", \ "7.167664,7.177412,7.172532,7.183989,7.189536,7.246241,7.292752", \ "7.153705,7.162351,7.163450,7.139341,7.162567,7.210402,7.247367", \ "7.172138,7.174473,7.184636,7.162375,7.151370,7.189498,7.215777", \ "7.143450,7.173219,7.221186,7.266291,7.256639,7.219300,7.228175"); } } internal_power () { related_pin : "B1"; when : "!A & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("1.598386,1.628592,1.669611,1.740983,1.848527,2.001344,2.203500", \ "1.540308,1.569873,1.611835,1.667158,1.787644,1.955641,2.146394", \ "1.516559,1.540053,1.564671,1.637073,1.724431,1.894803,2.070419", \ "1.674599,1.675208,1.677373,1.700055,1.774163,1.871788,2.049637", \ "2.003097,2.001532,1.989922,1.997409,1.992042,1.990488,2.083062", \ "2.405008,2.398564,2.393711,2.371047,2.348779,2.318329,2.275079", \ "2.939015,2.933155,2.909242,2.884012,2.835517,2.750434,2.646596"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("8.679126,8.683306,8.703918,8.708047,8.732299,8.781012,8.812781", \ "8.596900,8.610604,8.624093,8.660315,8.682454,8.744998,8.789541", \ "8.452558,8.463989,8.486682,8.511761,8.549750,8.625993,8.697965", \ "8.383660,8.395066,8.397669,8.416224,8.459196,8.526886,8.596353", \ "8.365522,8.368773,8.379389,8.372449,8.388344,8.450193,8.506385", \ "8.378174,8.381009,8.391404,8.383786,8.413034,8.452264,8.516660", \ "8.412687,8.446911,8.478702,8.474175,8.458639,8.504541,8.528941"); } } internal_power () { related_pin : "B2"; when : "!A & B1 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("1.876958,1.886703,1.942609,2.011724,2.125194,2.265475,2.437075", \ "1.821184,1.850373,1.889226,1.957390,2.067269,2.209614,2.373861", \ "1.755585,1.783478,1.817734,1.882413,1.993263,2.144456,2.304574", \ "1.847479,1.850581,1.863615,1.892752,1.953992,2.108711,2.258632", \ "2.050640,2.050044,2.051910,2.083751,2.119387,2.159243,2.268730", \ "2.286219,2.294474,2.295989,2.305266,2.312350,2.355458,2.374178", \ "2.628735,2.630110,2.624949,2.613680,2.614246,2.617587,2.605005"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("9.112767,9.118744,9.125420,9.123121,9.160581,9.175102,9.189303", \ "9.044023,9.051624,9.059036,9.071913,9.104869,9.124613,9.144655", \ "8.963773,8.963915,8.976581,8.991404,9.014783,9.043561,9.120127", \ "8.914565,8.921859,8.931184,8.930786,8.950612,8.978467,9.059310", \ "8.905037,8.912080,8.913897,8.900780,8.926508,8.954809,9.032847", \ "8.916708,8.924009,8.935151,8.928871,8.941279,8.963891,9.039909", \ "8.929886,8.956047,9.006128,9.006143,8.994108,9.004021,9.066554"); } } internal_power () { related_pin : "B2"; when : "!A & B1 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("1.438103,1.475203,1.532710,1.608269,1.757286,1.956292,2.187481", \ "1.387691,1.424121,1.492884,1.561375,1.732398,1.898845,2.121347", \ "1.362967,1.395037,1.431663,1.525488,1.660684,1.827727,2.047194", \ "1.507941,1.516591,1.533450,1.571888,1.630368,1.806569,1.992772", \ "1.757792,1.754864,1.775463,1.797913,1.829801,1.889213,2.008861", \ "2.016039,2.022680,2.032503,2.042159,2.061184,2.093835,2.132377", \ "2.375438,2.376075,2.371839,2.364460,2.374015,2.368842,2.366003"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("8.562917,8.571930,8.579853,8.590963,8.593220,8.636251,8.663061", \ "8.496955,8.498987,8.514845,8.534103,8.539109,8.588631,8.631676", \ "8.409257,8.420337,8.434356,8.455447,8.490917,8.510044,8.551652", \ "8.370088,8.373533,8.381860,8.396823,8.432603,8.449060,8.492843", \ "8.357694,8.360780,8.371964,8.376812,8.375083,8.430884,8.472038", \ "8.370234,8.373469,8.378468,8.377784,8.404270,8.415662,8.453867", \ "8.385439,8.420119,8.459605,8.468302,8.449573,8.485710,8.514374"); } } internal_power () { related_pin : "B2"; when : "!A & B1 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("1.609329,1.620668,1.671964,1.746366,1.834135,2.009283,2.203644", \ "1.557077,1.568060,1.612893,1.683753,1.797713,1.943595,2.155751", \ "1.505021,1.528767,1.560893,1.611657,1.735907,1.874125,2.077044", \ "1.589461,1.597296,1.599758,1.626270,1.695362,1.855946,2.014837", \ "1.818737,1.818533,1.821164,1.849218,1.862585,1.912410,2.015926", \ "2.076218,2.082771,2.084600,2.087187,2.094149,2.110554,2.142682", \ "2.427829,2.429512,2.418645,2.412916,2.391758,2.393956,2.375492"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("9.768041,9.778835,9.789158,9.804267,9.849386,9.870017,9.917771", \ "9.707039,9.712012,9.732598,9.761305,9.798162,9.823293,9.917959", \ "9.624777,9.637367,9.658433,9.678867,9.716214,9.789018,9.850794", \ "9.583694,9.588723,9.596902,9.622175,9.655188,9.726612,9.793591", \ "9.570642,9.575348,9.591159,9.596304,9.639550,9.668833,9.772712", \ "9.577182,9.588452,9.592934,9.600954,9.629497,9.697408,9.754421", \ "9.652201,9.659274,9.674708,9.696571,9.711843,9.747091,9.772364"); } } internal_power () { related_pin : "C1"; when : "!A & !B1 & !B2 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.545773,0.539910,0.524248,0.500370,0.477221,0.461242,0.463847", \ "0.513807,0.505411,0.503903,0.493418,0.486459,0.492384,0.503293", \ "0.457948,0.464306,0.468115,0.470782,0.489041,0.508801,0.537894", \ "0.584936,0.571686,0.569488,0.555726,0.524532,0.522212,0.548951", \ "0.803398,0.797594,0.784389,0.753274,0.710817,0.650025,0.597016", \ "1.182587,1.166248,1.137745,1.093609,1.025047,0.921733,0.791396", \ "1.710871,1.693347,1.664008,1.595738,1.498894,1.335530,1.135131"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("4.430212,4.444310,4.459480,4.467881,4.482322,4.513203,4.500681", \ "4.270865,4.290108,4.311552,4.348808,4.411895,4.419560,4.479489", \ "4.150296,4.163604,4.173055,4.186808,4.254820,4.321218,4.357418", \ "4.260904,4.258796,4.256987,4.255603,4.226714,4.256288,4.319561", \ "4.549358,4.539250,4.521710,4.485807,4.450010,4.388288,4.350501", \ "4.919181,4.936356,4.979457,4.912913,4.843713,4.739325,4.597932", \ "5.421277,5.416747,5.415779,5.432035,5.396295,5.262705,5.057482"); } } internal_power () { related_pin : "C1"; when : "!A & !B1 & B2 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.600659,0.595013,0.580032,0.551150,0.516395,0.484474,0.466223", \ "0.569029,0.560047,0.555852,0.547200,0.528970,0.505655,0.504765", \ "0.507155,0.512852,0.516318,0.518861,0.524802,0.532138,0.549003", \ "0.621921,0.616739,0.606492,0.592043,0.561701,0.556912,0.574114", \ "0.828585,0.816115,0.796701,0.779334,0.740291,0.688975,0.630627", \ "1.165873,1.151937,1.128737,1.088600,1.032475,0.941982,0.819841", \ "1.643666,1.628822,1.602016,1.550729,1.464477,1.323714,1.143150"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("4.378913,4.390899,4.404900,4.401936,4.445146,4.445099,4.456813", \ "4.220489,4.237599,4.265068,4.288715,4.337397,4.402062,4.436497", \ "4.100820,4.104965,4.124163,4.137741,4.191138,4.265892,4.325608", \ "4.196465,4.193794,4.197328,4.183286,4.175045,4.214946,4.252422", \ "4.469985,4.459416,4.443922,4.412248,4.396595,4.353943,4.300786", \ "4.911686,4.895754,4.868656,4.821769,4.737775,4.618170,4.511268", \ "5.340016,5.345645,5.358672,5.391339,5.271083,5.122631,4.923877"); } } internal_power () { related_pin : "C1"; when : "!A & B1 & !B2 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.566317,0.560994,0.545609,0.527165,0.501587,0.476822,0.456117", \ "0.537637,0.538290,0.532333,0.527375,0.515502,0.505833,0.499790", \ "0.485533,0.492128,0.493282,0.500078,0.509516,0.528515,0.546614", \ "0.585409,0.584083,0.577397,0.567333,0.546542,0.554806,0.567254", \ "0.807723,0.801428,0.788854,0.766395,0.730469,0.675404,0.626918", \ "1.162785,1.147825,1.124095,1.087614,1.019604,0.931841,0.812080", \ "1.671435,1.653957,1.618930,1.559732,1.456880,1.318555,1.137897"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("5.591470,5.603602,5.622475,5.637954,5.663740,5.718015,5.750736", \ "5.469708,5.485262,5.511894,5.546770,5.568561,5.641051,5.728335", \ "5.325801,5.338543,5.360670,5.381049,5.425621,5.510403,5.626042", \ "5.383383,5.391179,5.394662,5.400978,5.433133,5.452756,5.541453", \ "5.618679,5.621011,5.617483,5.598372,5.596429,5.579874,5.594057", \ "6.033810,6.022095,6.005237,5.965900,5.925655,5.873804,5.798260", \ "6.505532,6.519022,6.546272,6.548991,6.457596,6.328978,6.162428"); } } internal_power () { related_pin : "C2"; when : "!A & !B1 & !B2 & C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.543098,0.529382,0.518392,0.503421,0.480868,0.461571,0.462003", \ "0.514239,0.510498,0.505479,0.501327,0.488535,0.495013,0.505595", \ "0.429876,0.428600,0.434919,0.454505,0.472230,0.500554,0.532106", \ "0.442895,0.449431,0.453065,0.456429,0.452212,0.467615,0.509700", \ "0.529631,0.533645,0.532087,0.529775,0.533445,0.525060,0.500758", \ "0.743628,0.735474,0.721692,0.708672,0.689892,0.658835,0.614189", \ "1.092237,1.078282,1.057248,1.032635,0.977641,0.900463,0.814559"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("5.534784,5.537927,5.554226,5.551234,5.583240,5.622005,5.625438", \ "5.416407,5.431194,5.449136,5.453845,5.473864,5.523484,5.587861", \ "5.322428,5.326893,5.349136,5.364485,5.406456,5.470037,5.496991", \ "5.405200,5.414256,5.416160,5.420135,5.438300,5.428018,5.493700", \ "5.668747,5.664260,5.666291,5.649115,5.610251,5.615384,5.593911", \ "6.100045,6.095323,6.085718,6.058461,5.997334,5.919275,5.849917", \ "6.531488,6.539841,6.565020,6.587104,6.584646,6.437685,6.263477"); } } internal_power () { related_pin : "C2"; when : "!A & !B1 & B2 & C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.597723,0.583830,0.574062,0.550167,0.518998,0.483071,0.465100", \ "0.564037,0.563544,0.555775,0.547899,0.524544,0.512114,0.507013", \ "0.481490,0.479525,0.483717,0.500797,0.508678,0.523566,0.541819", \ "0.493053,0.491866,0.495352,0.488157,0.492371,0.504141,0.534222", \ "0.556991,0.563125,0.560335,0.564497,0.570720,0.562047,0.537348", \ "0.748333,0.743218,0.736751,0.729791,0.714096,0.694557,0.650979", \ "1.068123,1.056311,1.040093,1.011193,0.976760,0.914320,0.841404"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("5.494390,5.496804,5.505497,5.528605,5.531699,5.579385,5.607601", \ "5.375227,5.387666,5.405549,5.417094,5.470383,5.489956,5.528759", \ "5.281159,5.284973,5.303477,5.332606,5.367396,5.396069,5.491339", \ "5.358769,5.365575,5.363301,5.372250,5.385726,5.412545,5.452301", \ "5.609547,5.605043,5.598150,5.595580,5.542386,5.558277,5.546860", \ "6.018297,6.014413,6.000697,5.969032,5.921014,5.823234,5.779477", \ "6.482285,6.503757,6.527209,6.531086,6.434982,6.328953,6.154567"); } } internal_power () { related_pin : "C2"; when : "!A & B1 & !B2 & C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.563325,0.559690,0.547297,0.530867,0.502961,0.479873,0.462482", \ "0.534666,0.536285,0.536165,0.527701,0.517626,0.506286,0.501068", \ "0.460216,0.466413,0.473431,0.478990,0.501365,0.521336,0.537728", \ "0.460199,0.467164,0.470398,0.466485,0.471841,0.503137,0.536624", \ "0.547835,0.549230,0.552045,0.556321,0.555838,0.552213,0.537498", \ "0.748594,0.742390,0.735128,0.718008,0.707056,0.685090,0.644990", \ "1.079951,1.070937,1.054468,1.024482,0.974469,0.910320,0.835948"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("6.700852,6.705072,6.722665,6.751191,6.751329,6.812553,6.861007", \ "6.602820,6.616012,6.627498,6.667023,6.696431,6.767237,6.825521", \ "6.499931,6.512766,6.528191,6.559359,6.597143,6.677228,6.748157", \ "6.558791,6.561456,6.567987,6.588292,6.623631,6.649567,6.750810", \ "6.781239,6.782897,6.778278,6.777581,6.787904,6.786197,6.812184", \ "7.166830,7.160432,7.154932,7.151633,7.116868,7.083081,7.025650", \ "7.684972,7.703314,7.710275,7.690481,7.611594,7.538655,7.436951"); } } } } /****************************************************************************************** Module : AOI221_X4 Cell Description : Combinational cell (AOI221_X4) with drive strength X4 *******************************************************************************************/ cell (AOI221_X4) { drive_strength : 4; area : 3.458000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 82.676774; leakage_power () { when : "!A & !B1 & !B2 & !C1 & !C2"; value : 85.251575; } leakage_power () { when : "!A & !B1 & !B2 & !C1 & C2"; value : 99.494108; } leakage_power () { when : "!A & !B1 & !B2 & C1 & !C2"; value : 89.799655; } leakage_power () { when : "!A & !B1 & !B2 & C1 & C2"; value : 76.395285; } leakage_power () { when : "!A & !B1 & B2 & !C1 & !C2"; value : 99.494022; } leakage_power () { when : "!A & !B1 & B2 & !C1 & C2"; value : 113.728005; } leakage_power () { when : "!A & !B1 & B2 & C1 & !C2"; value : 104.041153; } leakage_power () { when : "!A & !B1 & B2 & C1 & C2"; value : 81.461360; } leakage_power () { when : "!A & B1 & !B2 & !C1 & !C2"; value : 89.799560; } leakage_power () { when : "!A & B1 & !B2 & !C1 & C2"; value : 104.041144; } leakage_power () { when : "!A & B1 & !B2 & C1 & !C2"; value : 94.350301; } leakage_power () { when : "!A & B1 & !B2 & C1 & C2"; value : 81.464637; } leakage_power () { when : "!A & B1 & B2 & !C1 & !C2"; value : 73.257302; } leakage_power () { when : "!A & B1 & B2 & !C1 & C2"; value : 78.297243; } leakage_power () { when : "!A & B1 & B2 & C1 & !C2"; value : 78.301080; } leakage_power () { when : "!A & B1 & B2 & C1 & C2"; value : 80.625835; } leakage_power () { when : "A & !B1 & !B2 & !C1 & !C2"; value : 66.553675; } leakage_power () { when : "A & !B1 & !B2 & !C1 & C2"; value : 71.555510; } leakage_power () { when : "A & !B1 & !B2 & C1 & !C2"; value : 71.557733; } leakage_power () { when : "A & !B1 & !B2 & C1 & C2"; value : 75.082794; } leakage_power () { when : "A & !B1 & B2 & !C1 & !C2"; value : 71.623359; } leakage_power () { when : "A & !B1 & B2 & !C1 & C2"; value : 76.625290; } leakage_power () { when : "A & !B1 & B2 & C1 & !C2"; value : 76.627418; } leakage_power () { when : "A & !B1 & B2 & C1 & C2"; value : 80.152763; } leakage_power () { when : "A & B1 & !B2 & !C1 & !C2"; value : 71.625478; } leakage_power () { when : "A & B1 & !B2 & !C1 & C2"; value : 76.627418; } leakage_power () { when : "A & B1 & !B2 & C1 & !C2"; value : 76.629185; } leakage_power () { when : "A & B1 & !B2 & C1 & C2"; value : 80.154103; } leakage_power () { when : "A & B1 & B2 & !C1 & !C2"; value : 75.267645; } leakage_power () { when : "A & B1 & B2 & !C1 & C2"; value : 80.364205; } leakage_power () { when : "A & B1 & B2 & C1 & !C2"; value : 80.365440; } leakage_power () { when : "A & B1 & B2 & C1 & C2"; value : 85.042499; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.580743; fall_capacitance : 1.425819; rise_capacitance : 1.580743; } pin (B1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.523845; fall_capacitance : 1.485054; rise_capacitance : 1.523845; } pin (B2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.610497; fall_capacitance : 1.512045; rise_capacitance : 1.610497; } pin (C1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.552275; fall_capacitance : 1.330298; rise_capacitance : 1.552275; } pin (C2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.606700; fall_capacitance : 1.349341; rise_capacitance : 1.606700; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 242.259500; function : "!(!(!(((C1 & C2) | A) | (B1 & B2))))"; timing () { related_pin : "A"; when : "!B1 & !B2 & !C1 & !C2"; sdf_cond : "(B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.093203,0.103400,0.111175,0.123748,0.144763,0.182161,0.253324", \ "0.098529,0.108730,0.116504,0.129076,0.150094,0.187492,0.258654", \ "0.117298,0.127486,0.135255,0.147826,0.168838,0.206229,0.277396", \ "0.146094,0.156281,0.164011,0.176521,0.197527,0.234924,0.306085", \ "0.176166,0.186393,0.194144,0.206351,0.227361,0.264782,0.335958", \ "0.204122,0.214390,0.222217,0.234575,0.255669,0.293126,0.364319", \ "0.227364,0.237935,0.245918,0.258574,0.279814,0.317338,0.388514"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.275044,0.293166,0.309560,0.341639,0.405840,0.533994,0.789856", \ "0.278096,0.296215,0.312609,0.344695,0.408887,0.537038,0.792901", \ "0.293735,0.311856,0.328274,0.360332,0.424509,0.552674,0.808511", \ "0.325628,0.343746,0.360098,0.391894,0.456061,0.584218,0.840085", \ "0.373913,0.392034,0.408429,0.440314,0.504494,0.632635,0.888452", \ "0.439161,0.457444,0.473858,0.505699,0.569773,0.697887,0.953711", \ "0.512977,0.531950,0.548414,0.580176,0.644166,0.772218,1.028032"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.012115,0.016193,0.020023,0.027298,0.041666,0.071198,0.132764", \ "0.012114,0.016194,0.020022,0.027299,0.041666,0.071198,0.132764", \ "0.012112,0.016192,0.020020,0.027297,0.041663,0.071196,0.132765", \ "0.012165,0.016234,0.020052,0.027316,0.041674,0.071199,0.132763", \ "0.012368,0.016407,0.020201,0.027430,0.041749,0.071242,0.132781", \ "0.012809,0.016750,0.020486,0.027645,0.041887,0.071304,0.132792", \ "0.013663,0.017429,0.021057,0.028080,0.042170,0.071442,0.132831"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.017647,0.028420,0.042088,0.071835,0.132800,0.255115,0.500012", \ "0.017646,0.028418,0.042088,0.071833,0.132799,0.255115,0.500011", \ "0.017645,0.028417,0.042088,0.071831,0.132782,0.255124,0.500012", \ "0.017650,0.028419,0.042088,0.071835,0.132790,0.255116,0.500011", \ "0.017662,0.028425,0.042091,0.071837,0.132800,0.255123,0.500011", \ "0.018172,0.028705,0.042217,0.071864,0.132808,0.255128,0.500024", \ "0.019552,0.029653,0.042701,0.072018,0.132861,0.255147,0.500033"); } } timing () { related_pin : "A"; when : "!B1 & !B2 & !C1 & C2"; sdf_cond : "(B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.091169,0.101375,0.109156,0.121734,0.142754,0.180155,0.251318", \ "0.096499,0.106704,0.114483,0.127059,0.148079,0.185479,0.256642", \ "0.115170,0.125369,0.133144,0.145715,0.166726,0.204123,0.275284", \ "0.143051,0.153248,0.160952,0.173495,0.194507,0.231902,0.303069", \ "0.171876,0.182099,0.189865,0.202049,0.223091,0.260523,0.331676", \ "0.198330,0.208593,0.216430,0.228810,0.249906,0.287365,0.358551", \ "0.219717,0.230336,0.238333,0.251002,0.272250,0.309786,0.380964"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.306559,0.325350,0.341857,0.373853,0.437885,0.566034,0.821818", \ "0.309318,0.328109,0.344607,0.376585,0.440641,0.568790,0.824580", \ "0.324570,0.343346,0.359836,0.391843,0.455902,0.584000,0.839816", \ "0.355393,0.374185,0.390593,0.422315,0.486361,0.614473,0.870301", \ "0.400317,0.419096,0.435583,0.467520,0.531507,0.659584,0.915405", \ "0.460843,0.479765,0.496268,0.528198,0.592194,0.720236,0.976034", \ "0.531016,0.550575,0.567187,0.599073,0.662945,0.790954,1.046718"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.012131,0.016208,0.020035,0.027310,0.041674,0.071199,0.132766", \ "0.012128,0.016206,0.020034,0.027309,0.041673,0.071199,0.132764", \ "0.012119,0.016200,0.020029,0.027303,0.041669,0.071199,0.132765", \ "0.012181,0.016244,0.020061,0.027323,0.041679,0.071202,0.132770", \ "0.012387,0.016422,0.020213,0.027441,0.041756,0.071246,0.132779", \ "0.012857,0.016789,0.020517,0.027670,0.041903,0.071312,0.132793", \ "0.013775,0.017519,0.021134,0.028140,0.042207,0.071460,0.132834"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.019023,0.029345,0.042562,0.071993,0.132851,0.255152,0.500018", \ "0.019023,0.029345,0.042563,0.071989,0.132841,0.255151,0.500020", \ "0.019023,0.029348,0.042563,0.071988,0.132853,0.255161,0.500025", \ "0.019016,0.029346,0.042562,0.071988,0.132853,0.255156,0.500020", \ "0.019030,0.029351,0.042564,0.071988,0.132842,0.255153,0.500019", \ "0.019370,0.029555,0.042662,0.072016,0.132860,0.255159,0.500020", \ "0.020597,0.030483,0.043158,0.072176,0.132907,0.255176,0.500034"); } } timing () { related_pin : "A"; when : "!B1 & !B2 & C1 & !C2"; sdf_cond : "(B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.093901,0.104128,0.111916,0.124500,0.145521,0.182923,0.254089", \ "0.099227,0.109451,0.117238,0.129820,0.150841,0.188243,0.259410", \ "0.117914,0.128121,0.135903,0.148481,0.169498,0.206899,0.278063", \ "0.146814,0.157005,0.164748,0.177275,0.198302,0.235708,0.306878", \ "0.177398,0.187656,0.195438,0.207664,0.228724,0.266164,0.337335", \ "0.205968,0.216390,0.224274,0.236707,0.257845,0.295335,0.366517", \ "0.229761,0.240589,0.248684,0.261425,0.282733,0.320323,0.391522"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.335660,0.354657,0.371198,0.403098,0.467161,0.595209,0.851033", \ "0.338674,0.357673,0.374183,0.406122,0.470161,0.598222,0.854042", \ "0.353991,0.373001,0.389488,0.421472,0.485462,0.613531,0.869344", \ "0.384653,0.403650,0.420079,0.451703,0.515759,0.643826,0.899648", \ "0.429484,0.448481,0.464972,0.496775,0.560788,0.688850,0.944655", \ "0.490890,0.509950,0.526463,0.558413,0.622390,0.750430,1.006241", \ "0.564691,0.584307,0.600915,0.632789,0.696641,0.824643,1.080421"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.012180,0.016247,0.020066,0.027331,0.041687,0.071209,0.132770", \ "0.012177,0.016243,0.020063,0.027329,0.041686,0.071209,0.132772", \ "0.012169,0.016238,0.020058,0.027324,0.041683,0.071207,0.132766", \ "0.012238,0.016293,0.020101,0.027351,0.041695,0.071211,0.132767", \ "0.012536,0.016533,0.020303,0.027503,0.041792,0.071261,0.132788", \ "0.013149,0.017013,0.020702,0.027805,0.041986,0.071350,0.132801", \ "0.014280,0.017928,0.021475,0.028401,0.042379,0.071542,0.132852"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.019498,0.029673,0.042733,0.072044,0.132869,0.255170,0.500042", \ "0.019486,0.029672,0.042734,0.072046,0.132880,0.255171,0.500041", \ "0.019493,0.029673,0.042732,0.072043,0.132876,0.255171,0.500042", \ "0.019497,0.029674,0.042732,0.072045,0.132878,0.255171,0.500035", \ "0.019500,0.029674,0.042735,0.072043,0.132875,0.255164,0.500032", \ "0.019632,0.029758,0.042772,0.072053,0.132881,0.255168,0.500025", \ "0.020741,0.030589,0.043215,0.072193,0.132917,0.255180,0.500046"); } } timing () { related_pin : "A"; when : "!B1 & B2 & !C1 & !C2"; sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.093197,0.103394,0.111169,0.123742,0.144757,0.182155,0.253318", \ "0.098559,0.108756,0.116531,0.129103,0.150120,0.187519,0.258684", \ "0.117392,0.127580,0.135350,0.147921,0.168931,0.206323,0.277490", \ "0.146254,0.156444,0.164175,0.176685,0.197700,0.235092,0.306255", \ "0.176329,0.186552,0.194309,0.206468,0.227536,0.264955,0.336110", \ "0.204151,0.214407,0.222238,0.234611,0.255692,0.293156,0.364343", \ "0.226987,0.237561,0.245542,0.258218,0.279435,0.316959,0.388141"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.334552,0.353761,0.370312,0.402279,0.466250,0.594330,0.850150", \ "0.336715,0.355924,0.372515,0.404461,0.468429,0.596515,0.852353", \ "0.350345,0.369542,0.386103,0.418037,0.482046,0.610116,0.865959", \ "0.380587,0.399795,0.416274,0.447966,0.511955,0.640026,0.895843", \ "0.426979,0.446179,0.462709,0.494472,0.558450,0.686488,0.942299", \ "0.491763,0.511032,0.527586,0.559435,0.623385,0.751412,1.007216", \ "0.569662,0.589601,0.606297,0.638065,0.701890,0.829870,1.085638"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.012114,0.016194,0.020022,0.027299,0.041666,0.071198,0.132764", \ "0.012115,0.016194,0.020022,0.027299,0.041666,0.071197,0.132769", \ "0.012111,0.016193,0.020020,0.027298,0.041663,0.071196,0.132762", \ "0.012164,0.016233,0.020053,0.027316,0.041674,0.071198,0.132763", \ "0.012370,0.016405,0.020199,0.027430,0.041749,0.071242,0.132782", \ "0.012806,0.016749,0.020486,0.027645,0.041888,0.071305,0.132794", \ "0.013669,0.017434,0.021062,0.028084,0.042172,0.071443,0.132830"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.019821,0.029962,0.042906,0.072122,0.132919,0.255208,0.500061", \ "0.019827,0.029964,0.042907,0.072120,0.132907,0.255206,0.500059", \ "0.019823,0.029963,0.042908,0.072117,0.132913,0.255203,0.500058", \ "0.019828,0.029965,0.042905,0.072119,0.132923,0.255203,0.500057", \ "0.019824,0.029963,0.042906,0.072116,0.132921,0.255218,0.500061", \ "0.019989,0.030070,0.042961,0.072138,0.132928,0.255206,0.500059", \ "0.021294,0.031082,0.043505,0.072308,0.132973,0.255234,0.500069"); } } timing () { related_pin : "A"; when : "!B1 & B2 & !C1 & C2"; sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.091163,0.101369,0.109149,0.121724,0.142747,0.180148,0.251310", \ "0.096526,0.106729,0.114509,0.127084,0.148105,0.185505,0.256670", \ "0.115262,0.125461,0.133236,0.145808,0.166818,0.204214,0.275374", \ "0.143197,0.153394,0.161136,0.173658,0.194672,0.232067,0.303232", \ "0.172049,0.182268,0.190034,0.202191,0.223256,0.260697,0.331849", \ "0.198360,0.208622,0.216460,0.228841,0.249928,0.287403,0.358572", \ "0.219351,0.229971,0.237968,0.250636,0.271883,0.309417,0.380599"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.365326,0.385242,0.401959,0.433832,0.497731,0.625742,0.881548", \ "0.367203,0.387113,0.403840,0.435791,0.499624,0.627617,0.883418", \ "0.380492,0.400399,0.417134,0.449014,0.512882,0.640910,0.896709", \ "0.410022,0.429933,0.446553,0.478174,0.542033,0.670073,0.925865", \ "0.453687,0.473595,0.490303,0.522109,0.585929,0.713908,0.969699", \ "0.513864,0.533823,0.550559,0.582482,0.646304,0.774261,1.030031", \ "0.587446,0.608008,0.624901,0.656783,0.720549,0.848450,1.104184"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.012132,0.016207,0.020035,0.027310,0.041674,0.071199,0.132765", \ "0.012131,0.016206,0.020034,0.027308,0.041673,0.071200,0.132764", \ "0.012119,0.016200,0.020028,0.027304,0.041669,0.071199,0.132765", \ "0.012180,0.016243,0.020060,0.027323,0.041679,0.071203,0.132768", \ "0.012387,0.016421,0.020213,0.027441,0.041756,0.071246,0.132782", \ "0.012857,0.016788,0.020517,0.027670,0.041903,0.071311,0.132793", \ "0.013783,0.017524,0.021138,0.028143,0.042210,0.071461,0.132834"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.021095,0.031000,0.043488,0.072319,0.132973,0.255230,0.500070", \ "0.021096,0.030997,0.043489,0.072314,0.132986,0.255238,0.500080", \ "0.021091,0.030996,0.043490,0.072314,0.132973,0.255229,0.500071", \ "0.021097,0.030997,0.043491,0.072319,0.132978,0.255241,0.500078", \ "0.021091,0.031003,0.043492,0.072318,0.132988,0.255234,0.500068", \ "0.021207,0.031079,0.043531,0.072329,0.132978,0.255232,0.500070", \ "0.022338,0.032019,0.044075,0.072508,0.133028,0.255257,0.500070"); } } timing () { related_pin : "A"; when : "!B1 & B2 & C1 & !C2"; sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.093896,0.104122,0.111910,0.124494,0.145515,0.182917,0.254085", \ "0.099257,0.109481,0.117268,0.129850,0.150871,0.188275,0.259436", \ "0.118033,0.128241,0.136023,0.148601,0.169617,0.207018,0.278182", \ "0.146969,0.157161,0.164888,0.177442,0.198470,0.235877,0.307045", \ "0.177569,0.187822,0.195601,0.207828,0.228841,0.266282,0.337450", \ "0.206001,0.216421,0.224309,0.236737,0.257869,0.295359,0.366550", \ "0.229421,0.240251,0.248339,0.261082,0.282396,0.319982,0.391174"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.401204,0.421426,0.438225,0.470056,0.533836,0.661883,0.917653", \ "0.403406,0.423611,0.440421,0.472315,0.536016,0.664088,0.919844", \ "0.416765,0.436973,0.453768,0.485629,0.549392,0.677400,0.933197", \ "0.446117,0.466325,0.483009,0.514582,0.578348,0.706422,0.962163", \ "0.489651,0.509865,0.526645,0.558280,0.622086,0.750066,1.005858", \ "0.550068,0.570296,0.587107,0.619038,0.682830,0.810738,1.066500", \ "0.626879,0.647572,0.664492,0.696369,0.760085,0.887956,1.143703"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.012180,0.016246,0.020065,0.027331,0.041687,0.071209,0.132774", \ "0.012175,0.016243,0.020063,0.027330,0.041687,0.071208,0.132766", \ "0.012167,0.016238,0.020060,0.027324,0.041683,0.071207,0.132767", \ "0.012238,0.016293,0.020100,0.027350,0.041694,0.071211,0.132766", \ "0.012535,0.016532,0.020302,0.027502,0.041791,0.071262,0.132787", \ "0.013148,0.017012,0.020702,0.027805,0.041987,0.071350,0.132802", \ "0.014285,0.017933,0.021480,0.028405,0.042382,0.071542,0.132854"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.021684,0.031482,0.043770,0.072414,0.133021,0.255253,0.500074", \ "0.021684,0.031482,0.043770,0.072407,0.133011,0.255255,0.500082", \ "0.021685,0.031483,0.043770,0.072408,0.133009,0.255261,0.500078", \ "0.021683,0.031481,0.043770,0.072409,0.133007,0.255258,0.500080", \ "0.021686,0.031483,0.043769,0.072411,0.133004,0.255250,0.500077", \ "0.021715,0.031506,0.043782,0.072415,0.133009,0.255249,0.500081", \ "0.022550,0.032218,0.044187,0.072537,0.133040,0.255262,0.500095"); } } timing () { related_pin : "A"; when : "B1 & !B2 & !C1 & !C2"; sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.095922,0.106136,0.113920,0.126498,0.147515,0.184917,0.256085", \ "0.101281,0.111497,0.119280,0.131859,0.152876,0.190277,0.261439", \ "0.120128,0.130334,0.138114,0.150689,0.171704,0.209105,0.280266", \ "0.149888,0.160080,0.167813,0.180335,0.201358,0.238768,0.309940", \ "0.181635,0.191884,0.199660,0.211851,0.232936,0.270371,0.341540", \ "0.211479,0.221879,0.229763,0.242182,0.263312,0.300800,0.371979", \ "0.236635,0.247419,0.255493,0.268221,0.289532,0.327103,0.398296"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.365594,0.385040,0.401689,0.433571,0.497462,0.625544,0.881355", \ "0.368043,0.387486,0.404096,0.435974,0.499912,0.627991,0.883799", \ "0.381715,0.401157,0.417767,0.449677,0.513595,0.641653,0.897468", \ "0.411831,0.431269,0.447800,0.479396,0.543327,0.671399,0.927209", \ "0.458132,0.477572,0.494121,0.525791,0.589728,0.717780,0.973575", \ "0.523381,0.542836,0.559438,0.591289,0.655202,0.783204,1.038996", \ "0.605032,0.625023,0.641725,0.673494,0.737303,0.865265,1.121048"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.012166,0.016234,0.020053,0.027320,0.041680,0.071203,0.132770", \ "0.012164,0.016232,0.020054,0.027320,0.041679,0.071205,0.132765", \ "0.012162,0.016231,0.020052,0.027319,0.041678,0.071202,0.132764", \ "0.012222,0.016282,0.020091,0.027344,0.041691,0.071209,0.132771", \ "0.012511,0.016512,0.020285,0.027490,0.041784,0.071257,0.132780", \ "0.013087,0.016966,0.020662,0.027776,0.041967,0.071339,0.132803", \ "0.014151,0.017826,0.021389,0.028337,0.042337,0.071521,0.132847"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.020312,0.030324,0.043103,0.072187,0.132932,0.255227,0.500065", \ "0.020314,0.030324,0.043101,0.072186,0.132936,0.255228,0.500068", \ "0.020314,0.030325,0.043101,0.072187,0.132934,0.255225,0.500075", \ "0.020308,0.030325,0.043103,0.072187,0.132940,0.255224,0.500068", \ "0.020310,0.030326,0.043104,0.072182,0.132950,0.255229,0.500063", \ "0.020358,0.030360,0.043119,0.072190,0.132948,0.255222,0.500064", \ "0.021421,0.031154,0.043552,0.072323,0.132984,0.255241,0.500069"); } } timing () { related_pin : "A"; when : "B1 & !B2 & !C1 & C2"; sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.093896,0.104122,0.111910,0.124494,0.145515,0.182917,0.254085", \ "0.099257,0.109481,0.117268,0.129850,0.150871,0.188275,0.259436", \ "0.118033,0.128241,0.136023,0.148601,0.169617,0.207018,0.278182", \ "0.146969,0.157161,0.164888,0.177442,0.198470,0.235877,0.307045", \ "0.177569,0.187822,0.195601,0.207828,0.228841,0.266282,0.337450", \ "0.206001,0.216421,0.224309,0.236737,0.257869,0.295359,0.366550", \ "0.229421,0.240251,0.248339,0.261082,0.282396,0.319982,0.391174"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.401204,0.421426,0.438225,0.470056,0.533836,0.661883,0.917653", \ "0.403406,0.423611,0.440421,0.472315,0.536016,0.664088,0.919844", \ "0.416765,0.436973,0.453768,0.485629,0.549392,0.677400,0.933197", \ "0.446117,0.466325,0.483009,0.514582,0.578348,0.706422,0.962163", \ "0.489651,0.509865,0.526645,0.558280,0.622086,0.750066,1.005858", \ "0.550068,0.570296,0.587107,0.619038,0.682830,0.810738,1.066500", \ "0.626879,0.647572,0.664492,0.696369,0.760085,0.887956,1.143703"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.012180,0.016246,0.020065,0.027331,0.041687,0.071209,0.132774", \ "0.012175,0.016243,0.020063,0.027330,0.041687,0.071208,0.132766", \ "0.012167,0.016238,0.020060,0.027324,0.041683,0.071207,0.132767", \ "0.012238,0.016293,0.020100,0.027350,0.041694,0.071211,0.132766", \ "0.012535,0.016532,0.020302,0.027502,0.041791,0.071262,0.132787", \ "0.013148,0.017012,0.020702,0.027805,0.041987,0.071350,0.132802", \ "0.014285,0.017933,0.021480,0.028405,0.042382,0.071542,0.132854"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.021684,0.031482,0.043770,0.072414,0.133021,0.255253,0.500074", \ "0.021684,0.031482,0.043770,0.072407,0.133011,0.255255,0.500082", \ "0.021685,0.031483,0.043770,0.072408,0.133009,0.255261,0.500078", \ "0.021683,0.031481,0.043770,0.072409,0.133007,0.255258,0.500080", \ "0.021686,0.031483,0.043769,0.072411,0.133004,0.255250,0.500077", \ "0.021715,0.031506,0.043782,0.072415,0.133009,0.255249,0.500081", \ "0.022550,0.032218,0.044187,0.072537,0.133040,0.255262,0.500095"); } } timing () { related_pin : "A"; when : "B1 & !B2 & C1 & !C2"; sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.096664,0.106898,0.114694,0.127288,0.148320,0.185730,0.256898", \ "0.102018,0.112250,0.120045,0.132639,0.153670,0.191079,0.262249", \ "0.120782,0.131001,0.138791,0.151381,0.172409,0.209817,0.280984", \ "0.150632,0.160837,0.168565,0.181122,0.202164,0.239572,0.310746", \ "0.182841,0.193143,0.200945,0.213177,0.234286,0.271740,0.342911", \ "0.213193,0.223704,0.231644,0.244140,0.265317,0.302833,0.374014", \ "0.238722,0.249724,0.257907,0.270746,0.292146,0.329761,0.400962"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.437005,0.457533,0.474415,0.506237,0.569879,0.697860,0.953674", \ "0.439468,0.459999,0.476865,0.508706,0.572347,0.700332,0.956137", \ "0.452885,0.473411,0.490286,0.522076,0.585757,0.713722,0.969541", \ "0.482077,0.502604,0.519396,0.550898,0.614593,0.742554,0.998403", \ "0.525514,0.546044,0.562905,0.594504,0.658155,0.786131,1.041889", \ "0.585937,0.606469,0.623362,0.655224,0.718955,0.846846,1.102593", \ "0.665042,0.685792,0.702737,0.734601,0.798305,0.926148,1.181884"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.012245,0.016298,0.020107,0.027360,0.041706,0.071217,0.132769", \ "0.012243,0.016294,0.020104,0.027359,0.041705,0.071219,0.132771", \ "0.012234,0.016290,0.020101,0.027356,0.041702,0.071214,0.132771", \ "0.012324,0.016358,0.020153,0.027389,0.041719,0.071220,0.132769", \ "0.012704,0.016661,0.020405,0.027579,0.041839,0.071282,0.132787", \ "0.013452,0.017253,0.020903,0.027957,0.042084,0.071395,0.132811", \ "0.014769,0.018330,0.021823,0.028673,0.042562,0.071634,0.132877"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.022272,0.031985,0.044067,0.072512,0.133043,0.255276,0.500085", \ "0.022273,0.031984,0.044066,0.072516,0.133040,0.255273,0.500085", \ "0.022272,0.031987,0.044066,0.072517,0.133040,0.255280,0.500091", \ "0.022277,0.031984,0.044067,0.072516,0.133056,0.255277,0.500089", \ "0.022280,0.031988,0.044067,0.072516,0.133041,0.255277,0.500091", \ "0.022287,0.031994,0.044072,0.072513,0.133054,0.255277,0.500103", \ "0.022788,0.032401,0.044295,0.072576,0.133059,0.255273,0.500089"); } } timing () { related_pin : "B1"; when : "!A & B2 & !C1 & !C2"; sdf_cond : "(A == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.132810,0.143313,0.151276,0.164077,0.185320,0.222870,0.294105", \ "0.137197,0.147697,0.155662,0.168461,0.189703,0.227249,0.298489", \ "0.153750,0.164248,0.172203,0.184996,0.206224,0.243786,0.315023", \ "0.186236,0.196730,0.204623,0.217168,0.238387,0.275909,0.347119", \ "0.225490,0.235974,0.243866,0.256261,0.277454,0.315054,0.386282", \ "0.262854,0.273424,0.281417,0.294046,0.315338,0.352932,0.424176", \ "0.295809,0.306746,0.314913,0.327797,0.349243,0.386934,0.458168"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.359582,0.378784,0.395327,0.427280,0.491258,0.619341,0.875172", \ "0.363501,0.382711,0.399301,0.431205,0.495223,0.623284,0.879129", \ "0.379165,0.398359,0.414923,0.446847,0.510875,0.638940,0.894774", \ "0.409276,0.428474,0.444968,0.476700,0.540678,0.668748,0.924573", \ "0.451939,0.471135,0.487672,0.519122,0.583070,0.711122,0.966944", \ "0.507102,0.526335,0.542884,0.574632,0.638549,0.766591,1.022405", \ "0.570577,0.590289,0.606952,0.638867,0.702742,0.830769,1.086542"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013061,0.017033,0.020777,0.027933,0.042143,0.071492,0.132880", \ "0.013058,0.017029,0.020773,0.027929,0.042142,0.071490,0.132886", \ "0.013037,0.017010,0.020758,0.027917,0.042133,0.071483,0.132884", \ "0.012995,0.016975,0.020724,0.027887,0.042109,0.071470,0.132870", \ "0.013220,0.017157,0.020879,0.028008,0.042185,0.071501,0.132882", \ "0.013700,0.017536,0.021198,0.028250,0.042343,0.071583,0.132911", \ "0.014576,0.018253,0.021813,0.028731,0.042668,0.071749,0.132950"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.019819,0.029961,0.042907,0.072119,0.132926,0.255215,0.500056", \ "0.019825,0.029962,0.042906,0.072123,0.132922,0.255207,0.500060", \ "0.019822,0.029961,0.042907,0.072117,0.132909,0.255205,0.500060", \ "0.019826,0.029964,0.042906,0.072119,0.132924,0.255208,0.500061", \ "0.019822,0.029964,0.042906,0.072122,0.132911,0.255207,0.500070", \ "0.019905,0.030019,0.042934,0.072126,0.132921,0.255216,0.500062", \ "0.020834,0.030731,0.043319,0.072253,0.132966,0.255235,0.500061"); } } timing () { related_pin : "B1"; when : "!A & B2 & !C1 & C2"; sdf_cond : "(A == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.126993,0.137521,0.145502,0.158326,0.179578,0.217157,0.288401", \ "0.131449,0.141977,0.149958,0.162776,0.184029,0.221621,0.292851", \ "0.148288,0.158799,0.166767,0.179573,0.200821,0.238402,0.309642", \ "0.180521,0.191021,0.198925,0.211507,0.232734,0.270262,0.341471", \ "0.218154,0.228655,0.236579,0.248971,0.270190,0.307789,0.379019", \ "0.253645,0.264270,0.272274,0.284918,0.306220,0.343838,0.415054", \ "0.284452,0.295464,0.303651,0.316559,0.338057,0.375754,0.446983"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.390154,0.410056,0.426781,0.458670,0.522554,0.650567,0.906367", \ "0.393865,0.413769,0.430524,0.462390,0.526311,0.654295,0.910083", \ "0.408947,0.428857,0.445587,0.477512,0.541357,0.669394,0.925171", \ "0.438684,0.458594,0.475273,0.506952,0.570835,0.698825,0.954630", \ "0.480580,0.500490,0.517179,0.548549,0.612483,0.740441,0.996235", \ "0.533832,0.553759,0.570503,0.602308,0.666188,0.794130,1.049904", \ "0.595525,0.615878,0.632745,0.664703,0.728507,0.856423,1.112177"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013129,0.017102,0.020841,0.027990,0.042191,0.071523,0.132901", \ "0.013122,0.017091,0.020833,0.027985,0.042186,0.071521,0.132894", \ "0.013081,0.017056,0.020803,0.027960,0.042168,0.071510,0.132898", \ "0.013028,0.017007,0.020754,0.027916,0.042132,0.071485,0.132879", \ "0.013274,0.017204,0.020919,0.028044,0.042217,0.071519,0.132883", \ "0.013792,0.017614,0.021266,0.028303,0.042382,0.071608,0.132916", \ "0.014743,0.018395,0.021937,0.028829,0.042732,0.071786,0.132959"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.021088,0.030996,0.043491,0.072315,0.132974,0.255233,0.500081", \ "0.021089,0.030998,0.043488,0.072314,0.132979,0.255237,0.500082", \ "0.021094,0.030999,0.043490,0.072319,0.132974,0.255239,0.500082", \ "0.021092,0.031000,0.043489,0.072313,0.132986,0.255239,0.500082", \ "0.021093,0.030999,0.043489,0.072316,0.132987,0.255235,0.500067", \ "0.021138,0.031031,0.043507,0.072318,0.132980,0.255233,0.500081", \ "0.021943,0.031693,0.043887,0.072440,0.133022,0.255246,0.500079"); } } timing () { related_pin : "B1"; when : "!A & B2 & C1 & !C2"; sdf_cond : "(A == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.132235,0.142857,0.150891,0.163762,0.185049,0.222639,0.293877", \ "0.136683,0.147303,0.155334,0.168203,0.189489,0.227083,0.298316", \ "0.153451,0.164062,0.172083,0.184940,0.206219,0.243806,0.315040", \ "0.185991,0.196566,0.204496,0.217090,0.238357,0.275925,0.347148", \ "0.225216,0.235856,0.243860,0.256297,0.277609,0.315218,0.386433", \ "0.262925,0.273776,0.281897,0.294585,0.315987,0.353657,0.424897", \ "0.296178,0.307460,0.315798,0.328810,0.350408,0.388189,0.459448"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.425971,0.446183,0.462992,0.494829,0.558588,0.686604,0.942440", \ "0.430054,0.450268,0.467072,0.498892,0.562690,0.690689,0.946485", \ "0.445384,0.465592,0.482388,0.514216,0.578018,0.706014,0.961806", \ "0.474877,0.495085,0.511775,0.543438,0.607230,0.735298,0.991042", \ "0.516563,0.536771,0.553552,0.584810,0.648620,0.776599,1.032386", \ "0.569774,0.589992,0.606800,0.638530,0.702352,0.830270,1.086039", \ "0.633578,0.654146,0.671033,0.702941,0.766704,0.894622,1.150366"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013370,0.017295,0.021007,0.028119,0.042278,0.071573,0.132919", \ "0.013361,0.017286,0.020999,0.028114,0.042275,0.071571,0.132918", \ "0.013321,0.017251,0.020968,0.028088,0.042255,0.071558,0.132910", \ "0.013273,0.017207,0.020926,0.028050,0.042223,0.071536,0.132900", \ "0.013582,0.017454,0.021135,0.028212,0.042324,0.071579,0.132908", \ "0.014241,0.017988,0.021585,0.028559,0.042556,0.071699,0.132946", \ "0.015405,0.018952,0.022416,0.029214,0.043003,0.071935,0.133006"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.021679,0.031479,0.043767,0.072405,0.133002,0.255250,0.500079", \ "0.021676,0.031479,0.043766,0.072408,0.133010,0.255244,0.500075", \ "0.021679,0.031479,0.043768,0.072410,0.133009,0.255244,0.500074", \ "0.021678,0.031480,0.043767,0.072408,0.133009,0.255251,0.500080", \ "0.021681,0.031479,0.043767,0.072413,0.133000,0.255252,0.500078", \ "0.021693,0.031490,0.043772,0.072406,0.133002,0.255244,0.500079", \ "0.022301,0.031983,0.044046,0.072491,0.133030,0.255265,0.500078"); } } timing () { related_pin : "B2"; when : "!A & B1 & !C1 & !C2"; sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.135484,0.145988,0.153952,0.166751,0.187995,0.225542,0.296777", \ "0.140452,0.150953,0.158913,0.171709,0.192941,0.230505,0.301741", \ "0.155678,0.166168,0.174127,0.186921,0.208154,0.245728,0.316953", \ "0.183532,0.194057,0.202047,0.214815,0.236046,0.273590,0.344807", \ "0.219692,0.230187,0.238088,0.250584,0.271836,0.309406,0.380646", \ "0.258301,0.268847,0.276790,0.289057,0.310275,0.347900,0.419143", \ "0.295640,0.306310,0.314356,0.326843,0.348183,0.385866,0.457098"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.386912,0.406357,0.423004,0.454878,0.518757,0.646855,0.902669", \ "0.391057,0.410490,0.427105,0.458986,0.522937,0.650989,0.906839", \ "0.408638,0.428070,0.444683,0.476574,0.540492,0.668582,0.924395", \ "0.439736,0.459181,0.475690,0.507407,0.571316,0.699397,0.955204", \ "0.482709,0.502141,0.518715,0.550088,0.613983,0.742043,0.997855", \ "0.538168,0.557610,0.574230,0.605948,0.669871,0.797880,1.053674", \ "0.603989,0.623810,0.640489,0.672352,0.736298,0.864235,1.120034"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013060,0.017030,0.020776,0.027932,0.042143,0.071491,0.132880", \ "0.013055,0.017028,0.020772,0.027929,0.042140,0.071489,0.132881", \ "0.013043,0.017019,0.020765,0.027924,0.042137,0.071486,0.132879", \ "0.013027,0.017003,0.020749,0.027910,0.042125,0.071480,0.132882", \ "0.013183,0.017130,0.020854,0.027990,0.042177,0.071500,0.132878", \ "0.013500,0.017392,0.021083,0.028170,0.042302,0.071568,0.132900", \ "0.014008,0.017815,0.021450,0.028467,0.042506,0.071682,0.132935"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.020313,0.030325,0.043103,0.072187,0.132934,0.255223,0.500064", \ "0.020311,0.030325,0.043100,0.072189,0.132935,0.255216,0.500078", \ "0.020312,0.030325,0.043102,0.072187,0.132939,0.255228,0.500079", \ "0.020313,0.030324,0.043103,0.072187,0.132933,0.255228,0.500067", \ "0.020308,0.030325,0.043103,0.072189,0.132936,0.255235,0.500068", \ "0.020334,0.030343,0.043109,0.072183,0.132942,0.255228,0.500068", \ "0.021077,0.030902,0.043417,0.072286,0.132973,0.255229,0.500075"); } } timing () { related_pin : "B2"; when : "!A & B1 & !C1 & C2"; sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.129695,0.140217,0.148202,0.161018,0.182271,0.219851,0.291105", \ "0.134696,0.145225,0.153205,0.166025,0.187276,0.224859,0.296096", \ "0.149998,0.160518,0.168491,0.181300,0.202552,0.240137,0.311372", \ "0.177667,0.188190,0.196160,0.208928,0.230170,0.267741,0.338969", \ "0.212785,0.223295,0.231213,0.243733,0.264990,0.302583,0.373825", \ "0.249838,0.260390,0.268366,0.280654,0.301882,0.339508,0.410778", \ "0.285106,0.295817,0.303882,0.316378,0.337755,0.375419,0.446683"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.421846,0.442068,0.458865,0.490704,0.554485,0.682495,0.938294", \ "0.425782,0.445990,0.462786,0.494643,0.558399,0.686444,0.942214", \ "0.443085,0.463288,0.480086,0.511927,0.575729,0.703756,0.959513", \ "0.473914,0.494130,0.510833,0.542478,0.606303,0.734277,0.990068", \ "0.516147,0.536356,0.553155,0.584418,0.648267,0.776230,1.032000", \ "0.569548,0.589767,0.606581,0.638410,0.702164,0.830086,1.085855", \ "0.633179,0.653739,0.670630,0.702546,0.766310,0.894240,1.150003"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013128,0.017097,0.020838,0.027990,0.042188,0.071521,0.132898", \ "0.013118,0.017091,0.020834,0.027984,0.042187,0.071521,0.132896", \ "0.013099,0.017070,0.020815,0.027970,0.042175,0.071515,0.132892", \ "0.013068,0.017043,0.020789,0.027946,0.042155,0.071503,0.132894", \ "0.013236,0.017177,0.020901,0.028028,0.042207,0.071521,0.132891", \ "0.013565,0.017450,0.021135,0.028215,0.042334,0.071588,0.132910", \ "0.014112,0.017903,0.021529,0.028532,0.042555,0.071708,0.132946"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.021692,0.031482,0.043770,0.072410,0.133005,0.255260,0.500076", \ "0.021684,0.031482,0.043770,0.072407,0.133016,0.255249,0.500077", \ "0.021684,0.031482,0.043769,0.072415,0.133008,0.255253,0.500078", \ "0.021688,0.031483,0.043768,0.072414,0.133003,0.255247,0.500085", \ "0.021683,0.031481,0.043768,0.072414,0.133002,0.255252,0.500082", \ "0.021699,0.031494,0.043773,0.072406,0.133012,0.255251,0.500074", \ "0.022282,0.031972,0.044046,0.072498,0.133042,0.255262,0.500078"); } } timing () { related_pin : "B2"; when : "!A & B1 & C1 & !C2"; sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.134931,0.145550,0.153581,0.166454,0.187738,0.225332,0.296566", \ "0.139936,0.150552,0.158583,0.171451,0.192738,0.230327,0.301561", \ "0.155236,0.165848,0.173872,0.186733,0.208016,0.245604,0.316839", \ "0.182991,0.193596,0.201603,0.214469,0.235741,0.273325,0.344557", \ "0.218813,0.229455,0.237431,0.250038,0.271338,0.308934,0.380161", \ "0.257008,0.267786,0.275829,0.288208,0.309518,0.347167,0.418394", \ "0.293935,0.304938,0.313107,0.325700,0.347166,0.384891,0.456153"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.457595,0.478123,0.495007,0.526837,0.590492,0.718456,0.974252", \ "0.461628,0.482156,0.499057,0.530826,0.594506,0.722470,0.978291", \ "0.479091,0.499621,0.516484,0.548286,0.611952,0.739913,0.995740", \ "0.509859,0.530387,0.547179,0.578839,0.642513,0.770496,1.026284", \ "0.552003,0.572530,0.589371,0.620657,0.684285,0.812249,1.068057", \ "0.605370,0.625902,0.642779,0.674468,0.738222,0.866096,1.121858", \ "0.670575,0.691256,0.708192,0.740105,0.803820,0.931682,1.187425"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013368,0.017292,0.021005,0.028118,0.042278,0.071571,0.132913", \ "0.013359,0.017286,0.020998,0.028111,0.042273,0.071570,0.132914", \ "0.013337,0.017265,0.020981,0.028099,0.042263,0.071562,0.132910", \ "0.013310,0.017241,0.020956,0.028077,0.042245,0.071552,0.132908", \ "0.013521,0.017408,0.021096,0.028182,0.042311,0.071578,0.132906", \ "0.013923,0.017746,0.021393,0.028421,0.042476,0.071667,0.132935", \ "0.014572,0.018294,0.021870,0.028807,0.042750,0.071819,0.132983"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.022275,0.031985,0.044068,0.072514,0.133050,0.255277,0.500092", \ "0.022270,0.031985,0.044068,0.072517,0.133040,0.255279,0.500091", \ "0.022274,0.031985,0.044067,0.072512,0.133053,0.255279,0.500089", \ "0.022271,0.031985,0.044066,0.072510,0.133039,0.255275,0.500085", \ "0.022271,0.031985,0.044068,0.072509,0.133056,0.255279,0.500090", \ "0.022286,0.031992,0.044069,0.072512,0.133037,0.255275,0.500088", \ "0.022623,0.032278,0.044233,0.072560,0.133057,0.255283,0.500102"); } } timing () { related_pin : "C1"; when : "!A & !B1 & !B2 & C2"; sdf_cond : "(A == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.113164,0.123469,0.131317,0.143985,0.165094,0.202565,0.273750", \ "0.117855,0.128162,0.136009,0.148675,0.169787,0.207261,0.278443", \ "0.134668,0.144967,0.152811,0.165474,0.186589,0.224067,0.295248", \ "0.163307,0.173636,0.181435,0.193993,0.215119,0.252578,0.323748", \ "0.192660,0.203042,0.210918,0.223213,0.244339,0.281879,0.353122", \ "0.218556,0.229138,0.237155,0.249767,0.271051,0.308614,0.379812", \ "0.238825,0.249959,0.258190,0.271167,0.292679,0.330381,0.401582"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.262378,0.281189,0.297674,0.329651,0.393725,0.521802,0.777625", \ "0.263959,0.282740,0.299238,0.331213,0.395270,0.523415,0.779218", \ "0.274625,0.293412,0.309894,0.341901,0.405973,0.534054,0.789867", \ "0.304137,0.322927,0.339379,0.371260,0.435313,0.563403,0.819221", \ "0.355599,0.374372,0.390883,0.422722,0.486733,0.614804,0.870615", \ "0.428013,0.447026,0.463499,0.495314,0.559302,0.687349,0.943174", \ "0.509402,0.529337,0.545989,0.577763,0.641500,0.769498,1.025279"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.012515,0.016543,0.020332,0.027554,0.041853,0.071304,0.132793", \ "0.012515,0.016544,0.020332,0.027554,0.041853,0.071306,0.132799", \ "0.012518,0.016547,0.020336,0.027556,0.041854,0.071304,0.132792", \ "0.012619,0.016628,0.020399,0.027601,0.041879,0.071314,0.132799", \ "0.013021,0.016961,0.020687,0.027832,0.042045,0.071415,0.132821", \ "0.013732,0.017533,0.021172,0.028204,0.042283,0.071522,0.132862", \ "0.015041,0.018615,0.022108,0.028943,0.042785,0.071785,0.132930"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.019026,0.029345,0.042563,0.071986,0.132845,0.255156,0.500033", \ "0.019019,0.029343,0.042561,0.071990,0.132843,0.255147,0.500034", \ "0.019016,0.029343,0.042563,0.071987,0.132848,0.255161,0.500031", \ "0.019021,0.029344,0.042562,0.071991,0.132857,0.255161,0.500020", \ "0.019005,0.029338,0.042558,0.071990,0.132845,0.255155,0.500035", \ "0.019611,0.029665,0.042711,0.072029,0.132860,0.255154,0.500026", \ "0.021380,0.031049,0.043449,0.072253,0.132931,0.255187,0.500028"); } } timing () { related_pin : "C1"; when : "!A & !B1 & B2 & C2"; sdf_cond : "(A == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.113145,0.123448,0.131300,0.143966,0.165075,0.202547,0.273729", \ "0.117836,0.128143,0.135990,0.148657,0.169769,0.207242,0.278423", \ "0.134674,0.144974,0.152818,0.165481,0.186595,0.224073,0.295254", \ "0.163413,0.173752,0.181544,0.194103,0.215223,0.252684,0.323873", \ "0.192809,0.203204,0.211064,0.223379,0.244501,0.282019,0.353271", \ "0.218544,0.229127,0.237133,0.249765,0.271036,0.308597,0.379787", \ "0.238260,0.249401,0.257637,0.270610,0.292123,0.329824,0.401027"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.300661,0.320569,0.337289,0.369160,0.433079,0.561105,0.816870", \ "0.301601,0.321514,0.338242,0.370145,0.434032,0.562026,0.817822", \ "0.310846,0.330761,0.347479,0.379384,0.443272,0.571245,0.827056", \ "0.338938,0.358847,0.375547,0.407351,0.471229,0.599206,0.854997", \ "0.388881,0.408774,0.425503,0.457337,0.521186,0.649167,0.904935", \ "0.462106,0.481978,0.498682,0.530511,0.594381,0.722363,0.978147", \ "0.549186,0.569906,0.586810,0.618563,0.682247,0.810130,1.065885"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.012515,0.016543,0.020332,0.027554,0.041853,0.071305,0.132791", \ "0.012515,0.016544,0.020333,0.027554,0.041853,0.071306,0.132796", \ "0.012518,0.016546,0.020336,0.027555,0.041854,0.071304,0.132795", \ "0.012618,0.016627,0.020399,0.027601,0.041879,0.071316,0.132796", \ "0.013020,0.016958,0.020686,0.027830,0.042045,0.071414,0.132824", \ "0.013731,0.017531,0.021172,0.028202,0.042282,0.071521,0.132863", \ "0.015049,0.018623,0.022116,0.028947,0.042791,0.071785,0.132931"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.021094,0.030995,0.043491,0.072317,0.132972,0.255235,0.500072", \ "0.021095,0.030995,0.043490,0.072314,0.132987,0.255233,0.500082", \ "0.021094,0.030998,0.043489,0.072315,0.132977,0.255230,0.500072", \ "0.021092,0.030994,0.043489,0.072314,0.132983,0.255238,0.500082", \ "0.021052,0.030969,0.043475,0.072314,0.132988,0.255234,0.500081", \ "0.021064,0.030980,0.043481,0.072311,0.132978,0.255245,0.500083", \ "0.022697,0.032281,0.044211,0.072531,0.133045,0.255250,0.500081"); } } timing () { related_pin : "C1"; when : "!A & B1 & !B2 & C2"; sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.118074,0.128470,0.136369,0.149083,0.170219,0.207703,0.278893", \ "0.122770,0.133166,0.141065,0.153778,0.174916,0.212400,0.283591", \ "0.139594,0.149989,0.157887,0.170598,0.191737,0.229221,0.300411", \ "0.169026,0.179452,0.187286,0.199863,0.221020,0.258506,0.329695", \ "0.200514,0.211055,0.219006,0.231389,0.252608,0.290167,0.361376", \ "0.228818,0.239667,0.247784,0.260510,0.281861,0.319497,0.390715", \ "0.251333,0.262774,0.271190,0.284315,0.305951,0.343740,0.414984"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.336647,0.356860,0.373658,0.405537,0.469307,0.597277,0.853071", \ "0.338169,0.358384,0.375169,0.407025,0.470817,0.598806,0.854586", \ "0.347510,0.367725,0.384503,0.416348,0.480164,0.608135,0.863921", \ "0.374746,0.394953,0.411700,0.443423,0.507237,0.635167,0.890947", \ "0.423538,0.443756,0.460538,0.492295,0.556101,0.684028,0.939814", \ "0.496056,0.516246,0.533033,0.564873,0.628678,0.756629,1.012408", \ "0.587581,0.608309,0.625220,0.656991,0.720668,0.848507,1.104264"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.012752,0.016737,0.020496,0.027681,0.041941,0.071353,0.132809", \ "0.012751,0.016737,0.020497,0.027681,0.041940,0.071353,0.132812", \ "0.012756,0.016741,0.020500,0.027684,0.041941,0.071351,0.132810", \ "0.012866,0.016830,0.020575,0.027738,0.041973,0.071365,0.132818", \ "0.013370,0.017249,0.020935,0.028023,0.042177,0.071483,0.132840", \ "0.014267,0.017975,0.021553,0.028507,0.042493,0.071636,0.132895", \ "0.015831,0.019286,0.022691,0.029411,0.043118,0.071972,0.132991"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.021684,0.031481,0.043770,0.072411,0.133005,0.255248,0.500080", \ "0.021681,0.031483,0.043769,0.072409,0.133005,0.255247,0.500078", \ "0.021679,0.031482,0.043770,0.072412,0.133015,0.255248,0.500078", \ "0.021681,0.031482,0.043769,0.072405,0.133002,0.255246,0.500076", \ "0.021674,0.031473,0.043765,0.072410,0.133019,0.255248,0.500080", \ "0.021616,0.031432,0.043743,0.072406,0.133018,0.255248,0.500082", \ "0.022757,0.032332,0.044247,0.072548,0.133037,0.255260,0.500095"); } } timing () { related_pin : "C2"; when : "!A & !B1 & !B2 & C1"; sdf_cond : "(A == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.115864,0.126165,0.134017,0.146684,0.167795,0.205268,0.276448", \ "0.121171,0.131474,0.139327,0.151991,0.173098,0.210573,0.281756", \ "0.136566,0.146863,0.154706,0.167377,0.188487,0.225962,0.297146", \ "0.162063,0.172381,0.180242,0.192890,0.214014,0.251490,0.322676", \ "0.191526,0.201892,0.209721,0.222164,0.243347,0.280872,0.352078", \ "0.220531,0.231009,0.238914,0.251084,0.272258,0.309819,0.381063", \ "0.245782,0.256522,0.264570,0.277054,0.298389,0.336023,0.407272"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.288369,0.307369,0.323896,0.355805,0.419831,0.547918,0.803775", \ "0.290247,0.309235,0.325761,0.357718,0.421712,0.549788,0.805605", \ "0.302347,0.321343,0.337858,0.369800,0.433852,0.561899,0.817727", \ "0.332149,0.351158,0.367606,0.399408,0.463423,0.591487,0.847303", \ "0.383166,0.402165,0.418658,0.450505,0.514467,0.642529,0.898337", \ "0.456811,0.475864,0.492367,0.524205,0.588127,0.716204,0.972033", \ "0.543325,0.563195,0.579837,0.611565,0.675364,0.803348,1.059131"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.012514,0.016542,0.020332,0.027553,0.041853,0.071303,0.132794", \ "0.012514,0.016542,0.020331,0.027553,0.041854,0.071306,0.132792", \ "0.012516,0.016545,0.020333,0.027555,0.041852,0.071304,0.132795", \ "0.012582,0.016597,0.020375,0.027585,0.041870,0.071313,0.132797", \ "0.012870,0.016843,0.020587,0.027753,0.041988,0.071378,0.132812", \ "0.013337,0.017228,0.020921,0.028019,0.042169,0.071472,0.132842", \ "0.014120,0.017879,0.021489,0.028477,0.042491,0.071647,0.132897"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.019486,0.029673,0.042731,0.072044,0.132876,0.255170,0.500042", \ "0.019495,0.029673,0.042732,0.072049,0.132870,0.255169,0.500040", \ "0.019494,0.029672,0.042733,0.072041,0.132877,0.255166,0.500030", \ "0.019492,0.029672,0.042733,0.072047,0.132872,0.255165,0.500033", \ "0.019482,0.029669,0.042732,0.072043,0.132878,0.255173,0.500042", \ "0.019656,0.029780,0.042784,0.072057,0.132880,0.255161,0.500037", \ "0.021285,0.030972,0.043411,0.072243,0.132932,0.255191,0.500036"); } } timing () { related_pin : "C2"; when : "!A & !B1 & B2 & C1"; sdf_cond : "(A == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.115843,0.126147,0.133999,0.146665,0.167774,0.205247,0.276428", \ "0.121156,0.131457,0.139311,0.151974,0.173081,0.210556,0.281738", \ "0.136593,0.146889,0.154736,0.167400,0.188515,0.225990,0.297175", \ "0.162184,0.172508,0.180359,0.193000,0.214125,0.251598,0.322784", \ "0.191738,0.202104,0.209916,0.222374,0.243526,0.281048,0.352256", \ "0.220721,0.231216,0.239107,0.251273,0.272445,0.310022,0.381268", \ "0.245742,0.256481,0.264534,0.276997,0.298341,0.335970,0.407185"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.332581,0.352798,0.369608,0.401407,0.465235,0.593253,0.849016", \ "0.333886,0.354107,0.370908,0.402749,0.466553,0.594534,0.850317", \ "0.344952,0.365160,0.381954,0.413773,0.477655,0.605596,0.861384", \ "0.373447,0.393659,0.410400,0.442135,0.505954,0.633896,0.889673", \ "0.422865,0.443069,0.459852,0.491617,0.555426,0.683357,0.939141", \ "0.495706,0.515900,0.532684,0.564528,0.628329,0.756289,1.012064", \ "0.587421,0.608151,0.625057,0.656857,0.720496,0.848351,1.104111"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.012515,0.016543,0.020332,0.027553,0.041853,0.071304,0.132792", \ "0.012513,0.016542,0.020331,0.027554,0.041854,0.071305,0.132792", \ "0.012516,0.016545,0.020333,0.027555,0.041852,0.071302,0.132795", \ "0.012582,0.016598,0.020375,0.027584,0.041870,0.071310,0.132795", \ "0.012869,0.016840,0.020585,0.027753,0.041988,0.071379,0.132814", \ "0.013337,0.017226,0.020919,0.028017,0.042170,0.071470,0.132842", \ "0.014119,0.017877,0.021488,0.028476,0.042489,0.071644,0.132895"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.021684,0.031478,0.043766,0.072408,0.133016,0.255250,0.500081", \ "0.021683,0.031479,0.043768,0.072411,0.133007,0.255253,0.500076", \ "0.021676,0.031480,0.043768,0.072411,0.133002,0.255247,0.500074", \ "0.021674,0.031478,0.043767,0.072409,0.133002,0.255248,0.500077", \ "0.021666,0.031471,0.043762,0.072402,0.133001,0.255245,0.500075", \ "0.021631,0.031439,0.043746,0.072400,0.133013,0.255257,0.500080", \ "0.022725,0.032306,0.044231,0.072542,0.133040,0.255258,0.500090"); } } timing () { related_pin : "C2"; when : "!A & B1 & !B2 & C1"; sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.120770,0.131168,0.139067,0.151778,0.172919,0.210403,0.281592", \ "0.126085,0.136482,0.144381,0.157094,0.178231,0.215714,0.286904", \ "0.141519,0.151915,0.159811,0.172522,0.193664,0.231146,0.302337", \ "0.167398,0.177813,0.185710,0.198427,0.219576,0.257064,0.328254", \ "0.197925,0.208430,0.216347,0.228890,0.250101,0.287640,0.358822", \ "0.228479,0.239165,0.247159,0.259437,0.280694,0.318292,0.389499", \ "0.255620,0.266632,0.274805,0.287397,0.308846,0.346549,0.417791"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.368460,0.388989,0.405871,0.437673,0.501373,0.629355,0.885135", \ "0.370019,0.390542,0.407439,0.439278,0.502928,0.630906,0.886689", \ "0.381197,0.401723,0.418621,0.450426,0.514174,0.642129,0.897890", \ "0.409161,0.429692,0.446520,0.478166,0.541925,0.669885,0.925641", \ "0.457744,0.478272,0.495139,0.526799,0.590542,0.718450,0.974219", \ "0.529710,0.550224,0.567101,0.598932,0.662698,0.790595,1.046342", \ "0.624161,0.644958,0.661876,0.693618,0.757279,0.885123,1.140865"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.012750,0.016736,0.020496,0.027681,0.041941,0.071350,0.132810", \ "0.012751,0.016737,0.020496,0.027682,0.041941,0.071353,0.132809", \ "0.012753,0.016739,0.020498,0.027682,0.041941,0.071353,0.132807", \ "0.012824,0.016796,0.020545,0.027716,0.041962,0.071361,0.132810", \ "0.013176,0.017089,0.020799,0.027920,0.042104,0.071438,0.132830", \ "0.013732,0.017558,0.021209,0.028249,0.042331,0.071558,0.132874", \ "0.014648,0.018332,0.021884,0.028796,0.042718,0.071777,0.132942"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.022271,0.031986,0.044067,0.072516,0.133055,0.255274,0.500087", \ "0.022283,0.031988,0.044068,0.072509,0.133043,0.255273,0.500086", \ "0.022268,0.031984,0.044069,0.072516,0.133040,0.255277,0.500087", \ "0.022286,0.031985,0.044066,0.072516,0.133035,0.255278,0.500086", \ "0.022271,0.031985,0.044067,0.072509,0.133044,0.255277,0.500092", \ "0.022238,0.031958,0.044051,0.072507,0.133046,0.255276,0.500085", \ "0.022829,0.032425,0.044301,0.072575,0.133065,0.255269,0.500102"); } } internal_power () { related_pin : "A"; when : "!B1 & !B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.680840,8.321471,8.710567,9.094664,9.397401,9.562385,9.652161", \ "7.668106,8.325343,8.698807,9.086194,9.390627,9.563562,9.645959", \ "7.610070,8.249650,8.633270,9.041399,9.335419,9.505684,9.591183", \ "7.589250,8.222881,8.600178,8.979955,9.303630,9.467335,9.553113", \ "7.642831,8.300832,8.655877,9.038567,9.344001,9.526128,9.613152", \ "7.909728,8.491401,8.848516,9.244847,9.549790,9.698578,9.788068", \ "8.218383,8.779137,9.122867,9.560122,9.896574,10.058970,10.121550"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.608990,11.175640,11.498610,11.953830,12.341620,12.391730,12.611290", \ "10.572510,11.124090,11.470050,11.925070,12.297330,12.394610,12.614430", \ "10.482950,11.072120,11.431690,11.885750,12.243520,12.343680,12.292530", \ "10.460860,11.049870,11.406390,11.803520,12.033840,12.223400,12.444180", \ "10.449320,11.060680,11.368740,11.832300,12.246350,12.208300,12.431410", \ "10.549040,11.144060,11.484860,11.839260,12.335430,12.320770,12.547350", \ "10.592630,11.231630,11.548900,12.004150,12.410170,12.508600,12.740890"); } } internal_power () { related_pin : "A"; when : "!B1 & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.607611,8.246282,8.622818,9.023006,9.328723,9.500171,9.590272", \ "7.541808,8.243658,8.620636,9.006328,9.319664,9.487695,9.576739", \ "7.517023,8.170597,8.549585,8.949288,9.249447,9.415316,9.503347", \ "7.445758,8.113585,8.510693,8.900245,9.205161,9.377123,9.469524", \ "7.561785,8.173613,8.559009,8.938134,9.247876,9.429177,9.526810", \ "7.780438,8.388261,8.762376,9.158758,9.462399,9.615910,9.706415", \ "8.103019,8.664163,9.029179,9.470877,9.800544,9.971269,10.032910"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.413380,10.925970,11.219380,11.654070,12.047960,12.275630,12.234620", \ "10.377230,10.889920,11.207420,11.640880,11.926950,12.022930,12.252890", \ "10.292010,10.859570,11.125280,11.490700,11.991660,11.994190,12.222930", \ "10.274110,10.841630,11.161310,11.472320,11.971540,11.934910,12.164110", \ "10.283890,10.816990,11.111210,11.548950,11.851980,12.100470,12.057810", \ "10.375260,10.891070,11.185410,11.554400,11.923750,12.190920,12.151710", \ "10.463150,11.050440,11.331750,11.798960,12.195890,12.293660,12.528530"); } } internal_power () { related_pin : "A"; when : "!B1 & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.591548,8.230668,8.620068,9.014389,9.326739,9.500631,9.584934", \ "7.588820,8.221600,8.607753,9.002896,9.310933,9.487744,9.574535", \ "7.514419,8.146032,8.537535,8.936065,9.245151,9.413650,9.504075", \ "7.502800,8.101236,8.477898,8.892773,9.209260,9.387664,9.475297", \ "7.591953,8.187426,8.555915,8.933515,9.256077,9.439770,9.538122", \ "7.800829,8.379710,8.765355,9.159673,9.472120,9.625122,9.727996", \ "8.087937,8.696244,9.047491,9.483493,9.822046,9.995679,10.062130"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.976660,11.561130,11.844150,12.305700,12.713150,12.736230,12.988810", \ "10.960310,11.517880,11.824180,12.283990,12.690810,12.745270,12.997680", \ "10.898560,11.464730,11.742150,12.134740,12.604630,12.712780,12.965660", \ "10.888630,11.437660,11.723450,12.126940,12.548100,12.659600,12.913840", \ "10.899120,11.434960,11.749130,12.213730,12.621130,12.825750,12.807670", \ "10.937830,11.523930,11.847330,12.173600,12.712560,12.859860,12.842330", \ "11.065030,11.676750,11.964220,12.377200,12.802620,13.035180,13.020350"); } } internal_power () { related_pin : "A"; when : "!B1 & B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.673988,8.322083,8.699888,9.087289,9.406782,9.563472,9.652811", \ "7.674048,8.333540,8.706524,9.093241,9.398372,9.571041,9.654112", \ "7.651540,8.276078,8.664064,9.051554,9.357669,9.529220,9.613593", \ "7.583307,8.236227,8.624420,9.014749,9.330486,9.498200,9.581701", \ "7.723261,8.322366,8.666986,9.058328,9.367593,9.539135,9.633767", \ "7.898985,8.488064,8.861095,9.253131,9.558014,9.713164,9.802679", \ "8.163422,8.733052,9.123591,9.543911,9.878130,10.043690,10.104720"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.417830,11.030450,11.348920,11.775470,12.129350,12.449090,12.700460", \ "10.416350,10.993570,11.300680,11.793810,12.150200,12.500100,12.478280", \ "10.367560,10.904990,11.244210,11.738340,12.091760,12.558850,12.537640", \ "10.323690,10.917280,11.243330,11.612780,12.101520,12.530130,12.510260", \ "10.301010,10.895280,11.237800,11.606790,12.168960,12.341920,12.593950", \ "10.395550,10.988360,11.267380,11.798350,12.253400,12.465230,12.446290", \ "10.497470,11.135290,11.472040,11.875210,12.365020,12.694340,12.681130"); } } internal_power () { related_pin : "A"; when : "!B1 & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.597168,8.247096,8.622732,9.022599,9.328791,9.497324,9.583037", \ "7.588261,8.209096,8.627972,9.009700,9.322801,9.492217,9.584599", \ "7.546874,8.177008,8.562779,8.959870,9.272719,9.445463,9.528297", \ "7.530837,8.124501,8.531043,8.930443,9.240475,9.402700,9.497605", \ "7.589830,8.197537,8.589704,8.956201,9.270021,9.459703,9.544633", \ "7.785428,8.396866,8.770482,9.157994,9.464918,9.623630,9.713233", \ "8.103053,8.663020,9.026315,9.457484,9.785838,9.957508,10.020090"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.294730,10.870790,11.177580,11.570960,12.034310,12.383700,12.368130", \ "10.247980,10.828400,11.146950,11.470390,11.803850,12.178910,12.434770", \ "10.218170,10.796640,11.087220,11.433400,11.855500,12.254960,12.263920", \ "10.215750,10.739590,11.029360,11.487840,11.917090,12.267200,12.253320", \ "10.177310,10.757050,11.033100,11.493160,11.958000,12.221920,12.207720", \ "10.284060,10.808230,11.114730,11.508580,11.976380,12.321550,12.307710", \ "10.390290,11.000630,11.301860,11.707520,12.183080,12.500350,12.489660"); } } internal_power () { related_pin : "A"; when : "!B1 & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.600944,8.238252,8.621629,9.015278,9.326219,9.502308,9.589569", \ "7.595939,8.234679,8.619946,9.009283,9.325313,9.490457,9.583291", \ "7.506526,8.156388,8.561076,8.961747,9.271724,9.435088,9.527474", \ "7.526013,8.118801,8.530664,8.919303,9.232328,9.414897,9.502178", \ "7.626957,8.200368,8.578846,8.944553,9.275105,9.458629,9.554369", \ "7.805119,8.386128,8.766004,9.165955,9.483790,9.640247,9.732912", \ "8.081296,8.654455,9.050488,9.475339,9.811574,9.989765,10.049100"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.935530,11.502680,11.776380,12.259560,12.766670,13.032420,13.042130", \ "10.880470,11.477000,11.733930,12.228010,12.575100,12.813290,13.096640", \ "10.847050,11.428620,11.695100,12.110190,12.524830,12.971020,12.898880", \ "10.795730,11.401800,11.683340,12.070640,12.551040,12.908070,12.920120", \ "10.818510,11.392310,11.708430,12.065060,12.500650,12.867860,12.878460", \ "10.840840,11.438090,11.710740,12.195830,12.659670,12.939970,12.950140", \ "11.027910,11.626970,11.883430,12.371560,12.789370,13.210070,13.222970"); } } internal_power () { related_pin : "A"; when : "B1 & !B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.624177,8.310921,8.694386,9.088590,9.393592,9.560806,9.651103", \ "7.666453,8.313634,8.695292,9.093296,9.396521,9.562061,9.654569", \ "7.637817,8.260908,8.644538,9.047810,9.360494,9.522506,9.613610", \ "7.584226,8.221796,8.608149,9.011872,9.331798,9.495823,9.590268", \ "7.686721,8.289609,8.670758,9.054858,9.370462,9.551233,9.641157", \ "7.888529,8.466297,8.844154,9.260820,9.571452,9.719788,9.817409", \ "8.160062,8.744637,9.104532,9.561465,9.895715,10.076190,10.132920"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.043150,11.597690,11.926610,12.444870,12.842600,13.346930,13.351420", \ "11.030250,11.584630,11.939250,12.352990,12.850920,13.115830,13.392040", \ "10.979160,11.533530,11.882260,12.263520,12.795210,13.174700,13.179620", \ "10.941580,11.496150,11.824630,12.288250,12.815230,13.151250,13.156190", \ "10.921940,11.476140,11.831560,12.290460,12.816410,12.962230,13.241170", \ "10.960060,11.571680,11.903480,12.427940,12.951180,13.051940,13.329660", \ "11.145500,11.721520,12.067810,12.599270,13.133810,13.343690,13.352580"); } } internal_power () { related_pin : "A"; when : "B1 & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.600944,8.238252,8.621629,9.015278,9.326219,9.502308,9.589569", \ "7.595939,8.234679,8.619946,9.009283,9.325313,9.490457,9.583291", \ "7.506526,8.156388,8.561076,8.961747,9.271724,9.435088,9.527474", \ "7.526013,8.118801,8.530664,8.919303,9.232328,9.414897,9.502178", \ "7.626957,8.200368,8.578846,8.944553,9.275105,9.458629,9.554369", \ "7.805119,8.386128,8.766004,9.165955,9.483790,9.640247,9.732912", \ "8.081296,8.654455,9.050488,9.475339,9.811574,9.989765,10.049100"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.935530,11.502680,11.776380,12.259560,12.766670,13.032420,13.042130", \ "10.880470,11.477000,11.733930,12.228010,12.575100,12.813290,13.096640", \ "10.847050,11.428620,11.695100,12.110190,12.524830,12.971020,12.898880", \ "10.795730,11.401800,11.683340,12.070640,12.551040,12.908070,12.920120", \ "10.818510,11.392310,11.708430,12.065060,12.500650,12.867860,12.878460", \ "10.840840,11.438090,11.710740,12.195830,12.659670,12.939970,12.950140", \ "11.027910,11.626970,11.883430,12.371560,12.789370,13.210070,13.222970"); } } internal_power () { related_pin : "A"; when : "B1 & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.597721,8.233984,8.605836,9.012028,9.330451,9.491807,9.587655", \ "7.594795,8.222993,8.609810,9.005851,9.321553,9.489915,9.580445", \ "7.517336,8.181076,8.549589,8.950360,9.266398,9.434114,9.526909", \ "7.505833,8.145940,8.523212,8.913034,9.234906,9.406053,9.502147", \ "7.624572,8.206755,8.568723,8.948615,9.284039,9.464808,9.560645", \ "7.786504,8.383568,8.766762,9.179903,9.489548,9.652972,9.752422", \ "8.137190,8.688420,9.067850,9.476101,9.839324,10.013560,10.081680"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.532770,12.146650,12.468020,12.839070,13.301390,13.694470,13.729300", \ "11.523030,12.081080,12.415860,12.853980,13.359230,13.737430,13.773450", \ "11.430190,12.045560,12.377620,12.817260,13.241630,13.539430,13.845410", \ "11.443200,12.027800,12.337480,12.761670,13.311580,13.565720,13.597440", \ "11.413490,12.027660,12.348490,12.795610,13.210340,13.526500,13.835790", \ "11.479220,12.061090,12.348610,12.788090,13.332190,13.579640,13.889240", \ "11.626910,12.256310,12.560030,12.998170,13.542430,13.728810,14.038780"); } } internal_power () { related_pin : "B1"; when : "!A & B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.921680,8.580877,8.986732,9.427285,9.783646,9.996560,10.131910", \ "7.931031,8.552369,8.943631,9.394358,9.750047,9.971688,10.106050", \ "7.851804,8.521202,8.925032,9.352601,9.711191,9.923407,10.060420", \ "7.861909,8.506974,8.918852,9.326638,9.692362,9.904123,10.040540", \ "7.984323,8.560615,8.970140,9.399023,9.753895,9.972560,10.115530", \ "8.190858,8.759464,9.142816,9.591891,9.934393,10.135720,10.275570", \ "8.503564,9.078127,9.436971,9.876910,10.248930,10.459130,10.555990"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.281530,11.824830,12.138280,12.642990,13.154720,13.262420,13.513390", \ "11.249200,11.787080,12.134980,12.574030,13.083960,13.224430,13.474530", \ "11.179630,11.717050,12.020570,12.525810,12.923970,13.153510,13.404140", \ "11.126860,11.676280,12.023470,12.401600,12.910900,13.110380,13.362240", \ "11.077140,11.671320,11.969700,12.422530,12.871180,13.127840,13.379480", \ "11.144190,11.682520,11.988380,12.501180,13.011150,13.275100,13.433740", \ "11.153670,11.735480,12.083430,12.534810,13.060120,13.276180,13.532820"); } } internal_power () { related_pin : "B1"; when : "!A & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.771316,8.444011,8.821196,9.295826,9.657297,9.885239,10.035020", \ "7.752091,8.411018,8.792847,9.263024,9.636790,9.857701,10.007160", \ "7.700918,8.368062,8.785170,9.204977,9.586503,9.813878,9.958058", \ "7.714629,8.349633,8.773910,9.194022,9.566872,9.791236,9.936961", \ "7.853358,8.428936,8.829590,9.272007,9.638021,9.863001,10.002270", \ "8.087042,8.655668,9.027140,9.468605,9.809704,10.022070,10.167310", \ "8.367513,8.936553,9.319253,9.760156,10.143210,10.355590,10.451140"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.140910,11.683800,11.954090,12.350430,12.838120,13.196310,13.180830", \ "11.106980,11.658060,11.955740,12.293820,12.781500,13.170300,13.155260", \ "11.040100,11.563870,11.873500,12.277120,12.633390,12.857640,13.115220", \ "10.952850,11.532860,11.832430,12.177700,12.665750,12.836690,13.092950", \ "10.947220,11.526670,11.826920,12.183570,12.671110,12.895680,12.880420", \ "11.007090,11.531070,11.820540,12.296110,12.685380,13.046430,13.031730", \ "11.050070,11.622440,11.904930,12.314350,12.809630,12.970310,13.230540"); } } internal_power () { related_pin : "B1"; when : "!A & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.798246,8.423445,8.835474,9.287192,9.649431,9.888209,10.037790", \ "7.771581,8.410075,8.800909,9.263714,9.631939,9.867924,10.008170", \ "7.700221,8.365838,8.767960,9.219190,9.590531,9.811908,9.951327", \ "7.727343,8.346306,8.758740,9.204589,9.571013,9.799969,9.941706", \ "7.795848,8.447268,8.817745,9.259784,9.633046,9.879094,10.014840", \ "8.086207,8.639394,9.028613,9.470337,9.831359,10.046590,10.199000", \ "8.405568,8.955575,9.351474,9.785823,10.178350,10.395450,10.501440"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.752950,12.314470,12.594510,13.021380,13.422140,13.850700,13.858870", \ "11.717630,12.253300,12.588070,13.003400,13.387560,13.810220,13.820320", \ "11.607430,12.217970,12.499980,12.982120,13.463650,13.761390,13.771820", \ "11.587620,12.183640,12.479940,12.848190,13.278270,13.745910,13.758170", \ "11.591410,12.158690,12.441150,12.877550,13.276110,13.545490,13.828100", \ "11.586190,12.182840,12.427030,12.893470,13.319160,13.688860,13.700260", \ "11.651450,12.260710,12.571110,13.014950,13.401140,13.766960,13.779740"); } } internal_power () { related_pin : "B2"; when : "!A & B1 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.952189,8.574597,8.992449,9.412546,9.778807,9.995192,10.133460", \ "7.910888,8.571614,8.941696,9.403127,9.752158,9.972922,10.105980", \ "7.837932,8.533537,8.932242,9.349062,9.716855,9.938840,10.071590", \ "7.818194,8.515825,8.913776,9.345342,9.699921,9.915607,10.044070", \ "7.904689,8.500440,8.869768,9.331807,9.690412,9.908315,10.042130", \ "8.005781,8.622427,8.990850,9.408298,9.763767,9.963901,10.099540", \ "8.198740,8.788774,9.164493,9.609784,9.953899,10.168650,10.261840"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.776020,12.383440,12.715300,13.101740,13.641030,14.024790,14.028910", \ "11.784930,12.338320,12.640560,13.161450,13.628580,13.979810,13.983010", \ "11.700180,12.306820,12.650150,13.055200,13.572750,13.838070,14.114870", \ "11.717960,12.272510,12.611860,13.024970,13.541060,13.759530,14.036490", \ "11.715350,12.268750,12.606280,13.080080,13.503030,13.916370,14.043750", \ "11.705400,12.315360,12.661070,13.093220,13.590240,13.799310,14.076780", \ "11.802320,12.372840,12.698260,13.226820,13.767490,14.041710,14.050630"); } } internal_power () { related_pin : "B2"; when : "!A & B1 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.775611,8.440929,8.830341,9.276844,9.654504,9.889503,10.038370", \ "7.745810,8.392161,8.826453,9.259307,9.632167,9.869573,10.010810", \ "7.732605,8.375522,8.792412,9.228712,9.593943,9.819657,9.967123", \ "7.670386,8.369038,8.726676,9.208552,9.571537,9.797840,9.939487", \ "7.755428,8.355224,8.758856,9.180681,9.568076,9.788378,9.935468", \ "7.860128,8.495257,8.851956,9.283207,9.646420,9.856491,10.002520", \ "8.073667,8.643154,9.026064,9.486575,9.852205,10.063200,10.157800"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.636860,12.240400,12.534430,12.954010,13.347610,13.770090,13.746030", \ "11.660690,12.224830,12.536490,12.888010,13.270710,13.702360,13.712240", \ "11.588040,12.184560,12.495760,12.915580,13.295520,13.577190,13.859390", \ "11.568470,12.165010,12.474040,12.900210,13.278960,13.512470,13.795830", \ "11.549960,12.153880,12.443450,12.888190,13.262200,13.559180,13.842370", \ "11.594360,12.171250,12.476440,12.900870,13.268480,13.695370,13.706630", \ "11.666730,12.219850,12.518420,13.005440,13.517970,13.782890,13.796230"); } } internal_power () { related_pin : "B2"; when : "!A & B1 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.780442,8.435402,8.834159,9.290319,9.660427,9.889004,10.038090", \ "7.783227,8.402126,8.770327,9.261981,9.622996,9.859920,10.011110", \ "7.714584,8.357603,8.772772,9.230406,9.584199,9.827330,9.974233", \ "7.697193,8.331185,8.734089,9.203708,9.574825,9.798614,9.934807", \ "7.728968,8.365345,8.754069,9.201180,9.557632,9.804592,9.944342", \ "7.897920,8.482073,8.868704,9.300132,9.652250,9.865125,10.009230", \ "8.079883,8.643239,9.041105,9.474559,9.866123,10.076440,10.179580"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("12.293320,12.874160,13.187070,13.547250,14.112100,14.400720,14.708090", \ "12.255270,12.868550,13.165100,13.609280,14.037400,14.363330,14.668620", \ "12.233170,12.792550,13.122730,13.497340,14.056990,14.504170,14.538180", \ "12.217530,12.796810,13.109300,13.490070,14.048130,14.438730,14.475410", \ "12.202970,12.760750,13.095020,13.489490,14.046320,14.219890,14.528240", \ "12.186240,12.800270,13.065310,13.580790,13.997480,14.346510,14.384370", \ "12.311430,12.897160,13.198190,13.626190,14.044520,14.356350,14.665920"); } } internal_power () { related_pin : "C1"; when : "!A & !B1 & !B2 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("6.978394,7.602988,7.986890,8.376618,8.678076,8.832354,8.899319", \ "6.981112,7.602631,7.998837,8.382839,8.689611,8.845230,8.905463", \ "6.951774,7.582381,7.974029,8.363564,8.665629,8.820003,8.888339", \ "6.979174,7.585187,7.941211,8.353440,8.651693,8.820271,8.876134", \ "7.036777,7.669277,8.015455,8.417551,8.733977,8.895891,8.969578", \ "7.302820,7.863800,8.228018,8.659088,8.971670,9.119014,9.189605", \ "7.613353,8.176711,8.576932,8.995455,9.352854,9.529494,9.566934"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("9.678412,10.205020,10.500110,10.879820,11.275640,11.488390,11.445240", \ "9.587386,10.155530,10.480580,10.793920,11.149530,11.289510,11.519030", \ "9.509793,10.077950,10.370300,10.749670,11.144350,11.212810,11.441770", \ "9.539025,10.051820,10.409810,10.714030,11.148280,11.249470,11.478310", \ "9.638066,10.205980,10.526710,10.851950,11.218740,11.460650,11.417940", \ "9.975084,10.515380,10.788930,11.237900,11.629420,11.648980,11.883900", \ "10.290890,10.896760,11.199950,11.647410,11.906710,12.196080,12.161730"); } } internal_power () { related_pin : "C1"; when : "!A & !B1 & B2 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("6.986887,7.596378,7.990569,8.385842,8.679200,8.831978,8.900712", \ "6.989796,7.612400,7.997008,8.391589,8.685548,8.845839,8.905794", \ "6.960697,7.597482,7.981234,8.372241,8.670893,8.824452,8.892269", \ "7.003812,7.595789,7.955272,8.374436,8.669030,8.831670,8.892686", \ "7.058536,7.686979,8.049238,8.434605,8.749183,8.909237,8.978302", \ "7.307136,7.884465,8.244381,8.663234,8.979555,9.123683,9.190221", \ "7.587817,8.165817,8.564271,8.976086,9.338084,9.507401,9.552733"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("9.582135,10.106160,10.416140,10.754830,11.246660,11.617980,11.622730", \ "9.527216,10.052050,10.363640,10.701320,11.059300,11.456460,11.711920", \ "9.421843,9.996650,10.280440,10.737960,11.227810,11.455460,11.496630", \ "9.466188,9.989922,10.294330,10.638850,11.130900,11.293470,11.550150", \ "9.530100,10.096790,10.400800,10.844800,11.336960,11.568600,11.552650", \ "9.840271,10.360130,10.676630,11.048260,11.533550,11.699510,11.957000", \ "10.231350,10.818510,11.106490,11.456740,11.957260,12.203390,12.283300"); } } internal_power () { related_pin : "C1"; when : "!A & B1 & !B2 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("6.971969,7.572570,7.956954,8.367401,8.674254,8.835728,8.898128", \ "6.971520,7.577768,7.984780,8.376498,8.680407,8.841511,8.899837", \ "6.977769,7.565476,7.965119,8.359527,8.658814,8.821902,8.891650", \ "6.996659,7.582808,7.960769,8.363057,8.664589,8.827427,8.896646", \ "7.070738,7.666518,8.033835,8.426479,8.750218,8.917987,8.997011", \ "7.335272,7.871571,8.255825,8.664012,8.985630,9.136220,9.220067", \ "7.614357,8.194225,8.567478,9.004403,9.367609,9.545428,9.594973"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.164540,10.761950,11.073930,11.458680,11.863400,12.263230,12.273450", \ "10.142500,10.728380,11.019970,11.463200,11.923470,12.076290,12.359160", \ "10.085880,10.657890,10.922950,11.382800,11.861270,12.073380,12.355330", \ "10.061890,10.639690,10.933800,11.374160,11.776450,12.221730,12.232930", \ "10.161130,10.727970,10.995590,11.409420,11.849370,12.266970,12.277880", \ "10.364840,10.965420,11.246120,11.731200,11.998210,12.405580,12.687550", \ "10.824220,11.416690,11.705190,12.073750,12.508570,12.940330,12.952270"); } } internal_power () { related_pin : "C2"; when : "!A & !B1 & !B2 & C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("6.994449,7.610092,7.990520,8.385527,8.680559,8.841204,8.902223", \ "6.956971,7.604185,7.997092,8.386966,8.694574,8.842731,8.911079", \ "6.965309,7.587199,7.979983,8.371768,8.669955,8.824149,8.893326", \ "6.882648,7.558513,7.927937,8.323212,8.623836,8.786957,8.846840", \ "6.977828,7.551117,7.927129,8.306855,8.624019,8.783657,8.848593", \ "7.097199,7.669854,8.048697,8.439796,8.742204,8.886635,8.952260", \ "7.228996,7.865673,8.236644,8.658445,8.993920,9.160303,9.200521"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.186130,10.744890,11.087180,11.452570,11.872030,12.128720,12.032190", \ "10.140730,10.669940,10.988340,11.449650,11.826610,12.116160,12.097200", \ "10.066510,10.651730,10.955120,11.348870,11.760240,11.987740,11.969680", \ "10.088740,10.674090,10.998630,11.430240,11.841280,12.020670,12.002600", \ "10.221380,10.786360,11.095780,11.569360,11.980620,11.973870,12.226440", \ "10.492790,11.076650,11.393270,11.724000,12.134340,12.357430,12.338500", \ "10.872350,11.464530,11.780110,12.131500,12.567940,12.897030,12.882640"); } } internal_power () { related_pin : "C2"; when : "!A & !B1 & B2 & C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.002951,7.616440,7.994460,8.387585,8.681346,8.834227,8.902299", \ "6.964687,7.612421,8.000828,8.390885,8.695448,8.842537,8.912171", \ "6.972652,7.593918,7.985585,8.381356,8.676502,8.831678,8.899450", \ "6.968973,7.568176,7.944134,8.332404,8.635779,8.792610,8.858151", \ "6.995272,7.570189,7.945214,8.332789,8.635716,8.797990,8.861386", \ "7.095947,7.678016,8.060179,8.450571,8.755982,8.894016,8.963683", \ "7.230573,7.866061,8.234932,8.654721,8.993251,9.160292,9.200006"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.107200,10.705610,10.998660,11.438910,11.859830,12.149410,12.159880", \ "10.094020,10.658080,10.930870,11.417340,11.923530,12.243990,12.254410", \ "10.023030,10.619030,10.889530,11.376660,11.747620,12.172680,12.183110", \ "10.059090,10.627080,10.897530,11.388710,11.752640,12.000040,12.282470", \ "10.134070,10.729800,10.970770,11.464460,11.839400,12.293650,12.304610", \ "10.381180,10.966170,11.240730,11.696520,12.008500,12.420660,12.431610", \ "10.787180,11.384920,11.690350,12.044230,12.496910,12.949670,12.961980"); } } internal_power () { related_pin : "C2"; when : "!A & B1 & !B2 & C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("6.995014,7.584601,7.964321,8.369258,8.676537,8.837598,8.900467", \ "7.002536,7.608669,7.989029,8.378428,8.685461,8.848263,8.909733", \ "6.947352,7.592648,7.951538,8.362811,8.675624,8.824977,8.896132", \ "6.912830,7.526461,7.906775,8.328878,8.632721,8.792788,8.859862", \ "6.959460,7.540449,7.915582,8.319530,8.633457,8.802733,8.863383", \ "7.075009,7.654914,8.029125,8.447037,8.762720,8.907059,8.973638", \ "7.291717,7.845204,8.208574,8.640002,9.005053,9.174634,9.222323"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.756580,11.314090,11.661210,12.104040,12.655500,12.803240,13.111650", \ "10.675540,11.296420,11.625430,12.025130,12.445180,12.887830,13.134390", \ "10.656800,11.247780,11.540620,11.983740,12.533260,12.810960,12.847520", \ "10.661320,11.250990,11.580260,12.030170,12.444810,12.932120,12.970140", \ "10.716110,11.331140,11.649900,12.017650,12.496500,12.991170,13.029210", \ "10.923580,11.549060,11.845310,12.222340,12.816620,13.138270,13.174780", \ "11.352480,11.987860,12.264810,12.639810,13.184070,13.508060,13.544660"); } } } } /****************************************************************************************** Module : AOI222_X1 Cell Description : Combinational cell (AOI222_X1) with drive strength X1 *******************************************************************************************/ cell (AOI222_X1) { drive_strength : 1; area : 2.128000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 25.239307; leakage_power () { when : "!A1 & !A2 & !B1 & !B2 & !C1 & !C2"; value : 9.524225; } leakage_power () { when : "!A1 & !A2 & !B1 & !B2 & !C1 & C2"; value : 23.764487; } leakage_power () { when : "!A1 & !A2 & !B1 & !B2 & C1 & !C2"; value : 14.064704; } leakage_power () { when : "!A1 & !A2 & !B1 & !B2 & C1 & C2"; value : 14.279165; } leakage_power () { when : "!A1 & !A2 & !B1 & B2 & !C1 & !C2"; value : 23.764582; } leakage_power () { when : "!A1 & !A2 & !B1 & B2 & !C1 & C2"; value : 37.996380; } leakage_power () { when : "!A1 & !A2 & !B1 & B2 & C1 & !C2"; value : 28.302773; } leakage_power () { when : "!A1 & !A2 & !B1 & B2 & C1 & C2"; value : 19.245290; } leakage_power () { when : "!A1 & !A2 & B1 & !B2 & !C1 & !C2"; value : 14.064703; } leakage_power () { when : "!A1 & !A2 & B1 & !B2 & !C1 & C2"; value : 28.302781; } leakage_power () { when : "!A1 & !A2 & B1 & !B2 & C1 & !C2"; value : 18.605754; } leakage_power () { when : "!A1 & !A2 & B1 & !B2 & C1 & C2"; value : 19.243760; } leakage_power () { when : "!A1 & !A2 & B1 & B2 & !C1 & !C2"; value : 14.575945; } leakage_power () { when : "!A1 & !A2 & B1 & B2 & !C1 & C2"; value : 19.644594; } leakage_power () { when : "!A1 & !A2 & B1 & B2 & C1 & !C2"; value : 19.643017; } leakage_power () { when : "!A1 & !A2 & B1 & B2 & C1 & C2"; value : 21.433748; } leakage_power () { when : "!A1 & A2 & !B1 & !B2 & !C1 & !C2"; value : 23.764582; } leakage_power () { when : "!A1 & A2 & !B1 & !B2 & !C1 & C2"; value : 37.996485; } leakage_power () { when : "!A1 & A2 & !B1 & !B2 & C1 & !C2"; value : 28.302782; } leakage_power () { when : "!A1 & A2 & !B1 & !B2 & C1 & C2"; value : 19.284382; } leakage_power () { when : "!A1 & A2 & !B1 & B2 & !C1 & !C2"; value : 37.996466; } leakage_power () { when : "!A1 & A2 & !B1 & B2 & !C1 & C2"; value : 52.216683; } leakage_power () { when : "!A1 & A2 & !B1 & B2 & C1 & !C2"; value : 42.531057; } leakage_power () { when : "!A1 & A2 & !B1 & B2 & C1 & C2"; value : 24.284365; } leakage_power () { when : "!A1 & A2 & B1 & !B2 & !C1 & !C2"; value : 28.302867; } leakage_power () { when : "!A1 & A2 & B1 & !B2 & !C1 & C2"; value : 42.531066; } leakage_power () { when : "!A1 & A2 & B1 & !B2 & C1 & !C2"; value : 32.841543; } leakage_power () { when : "!A1 & A2 & B1 & !B2 & C1 & C2"; value : 24.282846; } leakage_power () { when : "!A1 & A2 & B1 & B2 & !C1 & !C2"; value : 19.476339; } leakage_power () { when : "!A1 & A2 & B1 & B2 & !C1 & C2"; value : 24.545140; } leakage_power () { when : "!A1 & A2 & B1 & B2 & C1 & !C2"; value : 24.543582; } leakage_power () { when : "!A1 & A2 & B1 & B2 & C1 & C2"; value : 26.533357; } leakage_power () { when : "A1 & !A2 & !B1 & !B2 & !C1 & !C2"; value : 14.064703; } leakage_power () { when : "A1 & !A2 & !B1 & !B2 & !C1 & C2"; value : 28.302781; } leakage_power () { when : "A1 & !A2 & !B1 & !B2 & C1 & !C2"; value : 18.605848; } leakage_power () { when : "A1 & !A2 & !B1 & !B2 & C1 & C2"; value : 19.282843; } leakage_power () { when : "A1 & !A2 & !B1 & B2 & !C1 & !C2"; value : 28.302867; } leakage_power () { when : "A1 & !A2 & !B1 & B2 & !C1 & C2"; value : 42.531066; } leakage_power () { when : "A1 & !A2 & !B1 & B2 & C1 & !C2"; value : 32.841543; } leakage_power () { when : "A1 & !A2 & !B1 & B2 & C1 & C2"; value : 24.282845; } leakage_power () { when : "A1 & !A2 & B1 & !B2 & !C1 & !C2"; value : 18.605847; } leakage_power () { when : "A1 & !A2 & B1 & !B2 & !C1 & C2"; value : 32.841552; } leakage_power () { when : "A1 & !A2 & B1 & !B2 & C1 & !C2"; value : 23.147943; } leakage_power () { when : "A1 & !A2 & B1 & !B2 & C1 & C2"; value : 24.280432; } leakage_power () { when : "A1 & !A2 & B1 & B2 & !C1 & !C2"; value : 19.474791; } leakage_power () { when : "A1 & !A2 & B1 & B2 & !C1 & C2"; value : 24.543573; } leakage_power () { when : "A1 & !A2 & B1 & B2 & C1 & !C2"; value : 24.541141; } leakage_power () { when : "A1 & !A2 & B1 & B2 & C1 & C2"; value : 26.532369; } leakage_power () { when : "A1 & A2 & !B1 & !B2 & !C1 & !C2"; value : 17.306131; } leakage_power () { when : "A1 & A2 & !B1 & !B2 & !C1 & C2"; value : 22.372139; } leakage_power () { when : "A1 & A2 & !B1 & !B2 & C1 & !C2"; value : 22.370134; } leakage_power () { when : "A1 & A2 & !B1 & !B2 & C1 & C2"; value : 21.518003; } leakage_power () { when : "A1 & A2 & !B1 & B2 & !C1 & !C2"; value : 22.372177; } leakage_power () { when : "A1 & A2 & !B1 & B2 & !C1 & C2"; value : 27.438204; } leakage_power () { when : "A1 & A2 & !B1 & B2 & C1 & !C2"; value : 27.436218; } leakage_power () { when : "A1 & A2 & !B1 & B2 & C1 & C2"; value : 26.610573; } leakage_power () { when : "A1 & A2 & B1 & !B2 & !C1 & !C2"; value : 22.370192; } leakage_power () { when : "A1 & A2 & B1 & !B2 & !C1 & C2"; value : 27.436218; } leakage_power () { when : "A1 & A2 & B1 & !B2 & C1 & !C2"; value : 27.433321; } leakage_power () { when : "A1 & A2 & B1 & !B2 & C1 & C2"; value : 26.609576; } leakage_power () { when : "A1 & A2 & B1 & B2 & !C1 & !C2"; value : 21.541449; } leakage_power () { when : "A1 & A2 & B1 & B2 & !C1 & C2"; value : 26.611428; } leakage_power () { when : "A1 & A2 & B1 & B2 & C1 & !C2"; value : 26.610421; } leakage_power () { when : "A1 & A2 & B1 & B2 & C1 & C2"; value : 31.207834; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.585110; fall_capacitance : 1.370744; rise_capacitance : 1.585110; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.627354; fall_capacitance : 1.377423; rise_capacitance : 1.627354; } pin (B1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.541727; fall_capacitance : 1.426854; rise_capacitance : 1.541727; } pin (B2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.577619; fall_capacitance : 1.408489; rise_capacitance : 1.577619; } pin (C1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.517113; fall_capacitance : 1.471542; rise_capacitance : 1.517113; } pin (C2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.551235; fall_capacitance : 1.440765; rise_capacitance : 1.551235; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 12.968270; function : "!(((A1 & A2) | (B1 & B2)) | (C1 & C2))"; timing () { related_pin : "A1"; when : "A2 & !B1 & !B2 & !C1 & !C2"; sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.019780,0.021217,0.023811,0.028506,0.036979,0.052321,0.080111", \ "0.024273,0.025734,0.028370,0.033122,0.041649,0.057045,0.084873", \ "0.039044,0.041013,0.044366,0.049843,0.058553,0.073906,0.101711", \ "0.052816,0.056004,0.061371,0.070260,0.084063,0.104617,0.134433", \ "0.061031,0.065604,0.073283,0.086102,0.105991,0.135360,0.177233", \ "0.062105,0.068230,0.078437,0.095409,0.121964,0.161139,0.216760", \ "0.054963,0.062690,0.075684,0.097069,0.130568,0.180284,0.250845"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.064420,0.070428,0.081316,0.101027,0.136455,0.200159,0.315229", \ "0.066018,0.072145,0.083226,0.103290,0.139200,0.203561,0.319129", \ "0.080518,0.086277,0.096900,0.116482,0.152198,0.216727,0.332873", \ "0.115907,0.121807,0.131725,0.149498,0.183594,0.246921,0.362210", \ "0.158279,0.166038,0.179298,0.201769,0.238065,0.298504,0.411910", \ "0.204584,0.214235,0.230820,0.258727,0.303733,0.373955,0.485218", \ "0.255512,0.266960,0.286735,0.319894,0.373729,0.457787,0.584648"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.012170,0.013397,0.015636,0.019696,0.027072,0.040452,0.064696", \ "0.012170,0.013402,0.015634,0.019702,0.027065,0.040436,0.064693", \ "0.017354,0.018207,0.019687,0.022269,0.027995,0.040442,0.064691", \ "0.030353,0.031532,0.033519,0.036811,0.042149,0.050846,0.067947", \ "0.047708,0.049371,0.052304,0.056605,0.063458,0.074157,0.090627", \ "0.069157,0.071322,0.075208,0.081060,0.089857,0.103210,0.123024", \ "0.094695,0.097459,0.102223,0.109630,0.120865,0.137475,0.161461"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.055412,0.061145,0.071392,0.089502,0.121754,0.179486,0.283820", \ "0.054874,0.060694,0.071076,0.089328,0.121639,0.179550,0.283807", \ "0.052853,0.058895,0.069708,0.088534,0.121406,0.179445,0.283817", \ "0.059443,0.063470,0.071529,0.087535,0.119647,0.179220,0.283807", \ "0.080120,0.084484,0.092184,0.104310,0.127725,0.178946,0.283653", \ "0.103184,0.108251,0.117291,0.131969,0.156078,0.196714,0.285509", \ "0.128913,0.134825,0.145384,0.162469,0.190316,0.234693,0.309064"); } } timing () { related_pin : "A1"; when : "A2 & !B1 & !B2 & !C1 & C2"; sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.019774,0.021208,0.023802,0.028489,0.036963,0.052296,0.080072", \ "0.024267,0.025726,0.028363,0.033104,0.041638,0.057026,0.084841", \ "0.039095,0.041048,0.044406,0.049883,0.058574,0.073929,0.101720", \ "0.053064,0.056186,0.061572,0.070443,0.084228,0.104734,0.134511", \ "0.061329,0.065892,0.073614,0.086335,0.106237,0.135549,0.177362", \ "0.061897,0.068066,0.078320,0.095359,0.121993,0.161285,0.216901", \ "0.053529,0.061372,0.074539,0.096181,0.129991,0.180006,0.250775"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.071429,0.078928,0.092731,0.117963,0.163759,0.246399,0.395343", \ "0.072356,0.079924,0.093899,0.119541,0.165953,0.249477,0.399048", \ "0.086470,0.093480,0.106700,0.131557,0.177644,0.261368,0.411733", \ "0.123693,0.130061,0.141308,0.163776,0.207699,0.289814,0.439256", \ "0.170806,0.179442,0.194283,0.219643,0.260868,0.339267,0.486517", \ "0.222598,0.233320,0.251791,0.283016,0.333879,0.414179,0.556840", \ "0.279562,0.292239,0.314195,0.351203,0.411615,0.506947,0.653427"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.012172,0.013398,0.015632,0.019697,0.027069,0.040437,0.064696", \ "0.012169,0.013393,0.015635,0.019701,0.027063,0.040442,0.064682", \ "0.017325,0.018189,0.019670,0.022254,0.028002,0.040444,0.064692", \ "0.030201,0.031421,0.033401,0.036698,0.042069,0.050780,0.067921", \ "0.047439,0.049139,0.052098,0.056438,0.063304,0.074001,0.090506", \ "0.068992,0.071175,0.075108,0.080881,0.089717,0.103083,0.122931", \ "0.094813,0.097580,0.102420,0.109750,0.120997,0.137524,0.161464"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.069741,0.077581,0.091577,0.115896,0.158393,0.233003,0.366330", \ "0.068486,0.076488,0.090718,0.115508,0.158178,0.233030,0.366328", \ "0.065141,0.073340,0.088023,0.113845,0.157500,0.232862,0.366331", \ "0.068357,0.074369,0.086202,0.109559,0.154764,0.232315,0.366315", \ "0.089327,0.094663,0.104204,0.120138,0.155563,0.228808,0.366125", \ "0.113416,0.119405,0.130205,0.148033,0.177916,0.236879,0.363470", \ "0.140189,0.147042,0.159190,0.179461,0.212951,0.267775,0.374537"); } } timing () { related_pin : "A1"; when : "A2 & !B1 & !B2 & C1 & !C2"; sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.020170,0.021607,0.024207,0.028898,0.037382,0.052733,0.080545", \ "0.024671,0.026129,0.028769,0.033515,0.042055,0.057463,0.085310", \ "0.039666,0.041600,0.044910,0.050333,0.058991,0.074360,0.102191", \ "0.054082,0.057170,0.062470,0.071269,0.084890,0.105284,0.134984", \ "0.062945,0.067429,0.074970,0.087698,0.107259,0.136418,0.178068", \ "0.064273,0.070340,0.080607,0.097342,0.123664,0.162589,0.217942", \ "0.056845,0.064600,0.077657,0.098944,0.132299,0.181876,0.252268"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.097547,0.105323,0.119480,0.145046,0.191113,0.273975,0.423154", \ "0.099054,0.106934,0.121255,0.147158,0.193707,0.277186,0.426952", \ "0.111594,0.119210,0.133252,0.158930,0.205518,0.289297,0.439768", \ "0.145358,0.152212,0.165039,0.189371,0.234682,0.317446,0.467231", \ "0.199430,0.207265,0.220939,0.244420,0.285780,0.366250,0.514095", \ "0.258237,0.267949,0.284810,0.313769,0.361659,0.439316,0.583992", \ "0.321825,0.333347,0.353448,0.387776,0.444688,0.535814,0.679660"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.013719,0.015061,0.017448,0.021806,0.029640,0.043704,0.068568", \ "0.013731,0.015052,0.017463,0.021811,0.029636,0.043699,0.068570", \ "0.019179,0.020040,0.021429,0.024275,0.030512,0.043703,0.068572", \ "0.034863,0.035868,0.037558,0.040465,0.045536,0.053987,0.071748", \ "0.057070,0.058189,0.060322,0.063604,0.069456,0.079065,0.094704", \ "0.083783,0.085119,0.087735,0.091824,0.098784,0.110250,0.128485", \ "0.114959,0.116585,0.119635,0.124726,0.133246,0.147096,0.168672"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.085965,0.093587,0.107320,0.131026,0.173033,0.247777,0.381635", \ "0.085356,0.093093,0.106995,0.130797,0.172942,0.247762,0.381636", \ "0.083148,0.091110,0.105425,0.129865,0.172664,0.247680,0.381647", \ "0.080167,0.087394,0.100928,0.126290,0.171112,0.247412,0.381646", \ "0.095619,0.100425,0.110159,0.129670,0.168731,0.245088,0.381552", \ "0.119503,0.125577,0.136484,0.154410,0.184937,0.248743,0.379389", \ "0.146692,0.153600,0.165864,0.186103,0.219606,0.274831,0.386737"); } } timing () { related_pin : "A1"; when : "A2 & !B1 & B2 & !C1 & !C2"; sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.019782,0.021215,0.023808,0.028503,0.036971,0.052304,0.080075", \ "0.024316,0.025771,0.028409,0.033158,0.041681,0.057073,0.084887", \ "0.039204,0.041163,0.044510,0.049974,0.058658,0.074009,0.101804", \ "0.053112,0.056297,0.061663,0.070519,0.084281,0.104801,0.134574", \ "0.061225,0.065822,0.073455,0.086308,0.106235,0.135581,0.177412", \ "0.061644,0.067837,0.078143,0.095263,0.121939,0.161226,0.216886", \ "0.053196,0.061063,0.074269,0.095960,0.129829,0.179906,0.250721"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.081188,0.088990,0.103069,0.128506,0.173957,0.255954,0.403735", \ "0.081569,0.089532,0.103944,0.129758,0.175992,0.258802,0.407224", \ "0.094050,0.101624,0.115538,0.140978,0.187096,0.270119,0.419364", \ "0.129092,0.135518,0.147805,0.171727,0.216383,0.298087,0.446405", \ "0.175827,0.184671,0.199848,0.225693,0.267827,0.347214,0.493410", \ "0.226932,0.237821,0.256606,0.288244,0.339752,0.420745,0.563725", \ "0.283218,0.296045,0.318246,0.355665,0.416673,0.512731,0.660035"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.012173,0.013396,0.015640,0.019700,0.027074,0.040445,0.064695", \ "0.012168,0.013394,0.015635,0.019697,0.027067,0.040451,0.064696", \ "0.017277,0.018137,0.019621,0.022218,0.027970,0.040438,0.064686", \ "0.030170,0.031347,0.033361,0.036632,0.042020,0.050733,0.067905", \ "0.047482,0.049160,0.052124,0.056449,0.063298,0.074007,0.090510", \ "0.069210,0.071350,0.075236,0.081014,0.089813,0.103126,0.122933", \ "0.095201,0.097955,0.102691,0.110008,0.121195,0.137620,0.161520"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.072234,0.079424,0.092443,0.115188,0.155869,0.229288,0.361627", \ "0.071700,0.079011,0.092197,0.115074,0.155845,0.229352,0.361617", \ "0.069617,0.077283,0.090947,0.114509,0.155720,0.229262,0.361618", \ "0.071249,0.077376,0.089075,0.111707,0.154723,0.229134,0.361612", \ "0.090769,0.096195,0.105381,0.121645,0.156215,0.227398,0.361601", \ "0.114139,0.120212,0.131081,0.148936,0.178519,0.236229,0.360403", \ "0.140486,0.147378,0.159624,0.179931,0.213453,0.267691,0.372366"); } } timing () { related_pin : "A1"; when : "A2 & !B1 & B2 & !C1 & C2"; sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.019769,0.021205,0.023797,0.028487,0.036951,0.052273,0.080035", \ "0.024305,0.025763,0.028396,0.033137,0.041661,0.057040,0.084841", \ "0.039228,0.041185,0.044531,0.049992,0.058666,0.074008,0.101785", \ "0.053290,0.056409,0.061816,0.070655,0.084391,0.104865,0.134600", \ "0.061485,0.066082,0.073773,0.086585,0.106406,0.135725,0.177485", \ "0.061545,0.067769,0.078088,0.095259,0.121985,0.161320,0.216982", \ "0.052088,0.060044,0.073389,0.095290,0.129377,0.179675,0.250639"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.089479,0.098939,0.116153,0.147339,0.203540,0.304503,0.486622", \ "0.089025,0.098637,0.116217,0.148008,0.205047,0.306941,0.489948", \ "0.100859,0.109926,0.126770,0.157956,0.214818,0.317210,0.501068", \ "0.135982,0.143721,0.158620,0.187771,0.242840,0.343721,0.526529", \ "0.187454,0.197173,0.213965,0.242616,0.292772,0.391010,0.571457", \ "0.243523,0.255444,0.276045,0.310966,0.368242,0.462121,0.639354", \ "0.305213,0.319218,0.343511,0.384617,0.452056,0.559181,0.732515"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.012170,0.013394,0.015636,0.019697,0.027077,0.040439,0.064680", \ "0.012168,0.013393,0.015635,0.019698,0.027065,0.040438,0.064676", \ "0.017257,0.018126,0.019607,0.022215,0.027971,0.040436,0.064685", \ "0.030072,0.031276,0.033274,0.036583,0.041958,0.050705,0.067881", \ "0.047279,0.048963,0.051964,0.056305,0.063195,0.073909,0.090434", \ "0.069011,0.071189,0.075095,0.080847,0.089686,0.103031,0.122869", \ "0.095202,0.097965,0.102767,0.110063,0.121200,0.137656,0.161514"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.088225,0.097445,0.113919,0.142668,0.193030,0.282836,0.444090", \ "0.087076,0.096518,0.113291,0.142485,0.192969,0.282885,0.444091", \ "0.083802,0.093668,0.111131,0.141293,0.192609,0.282788,0.444091", \ "0.082547,0.090861,0.106562,0.136738,0.191111,0.282637,0.444088", \ "0.100636,0.106765,0.117936,0.141023,0.188033,0.281035,0.444089", \ "0.124309,0.131361,0.144201,0.165747,0.202934,0.281714,0.443334", \ "0.151402,0.159274,0.173337,0.196897,0.236542,0.304089,0.445146"); } } timing () { related_pin : "A1"; when : "A2 & !B1 & B2 & C1 & !C2"; sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.020169,0.021605,0.024204,0.028891,0.037368,0.052714,0.080502", \ "0.024708,0.026167,0.028804,0.033546,0.042080,0.057480,0.085312", \ "0.039799,0.041729,0.045030,0.050446,0.059081,0.074443,0.102265", \ "0.054300,0.057365,0.062709,0.071444,0.085063,0.105421,0.135080", \ "0.063108,0.067591,0.075270,0.087800,0.107475,0.136589,0.178193", \ "0.063939,0.070061,0.080276,0.097161,0.123568,0.162648,0.218025", \ "0.055445,0.063302,0.076537,0.098130,0.131715,0.181562,0.252141"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.122535,0.132150,0.149575,0.180997,0.237353,0.338425,0.520828", \ "0.123030,0.132797,0.150484,0.182313,0.239224,0.341119,0.524255", \ "0.133457,0.143014,0.160479,0.192102,0.249230,0.351631,0.535569", \ "0.164534,0.173441,0.189907,0.220508,0.276481,0.377704,0.560937", \ "0.219913,0.228782,0.244371,0.271601,0.325025,0.424373,0.605438", \ "0.283485,0.294336,0.313213,0.345658,0.399913,0.494516,0.672839", \ "0.352278,0.365053,0.387417,0.425658,0.489258,0.592016,0.765399"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.013727,0.015054,0.017452,0.021804,0.029645,0.043703,0.068568", \ "0.013729,0.015048,0.017464,0.021799,0.029638,0.043708,0.068571", \ "0.019103,0.019970,0.021353,0.024232,0.030493,0.043701,0.068570", \ "0.034669,0.035685,0.037392,0.040346,0.045421,0.053922,0.071712", \ "0.056898,0.058026,0.060077,0.063513,0.069275,0.078951,0.094630", \ "0.083823,0.085134,0.087683,0.091865,0.098777,0.110214,0.128439", \ "0.115441,0.117037,0.120031,0.125038,0.133505,0.147232,0.168727"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.107085,0.116033,0.132245,0.160569,0.211005,0.301080,0.462998", \ "0.106657,0.115703,0.132032,0.160487,0.210869,0.301091,0.462999", \ "0.105014,0.114329,0.131074,0.159891,0.210707,0.301070,0.462998", \ "0.100233,0.109534,0.127135,0.157789,0.210083,0.301096,0.463013", \ "0.108659,0.115814,0.129646,0.155993,0.206096,0.300307,0.463010", \ "0.132722,0.139927,0.152971,0.173968,0.215053,0.298491,0.462700", \ "0.160031,0.167962,0.182059,0.205783,0.245704,0.315910,0.462389"); } } timing () { related_pin : "A1"; when : "A2 & B1 & !B2 & !C1 & !C2"; sdf_cond : "(A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.020177,0.021614,0.024215,0.028905,0.037388,0.052744,0.080550", \ "0.024714,0.026175,0.028815,0.033560,0.042101,0.057510,0.085356", \ "0.039758,0.041702,0.045007,0.050426,0.059071,0.074446,0.102281", \ "0.054105,0.057233,0.062543,0.071337,0.084959,0.105348,0.135044", \ "0.062821,0.067339,0.074876,0.087578,0.107280,0.136445,0.178102", \ "0.064014,0.070122,0.080279,0.097136,0.123503,0.162548,0.217941", \ "0.056527,0.064287,0.077365,0.098737,0.132145,0.181779,0.252216"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.107954,0.115800,0.129997,0.155413,0.201096,0.283268,0.431319", \ "0.109179,0.117143,0.131577,0.157351,0.203606,0.286331,0.434911", \ "0.120704,0.128532,0.142730,0.168469,0.214708,0.297880,0.447196", \ "0.152398,0.159689,0.173105,0.197979,0.243298,0.325541,0.474128", \ "0.205136,0.213096,0.227058,0.250654,0.293502,0.373888,0.520765", \ "0.263149,0.273009,0.290091,0.319356,0.367782,0.446409,0.590639", \ "0.325990,0.337637,0.357962,0.392624,0.450027,0.541785,0.686317"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.013719,0.015047,0.017459,0.021801,0.029644,0.043703,0.068568", \ "0.013727,0.015051,0.017468,0.021807,0.029646,0.043701,0.068574", \ "0.019111,0.019966,0.021378,0.024241,0.030505,0.043702,0.068572", \ "0.034763,0.035782,0.037487,0.040424,0.045497,0.053955,0.071731", \ "0.057141,0.058258,0.060337,0.063689,0.069403,0.079050,0.094700", \ "0.084037,0.085350,0.087876,0.092006,0.098859,0.110340,0.128509", \ "0.115390,0.116995,0.119982,0.125002,0.133437,0.147212,0.168720"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.086227,0.093382,0.106372,0.129085,0.170117,0.243907,0.376825", \ "0.086066,0.093248,0.106287,0.129104,0.170141,0.243907,0.376821", \ "0.085220,0.092585,0.105827,0.128829,0.169962,0.243904,0.376826", \ "0.082811,0.089902,0.103011,0.127400,0.169554,0.243851,0.376813", \ "0.096597,0.101606,0.111603,0.130847,0.168583,0.242984,0.376817", \ "0.120271,0.126337,0.137281,0.155169,0.185351,0.247472,0.376068", \ "0.147047,0.153957,0.166348,0.186511,0.219951,0.274522,0.384192"); } } timing () { related_pin : "A1"; when : "A2 & B1 & !B2 & !C1 & C2"; sdf_cond : "(A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.020169,0.021605,0.024204,0.028891,0.037368,0.052714,0.080502", \ "0.024708,0.026167,0.028804,0.033546,0.042080,0.057480,0.085312", \ "0.039799,0.041729,0.045030,0.050446,0.059081,0.074443,0.102265", \ "0.054300,0.057365,0.062709,0.071444,0.085063,0.105421,0.135080", \ "0.063108,0.067591,0.075270,0.087800,0.107475,0.136589,0.178193", \ "0.063939,0.070061,0.080276,0.097161,0.123568,0.162648,0.218025", \ "0.055445,0.063302,0.076537,0.098130,0.131715,0.181562,0.252141"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.122535,0.132150,0.149575,0.180997,0.237353,0.338425,0.520828", \ "0.123030,0.132797,0.150484,0.182313,0.239224,0.341119,0.524255", \ "0.133457,0.143014,0.160479,0.192102,0.249230,0.351631,0.535569", \ "0.164534,0.173441,0.189907,0.220508,0.276481,0.377704,0.560937", \ "0.219913,0.228782,0.244371,0.271601,0.325025,0.424373,0.605438", \ "0.283485,0.294336,0.313213,0.345658,0.399913,0.494516,0.672839", \ "0.352278,0.365053,0.387417,0.425658,0.489258,0.592016,0.765399"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.013727,0.015054,0.017452,0.021804,0.029645,0.043703,0.068568", \ "0.013729,0.015048,0.017464,0.021799,0.029638,0.043708,0.068571", \ "0.019103,0.019970,0.021353,0.024232,0.030493,0.043701,0.068570", \ "0.034669,0.035685,0.037392,0.040346,0.045421,0.053922,0.071712", \ "0.056898,0.058026,0.060077,0.063513,0.069275,0.078951,0.094630", \ "0.083823,0.085134,0.087683,0.091865,0.098777,0.110214,0.128439", \ "0.115441,0.117037,0.120031,0.125038,0.133505,0.147232,0.168727"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.107085,0.116033,0.132245,0.160569,0.211005,0.301080,0.462998", \ "0.106657,0.115703,0.132032,0.160487,0.210869,0.301091,0.462999", \ "0.105014,0.114329,0.131074,0.159891,0.210707,0.301070,0.462998", \ "0.100233,0.109534,0.127135,0.157789,0.210083,0.301096,0.463013", \ "0.108659,0.115814,0.129646,0.155993,0.206096,0.300307,0.463010", \ "0.132722,0.139927,0.152971,0.173968,0.215053,0.298491,0.462700", \ "0.160031,0.167962,0.182059,0.205783,0.245704,0.315910,0.462389"); } } timing () { related_pin : "A1"; when : "A2 & B1 & !B2 & C1 & !C2"; sdf_cond : "(A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.020570,0.022007,0.024603,0.029295,0.037786,0.053151,0.080976", \ "0.025111,0.026572,0.029208,0.033952,0.042502,0.057917,0.085787", \ "0.040360,0.042256,0.045515,0.050884,0.059498,0.074876,0.102738", \ "0.055291,0.058346,0.063559,0.072196,0.085710,0.105961,0.135553", \ "0.064714,0.069104,0.076504,0.088991,0.108471,0.137429,0.178878", \ "0.066263,0.072336,0.082395,0.099035,0.125157,0.163898,0.219036", \ "0.058745,0.066560,0.079764,0.100841,0.133966,0.183409,0.253592"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.156094,0.165755,0.183173,0.214725,0.271204,0.372461,0.555071", \ "0.157337,0.167099,0.184717,0.216486,0.273509,0.375333,0.558611", \ "0.167392,0.177091,0.194649,0.226516,0.283608,0.386052,0.570053", \ "0.196518,0.205844,0.222780,0.253974,0.310209,0.411876,0.595353", \ "0.249638,0.257930,0.273609,0.303190,0.358009,0.457924,0.639492", \ "0.320184,0.330255,0.347842,0.378492,0.430218,0.527347,0.706439", \ "0.395608,0.407459,0.428302,0.464258,0.524816,0.623810,0.798410"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.016315,0.017761,0.020394,0.025085,0.033381,0.047782,0.072703", \ "0.016323,0.017770,0.020388,0.025081,0.033387,0.047789,0.072699", \ "0.022145,0.022759,0.024179,0.027432,0.034206,0.047786,0.072704", \ "0.041609,0.042257,0.043403,0.045634,0.049953,0.057841,0.075787", \ "0.066713,0.067420,0.068956,0.071226,0.075895,0.084293,0.098929", \ "0.097035,0.097801,0.099424,0.102256,0.107560,0.117364,0.133980", \ "0.132828,0.133637,0.135394,0.138774,0.145106,0.156510,0.175851"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.124718,0.133626,0.149898,0.178341,0.228894,0.319471,0.481967", \ "0.124578,0.133512,0.149817,0.178180,0.228921,0.319440,0.481970", \ "0.123895,0.132956,0.149419,0.178032,0.228741,0.319429,0.481962", \ "0.120888,0.130407,0.147545,0.177043,0.228393,0.319392,0.481974", \ "0.121351,0.129616,0.145011,0.173085,0.225843,0.319111,0.481972", \ "0.142313,0.149628,0.161885,0.184429,0.229352,0.316315,0.481861", \ "0.169788,0.177686,0.191840,0.215341,0.254800,0.329213,0.480348"); } } timing () { related_pin : "A2"; when : "A1 & !B1 & !B2 & !C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.022495,0.023926,0.026515,0.031201,0.039669,0.055002,0.082779", \ "0.027597,0.029051,0.031677,0.036403,0.044923,0.060302,0.088123", \ "0.041616,0.043348,0.046369,0.051566,0.060305,0.075815,0.103746", \ "0.058528,0.061064,0.065409,0.072626,0.084237,0.102748,0.132431", \ "0.072538,0.076402,0.082791,0.093388,0.109792,0.134274,0.170854", \ "0.080623,0.086100,0.094729,0.109646,0.132538,0.165715,0.212473", \ "0.081119,0.088354,0.100058,0.119290,0.149556,0.193140,0.253412"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.082783,0.088785,0.099676,0.119324,0.154683,0.218491,0.333663", \ "0.085021,0.091119,0.102162,0.121938,0.157622,0.221696,0.337095", \ "0.099453,0.105422,0.116335,0.136096,0.171758,0.236013,0.351756", \ "0.134187,0.139606,0.149578,0.168461,0.203307,0.266807,0.382002", \ "0.183328,0.190301,0.202314,0.222959,0.256802,0.318389,0.431968", \ "0.236159,0.244855,0.259994,0.285586,0.327664,0.394538,0.505395", \ "0.293380,0.303744,0.321791,0.352449,0.402849,0.482808,0.605412"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.012170,0.013403,0.015642,0.019704,0.027077,0.040442,0.064689", \ "0.012172,0.013403,0.015642,0.019703,0.027075,0.040448,0.064696", \ "0.014371,0.015451,0.017358,0.020802,0.027492,0.040442,0.064696", \ "0.021702,0.022775,0.024692,0.028132,0.034325,0.045551,0.066452", \ "0.034367,0.035558,0.037686,0.041186,0.047215,0.058001,0.077772", \ "0.051413,0.052893,0.055614,0.059733,0.066308,0.077167,0.096215", \ "0.072152,0.074020,0.077385,0.082630,0.090490,0.102641,0.121979"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.066372,0.071985,0.082200,0.100246,0.132547,0.190692,0.295477", \ "0.066129,0.071769,0.082089,0.100133,0.132575,0.190738,0.295463", \ "0.065052,0.070857,0.081396,0.099796,0.132423,0.190641,0.295471", \ "0.065769,0.070766,0.080176,0.097935,0.131491,0.190539,0.295486", \ "0.083894,0.088121,0.095739,0.108693,0.135312,0.189458,0.295346", \ "0.106819,0.111876,0.121006,0.135806,0.160053,0.203285,0.295860", \ "0.132024,0.138021,0.148674,0.166209,0.194225,0.238842,0.316068"); } } timing () { related_pin : "A2"; when : "A1 & !B1 & !B2 & !C1 & C2"; sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.022486,0.023916,0.026504,0.031184,0.039656,0.054977,0.082745", \ "0.027591,0.029045,0.031668,0.036392,0.044906,0.060283,0.088091", \ "0.041664,0.043393,0.046410,0.051600,0.060334,0.075834,0.103748", \ "0.058730,0.061265,0.065577,0.072779,0.084381,0.102861,0.132514", \ "0.072900,0.076784,0.083136,0.093705,0.110078,0.134496,0.171004", \ "0.080897,0.086389,0.095086,0.110007,0.132867,0.165991,0.212713", \ "0.080901,0.088155,0.100004,0.119309,0.149647,0.193346,0.253575"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.094910,0.102583,0.116546,0.141870,0.187783,0.270367,0.419379", \ "0.096510,0.104267,0.118375,0.143998,0.190091,0.273123,0.422517", \ "0.110251,0.117805,0.131705,0.157106,0.203295,0.286606,0.436298", \ "0.144784,0.151620,0.164292,0.188475,0.233552,0.315972,0.465054", \ "0.198959,0.206816,0.220400,0.243896,0.285187,0.365382,0.512848", \ "0.258018,0.267731,0.284648,0.313511,0.361319,0.438938,0.583256", \ "0.321914,0.333448,0.353581,0.387873,0.444718,0.535681,0.679371"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.012170,0.013400,0.015641,0.019702,0.027069,0.040439,0.064688", \ "0.012171,0.013397,0.015639,0.019701,0.027075,0.040442,0.064697", \ "0.014361,0.015438,0.017346,0.020795,0.027489,0.040437,0.064694", \ "0.021629,0.022689,0.024630,0.028075,0.034282,0.045520,0.066437", \ "0.034174,0.035383,0.037483,0.041027,0.047081,0.057905,0.077722", \ "0.051151,0.052638,0.055384,0.059506,0.066088,0.076982,0.096121", \ "0.071959,0.073834,0.077239,0.082498,0.090349,0.102449,0.121830"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.085657,0.093221,0.106993,0.130860,0.173068,0.247756,0.381636", \ "0.084991,0.092660,0.106582,0.130686,0.172869,0.247733,0.381633", \ "0.082868,0.090788,0.105100,0.129730,0.172518,0.247724,0.381620", \ "0.080231,0.087409,0.100915,0.126141,0.171013,0.247429,0.381623", \ "0.095415,0.100292,0.110158,0.129789,0.168809,0.244994,0.381529", \ "0.119025,0.125025,0.135968,0.154115,0.184937,0.248781,0.379352", \ "0.145385,0.152325,0.164715,0.185393,0.219110,0.274725,0.386800"); } } timing () { related_pin : "A2"; when : "A1 & !B1 & !B2 & C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.022887,0.024318,0.026907,0.031589,0.040068,0.055415,0.083220", \ "0.027993,0.029449,0.032079,0.036804,0.045324,0.060716,0.088564", \ "0.042150,0.043870,0.046864,0.052037,0.060754,0.076270,0.104222", \ "0.059470,0.061981,0.066249,0.073381,0.084932,0.103368,0.132986", \ "0.074127,0.077942,0.084180,0.094644,0.110854,0.135152,0.171616", \ "0.082792,0.088145,0.096728,0.111440,0.134079,0.166928,0.213457", \ "0.083572,0.090675,0.102379,0.121475,0.151403,0.194743,0.254657"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.121626,0.129425,0.143578,0.169102,0.215144,0.297959,0.447207", \ "0.123572,0.131454,0.145694,0.171496,0.217782,0.300943,0.450379", \ "0.136858,0.144664,0.158847,0.184635,0.230992,0.314410,0.464264", \ "0.169115,0.176543,0.190011,0.215039,0.260793,0.343556,0.492889", \ "0.225137,0.232407,0.245177,0.267725,0.311294,0.392457,0.540374", \ "0.290565,0.299538,0.315294,0.342253,0.387738,0.464962,0.610493", \ "0.360690,0.371345,0.390039,0.422243,0.476107,0.563514,0.705913"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.013727,0.015066,0.017454,0.021799,0.029640,0.043702,0.068573", \ "0.013721,0.015059,0.017450,0.021809,0.029637,0.043708,0.068570", \ "0.016089,0.017222,0.019152,0.022876,0.030035,0.043706,0.068573", \ "0.024453,0.025516,0.027459,0.030954,0.037328,0.048857,0.070309", \ "0.039817,0.040772,0.042558,0.045679,0.051445,0.062140,0.081837", \ "0.060999,0.061934,0.063941,0.066957,0.072446,0.082418,0.100899", \ "0.086158,0.087245,0.089431,0.093043,0.099161,0.109484,0.127450"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.100803,0.108226,0.121903,0.145519,0.187547,0.262601,0.396987", \ "0.100483,0.107983,0.121721,0.145545,0.187582,0.262623,0.396960", \ "0.099293,0.106959,0.120924,0.145048,0.187340,0.262587,0.396965", \ "0.095146,0.102910,0.117631,0.142923,0.186525,0.262428,0.396960", \ "0.102218,0.108311,0.119971,0.141864,0.183119,0.261080,0.396938", \ "0.126197,0.132132,0.143027,0.160939,0.194165,0.261516,0.395748", \ "0.153362,0.160172,0.172358,0.192723,0.226333,0.283249,0.399696"); } } timing () { related_pin : "A2"; when : "A1 & !B1 & B2 & !C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.022494,0.023927,0.026515,0.031191,0.039663,0.054993,0.082754", \ "0.027636,0.029090,0.031712,0.036434,0.044957,0.060327,0.088139", \ "0.041763,0.043488,0.046506,0.051694,0.060422,0.075922,0.103840", \ "0.058804,0.061358,0.065672,0.072855,0.084458,0.102934,0.132580", \ "0.072920,0.076758,0.083154,0.093733,0.110102,0.134534,0.171066", \ "0.080767,0.086303,0.094892,0.109913,0.132819,0.165986,0.212694", \ "0.080613,0.087887,0.099751,0.119141,0.149517,0.193281,0.253555"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.105308,0.113037,0.127029,0.152262,0.197687,0.279642,0.427546", \ "0.106597,0.114444,0.128656,0.154159,0.200053,0.282257,0.430529", \ "0.119345,0.127107,0.141215,0.166671,0.212562,0.295154,0.443816", \ "0.151812,0.159094,0.172352,0.197121,0.242182,0.323997,0.472055", \ "0.204669,0.212648,0.226574,0.250199,0.292953,0.373168,0.519506", \ "0.262936,0.272791,0.289927,0.319105,0.367455,0.446035,0.589968", \ "0.326083,0.337740,0.358075,0.392733,0.450058,0.541670,0.686058"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.012170,0.013398,0.015636,0.019702,0.027079,0.040449,0.064688", \ "0.012170,0.013396,0.015633,0.019700,0.027074,0.040448,0.064693", \ "0.014336,0.015418,0.017327,0.020786,0.027478,0.040439,0.064688", \ "0.021594,0.022659,0.024587,0.028041,0.034257,0.045504,0.066428", \ "0.034170,0.035359,0.037494,0.041013,0.047057,0.057889,0.077703", \ "0.051224,0.052715,0.055476,0.059547,0.066116,0.077006,0.096087", \ "0.072158,0.074017,0.077399,0.082614,0.090446,0.102498,0.121848"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.086174,0.093235,0.106249,0.129070,0.170057,0.243889,0.376814", \ "0.085998,0.093098,0.106175,0.129033,0.170131,0.243892,0.376827", \ "0.085136,0.092425,0.105729,0.128811,0.169959,0.243882,0.376815", \ "0.082910,0.089876,0.102998,0.127295,0.169521,0.243817,0.376831", \ "0.096527,0.101559,0.111600,0.130995,0.168676,0.243035,0.376813", \ "0.119813,0.125829,0.136752,0.154868,0.185389,0.247583,0.376043", \ "0.145786,0.152757,0.165149,0.185817,0.219490,0.274445,0.384270"); } } timing () { related_pin : "A2"; when : "A1 & !B1 & B2 & !C1 & C2"; sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.022487,0.023914,0.026500,0.031181,0.039644,0.054954,0.082707", \ "0.027627,0.029080,0.031699,0.036422,0.044937,0.060300,0.088088", \ "0.041788,0.043517,0.046528,0.051704,0.060428,0.075918,0.103820", \ "0.058959,0.061503,0.065806,0.072990,0.084551,0.103004,0.132616", \ "0.073196,0.077063,0.083393,0.093968,0.110316,0.134703,0.171176", \ "0.081072,0.086618,0.095228,0.110243,0.133106,0.166192,0.212855", \ "0.080483,0.087833,0.099703,0.119165,0.149550,0.193397,0.253691"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.119242,0.128727,0.145919,0.177079,0.233100,0.334018,0.516181", \ "0.119822,0.129449,0.146862,0.178357,0.234788,0.336200,0.518782", \ "0.131771,0.141258,0.158516,0.189916,0.246482,0.348214,0.531366", \ "0.163794,0.172689,0.188971,0.219368,0.275084,0.375830,0.558283", \ "0.219389,0.228264,0.243814,0.271117,0.324323,0.423331,0.603897", \ "0.283248,0.294089,0.313045,0.345357,0.399514,0.494031,0.671988", \ "0.352377,0.365158,0.387511,0.425740,0.489251,0.591833,0.764998"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.012171,0.013399,0.015635,0.019701,0.027070,0.040438,0.064684", \ "0.012172,0.013402,0.015639,0.019703,0.027072,0.040440,0.064686", \ "0.014334,0.015414,0.017322,0.020779,0.027477,0.040438,0.064691", \ "0.021518,0.022593,0.024545,0.027993,0.034225,0.045483,0.066420", \ "0.034017,0.035208,0.037349,0.040879,0.046961,0.057829,0.077665", \ "0.050974,0.052476,0.055214,0.059330,0.065930,0.076835,0.096013", \ "0.071933,0.073811,0.077147,0.082440,0.090294,0.102382,0.121742"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.106874,0.115767,0.131919,0.160463,0.210842,0.301074,0.462991", \ "0.106403,0.115403,0.131664,0.160365,0.210830,0.301067,0.462992", \ "0.104803,0.114089,0.130746,0.159887,0.210671,0.301128,0.462990", \ "0.100218,0.109452,0.126800,0.157745,0.210024,0.301074,0.462992", \ "0.108718,0.115917,0.129729,0.156092,0.206141,0.300343,0.463005", \ "0.132260,0.139384,0.152391,0.173843,0.215169,0.298575,0.462701", \ "0.158896,0.166846,0.181038,0.205144,0.245210,0.315970,0.462405"); } } timing () { related_pin : "A2"; when : "A1 & !B1 & B2 & C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.022883,0.024315,0.026902,0.031585,0.040057,0.055395,0.083184", \ "0.028026,0.029485,0.032107,0.036833,0.045353,0.060737,0.088565", \ "0.042272,0.043990,0.046983,0.052140,0.060849,0.076356,0.104292", \ "0.059689,0.062205,0.066450,0.073579,0.085085,0.103511,0.133095", \ "0.074470,0.078232,0.084472,0.094909,0.111093,0.135380,0.171767", \ "0.082945,0.088335,0.096939,0.111653,0.134298,0.167132,0.213637", \ "0.083143,0.090339,0.102113,0.121327,0.151386,0.194819,0.254770"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.152502,0.162064,0.179347,0.210592,0.266854,0.367983,0.550381", \ "0.153606,0.163273,0.180716,0.212215,0.268844,0.370262,0.553046", \ "0.165290,0.174919,0.192343,0.223904,0.280629,0.382466,0.565716", \ "0.195521,0.204865,0.221663,0.252659,0.308593,0.409816,0.592536", \ "0.249123,0.257447,0.273115,0.302540,0.357115,0.456813,0.637822", \ "0.319881,0.329936,0.347592,0.378105,0.429856,0.526794,0.705483", \ "0.395674,0.407520,0.428340,0.464265,0.524720,0.623553,0.797919"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.013724,0.015044,0.017457,0.021797,0.029640,0.043702,0.068571", \ "0.013715,0.015052,0.017465,0.021804,0.029638,0.043705,0.068568", \ "0.016049,0.017184,0.019122,0.022859,0.030023,0.043704,0.068569", \ "0.024337,0.025407,0.027359,0.030877,0.037275,0.048816,0.070294", \ "0.039609,0.040569,0.042384,0.045520,0.051321,0.062067,0.081785", \ "0.060802,0.061743,0.063654,0.066823,0.072279,0.082262,0.100790", \ "0.086150,0.087233,0.089387,0.092990,0.099052,0.109391,0.127366"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.124617,0.133421,0.149562,0.178228,0.228794,0.319447,0.481934", \ "0.124450,0.133286,0.149477,0.178157,0.228887,0.319412,0.481941", \ "0.123766,0.132749,0.149085,0.177935,0.228714,0.319445,0.481943", \ "0.120716,0.130186,0.147201,0.176937,0.228346,0.319367,0.481944", \ "0.121478,0.129662,0.144947,0.173237,0.225631,0.319152,0.481946", \ "0.141968,0.149120,0.161684,0.184479,0.229377,0.316330,0.481838", \ "0.169016,0.176860,0.190966,0.214852,0.254559,0.329343,0.480345"); } } timing () { related_pin : "A2"; when : "A1 & B1 & !B2 & !C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.022892,0.024324,0.026913,0.031598,0.040076,0.055423,0.083225", \ "0.028037,0.029493,0.032121,0.036847,0.045371,0.060764,0.088611", \ "0.042246,0.043963,0.046960,0.052130,0.060841,0.076358,0.104308", \ "0.059541,0.062058,0.066319,0.073467,0.085006,0.103448,0.133050", \ "0.074148,0.077927,0.084194,0.094674,0.110893,0.135192,0.171664", \ "0.082644,0.088033,0.096614,0.111320,0.134066,0.166911,0.213464", \ "0.083246,0.090400,0.102136,0.121292,0.151286,0.194676,0.254634"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.132054,0.139827,0.153859,0.179220,0.224865,0.307010,0.455167", \ "0.133781,0.141645,0.155805,0.181420,0.227284,0.309767,0.458135", \ "0.146372,0.154195,0.168376,0.193981,0.240014,0.322742,0.471532", \ "0.177405,0.185022,0.198664,0.223732,0.269199,0.351319,0.499680", \ "0.231345,0.238751,0.251529,0.275106,0.319260,0.399993,0.546870", \ "0.295951,0.305027,0.320911,0.348217,0.394152,0.472219,0.616958", \ "0.365270,0.376029,0.394901,0.427381,0.481647,0.569631,0.712491"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.013725,0.015057,0.017452,0.021804,0.029641,0.043703,0.068573", \ "0.013715,0.015057,0.017453,0.021809,0.029639,0.043707,0.068570", \ "0.016061,0.017192,0.019134,0.022866,0.030025,0.043706,0.068573", \ "0.024407,0.025475,0.027423,0.030921,0.037308,0.048836,0.070302", \ "0.039812,0.040749,0.042525,0.045669,0.051426,0.062123,0.081824", \ "0.061074,0.062003,0.063964,0.067030,0.072494,0.082400,0.100867", \ "0.086382,0.087459,0.089606,0.093182,0.099223,0.109532,0.127465"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.099766,0.106866,0.120053,0.143036,0.184326,0.258552,0.392046", \ "0.099716,0.106819,0.120024,0.143094,0.184349,0.258580,0.392030", \ "0.099404,0.106589,0.119827,0.142957,0.184240,0.258576,0.392057", \ "0.097134,0.104749,0.118538,0.142269,0.184042,0.258522,0.392045", \ "0.103610,0.109676,0.121135,0.142352,0.182351,0.258135,0.392038", \ "0.126925,0.132866,0.143731,0.161399,0.194144,0.259790,0.391741", \ "0.153759,0.160565,0.172732,0.193087,0.226539,0.282635,0.396791"); } } timing () { related_pin : "A2"; when : "A1 & B1 & !B2 & !C1 & C2"; sdf_cond : "(A1 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.022883,0.024315,0.026902,0.031585,0.040057,0.055395,0.083184", \ "0.028026,0.029485,0.032107,0.036833,0.045353,0.060737,0.088565", \ "0.042272,0.043990,0.046983,0.052140,0.060849,0.076356,0.104292", \ "0.059689,0.062205,0.066450,0.073579,0.085085,0.103511,0.133095", \ "0.074470,0.078232,0.084472,0.094909,0.111093,0.135380,0.171767", \ "0.082945,0.088335,0.096939,0.111653,0.134298,0.167132,0.213637", \ "0.083143,0.090339,0.102113,0.121327,0.151386,0.194819,0.254770"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.152502,0.162064,0.179347,0.210592,0.266854,0.367983,0.550381", \ "0.153606,0.163273,0.180716,0.212215,0.268844,0.370262,0.553046", \ "0.165290,0.174919,0.192343,0.223904,0.280629,0.382466,0.565716", \ "0.195521,0.204865,0.221663,0.252659,0.308593,0.409816,0.592536", \ "0.249123,0.257447,0.273115,0.302540,0.357115,0.456813,0.637822", \ "0.319881,0.329936,0.347592,0.378105,0.429856,0.526794,0.705483", \ "0.395674,0.407520,0.428340,0.464265,0.524720,0.623553,0.797919"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.013724,0.015044,0.017457,0.021797,0.029640,0.043702,0.068571", \ "0.013715,0.015052,0.017465,0.021804,0.029638,0.043705,0.068568", \ "0.016049,0.017184,0.019122,0.022859,0.030023,0.043704,0.068569", \ "0.024337,0.025407,0.027359,0.030877,0.037275,0.048816,0.070294", \ "0.039609,0.040569,0.042384,0.045520,0.051321,0.062067,0.081785", \ "0.060802,0.061743,0.063654,0.066823,0.072279,0.082262,0.100790", \ "0.086150,0.087233,0.089387,0.092990,0.099052,0.109391,0.127366"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.124617,0.133421,0.149562,0.178228,0.228794,0.319447,0.481934", \ "0.124450,0.133286,0.149477,0.178157,0.228887,0.319412,0.481941", \ "0.123766,0.132749,0.149085,0.177935,0.228714,0.319445,0.481943", \ "0.120716,0.130186,0.147201,0.176937,0.228346,0.319367,0.481944", \ "0.121478,0.129662,0.144947,0.173237,0.225631,0.319152,0.481946", \ "0.141968,0.149120,0.161684,0.184479,0.229377,0.316330,0.481838", \ "0.169016,0.176860,0.190966,0.214852,0.254559,0.329343,0.480345"); } } timing () { related_pin : "A2"; when : "A1 & B1 & !B2 & C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.023283,0.024715,0.027303,0.031991,0.040475,0.055830,0.083656", \ "0.028435,0.029889,0.032514,0.037242,0.045771,0.061174,0.089036", \ "0.042760,0.044461,0.047443,0.052577,0.061271,0.076795,0.104769", \ "0.060418,0.062906,0.067115,0.074191,0.085638,0.104006,0.133578", \ "0.075652,0.079361,0.085498,0.095852,0.111878,0.136036,0.172362", \ "0.084797,0.090094,0.098529,0.113064,0.135448,0.168073,0.214411", \ "0.085834,0.092893,0.104639,0.123412,0.153114,0.196158,0.255822"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.186100,0.195702,0.212997,0.244343,0.300725,0.402009,0.584655", \ "0.187574,0.197236,0.214669,0.246080,0.302826,0.404421,0.587351", \ "0.199273,0.208929,0.226388,0.257848,0.314672,0.416686,0.600120", \ "0.228568,0.238054,0.255027,0.286113,0.342429,0.443929,0.626866", \ "0.279257,0.288353,0.304844,0.335099,0.390339,0.490470,0.671882", \ "0.354102,0.363554,0.380217,0.409307,0.461565,0.559827,0.739106", \ "0.436109,0.447223,0.466856,0.500931,0.558718,0.654819,0.831058"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.016314,0.017761,0.020386,0.025078,0.033389,0.047783,0.072703", \ "0.016309,0.017773,0.020384,0.025080,0.033380,0.047784,0.072700", \ "0.018871,0.019926,0.022000,0.026099,0.033755,0.047785,0.072703", \ "0.029311,0.030217,0.031980,0.035286,0.041518,0.052816,0.074400", \ "0.047126,0.047755,0.049116,0.051616,0.056688,0.066811,0.086047", \ "0.070583,0.071103,0.072519,0.074583,0.078979,0.087911,0.105647", \ "0.099003,0.099518,0.100775,0.103254,0.107649,0.116326,0.132993"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.141939,0.150760,0.166975,0.195860,0.246917,0.337823,0.500963", \ "0.141877,0.150716,0.166958,0.195941,0.246894,0.337904,0.500962", \ "0.141625,0.150519,0.166836,0.195893,0.246745,0.337832,0.500968", \ "0.140248,0.149407,0.165984,0.195341,0.246599,0.337900,0.500980", \ "0.137143,0.145878,0.162095,0.191790,0.245343,0.337774,0.500978", \ "0.151931,0.158961,0.172399,0.197815,0.244992,0.335055,0.500942", \ "0.179607,0.187355,0.201336,0.225075,0.265088,0.343767,0.498998"); } } timing () { related_pin : "B1"; when : "!A1 & !A2 & B2 & !C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.029771,0.031442,0.034416,0.039682,0.048952,0.065238,0.094023", \ "0.034396,0.036061,0.039040,0.044306,0.053577,0.069872,0.098663", \ "0.051697,0.053292,0.056085,0.061187,0.070316,0.086543,0.115302", \ "0.075624,0.077953,0.082076,0.089351,0.101021,0.119211,0.147783", \ "0.096003,0.099341,0.104818,0.115051,0.131533,0.156992,0.194945", \ "0.110389,0.114864,0.122370,0.135649,0.157277,0.190916,0.240896", \ "0.117305,0.122987,0.132680,0.149373,0.176699,0.219145,0.282247"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.129726,0.136239,0.147964,0.168980,0.206824,0.275107,0.398456", \ "0.132295,0.138931,0.150850,0.172169,0.210442,0.279180,0.402929", \ "0.147164,0.153730,0.165592,0.186913,0.225310,0.294434,0.418712", \ "0.179645,0.186003,0.197474,0.218548,0.256580,0.325428,0.449750", \ "0.225824,0.233054,0.245513,0.266950,0.304962,0.373468,0.497436", \ "0.275564,0.284369,0.299767,0.325979,0.369816,0.441341,0.565045", \ "0.327950,0.338679,0.357238,0.388644,0.440294,0.523204,0.654635"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.020598,0.021849,0.024082,0.028148,0.035479,0.048799,0.072989", \ "0.020476,0.021736,0.024002,0.028080,0.035441,0.048776,0.072984", \ "0.021096,0.022135,0.024097,0.027826,0.035066,0.048662,0.072973", \ "0.033068,0.034245,0.036210,0.039356,0.044684,0.053852,0.073946", \ "0.049513,0.051152,0.054019,0.058271,0.065100,0.075859,0.092584", \ "0.069787,0.071945,0.075767,0.081536,0.090481,0.104094,0.124266", \ "0.093677,0.096429,0.101254,0.108771,0.120236,0.137280,0.161903"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.066498,0.072172,0.082482,0.100780,0.134085,0.194179,0.302814", \ "0.066518,0.072187,0.082400,0.100787,0.134096,0.194220,0.302817", \ "0.066569,0.072236,0.082445,0.100814,0.134097,0.194252,0.302773", \ "0.066812,0.072440,0.082533,0.100861,0.134062,0.194151,0.302778", \ "0.075379,0.079914,0.088421,0.104363,0.134961,0.194164,0.302765", \ "0.094632,0.099556,0.108428,0.123513,0.149571,0.200136,0.302821", \ "0.119698,0.124791,0.134114,0.149784,0.177089,0.224322,0.313197"); } } timing () { related_pin : "B1"; when : "!A1 & !A2 & B2 & !C1 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.029762,0.031433,0.034410,0.039670,0.048935,0.065212,0.093987", \ "0.034439,0.036106,0.039076,0.044355,0.053614,0.069902,0.098680", \ "0.051850,0.053444,0.056253,0.061349,0.070479,0.086699,0.115453", \ "0.075950,0.078268,0.082381,0.089652,0.101295,0.119435,0.147990", \ "0.096354,0.099691,0.105158,0.115389,0.131851,0.157274,0.195185", \ "0.110432,0.114927,0.122464,0.135794,0.157459,0.191117,0.241126", \ "0.116552,0.122283,0.132043,0.148915,0.176386,0.219019,0.282230"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.163921,0.172250,0.187360,0.214216,0.262612,0.349878,0.507363", \ "0.165528,0.174017,0.189349,0.216655,0.265627,0.353451,0.511426", \ "0.178292,0.186714,0.201913,0.229221,0.278374,0.366733,0.525422", \ "0.208934,0.217089,0.231922,0.258930,0.307599,0.395581,0.554358", \ "0.255394,0.263841,0.278674,0.305436,0.353907,0.441550,0.599765", \ "0.308430,0.318681,0.336626,0.367446,0.419173,0.506828,0.664741", \ "0.364719,0.376978,0.398288,0.434378,0.494373,0.591940,0.751427"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.020598,0.021848,0.024095,0.028144,0.035479,0.048800,0.072991", \ "0.020478,0.021738,0.023999,0.028079,0.035440,0.048771,0.072981", \ "0.021062,0.022107,0.024071,0.027814,0.035066,0.048660,0.072968", \ "0.032944,0.034104,0.036085,0.039235,0.044570,0.053763,0.073911", \ "0.049333,0.050967,0.053864,0.058085,0.064927,0.075705,0.092457", \ "0.069726,0.071857,0.075665,0.081420,0.090372,0.103951,0.124158", \ "0.093935,0.096646,0.101550,0.108930,0.120343,0.137319,0.161877"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.087117,0.094275,0.107413,0.130747,0.173087,0.249308,0.386848", \ "0.087161,0.094314,0.107422,0.130772,0.173029,0.249316,0.386847", \ "0.087211,0.094336,0.107435,0.130767,0.173023,0.249371,0.386850", \ "0.087263,0.094404,0.107469,0.130800,0.173072,0.249300,0.386864", \ "0.091726,0.098036,0.109700,0.131591,0.173101,0.249316,0.386841", \ "0.110999,0.117058,0.128127,0.146385,0.181346,0.250812,0.386839", \ "0.137111,0.143250,0.154500,0.173805,0.207675,0.267546,0.390200"); } } timing () { related_pin : "B1"; when : "!A1 & !A2 & B2 & C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.030272,0.031937,0.034906,0.040165,0.049419,0.065706,0.094505", \ "0.034941,0.036604,0.039573,0.044838,0.054102,0.070398,0.099199", \ "0.052334,0.053897,0.056712,0.061816,0.070957,0.087188,0.115961", \ "0.076760,0.078997,0.083137,0.090330,0.101899,0.119953,0.148498", \ "0.097609,0.100915,0.106298,0.116464,0.132782,0.158074,0.195875", \ "0.112218,0.116691,0.124214,0.137368,0.158873,0.192368,0.242144", \ "0.119036,0.124738,0.134527,0.151237,0.178426,0.220787,0.283665"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.192602,0.200928,0.216090,0.243075,0.291636,0.379153,0.536864", \ "0.194899,0.203331,0.218690,0.245989,0.294901,0.382747,0.540986", \ "0.207584,0.216019,0.231270,0.258651,0.308070,0.396189,0.555112", \ "0.237816,0.245990,0.260902,0.288067,0.336950,0.425161,0.584056", \ "0.284509,0.292823,0.307624,0.334492,0.383099,0.470870,0.629461", \ "0.343313,0.352831,0.369641,0.398928,0.448473,0.536101,0.694279", \ "0.406455,0.417648,0.437281,0.471080,0.528165,0.622613,0.780908"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.023238,0.024529,0.026882,0.031104,0.038766,0.052549,0.077104", \ "0.023126,0.024425,0.026788,0.031036,0.038732,0.052533,0.077102", \ "0.023546,0.024660,0.026763,0.030734,0.038348,0.052424,0.077083", \ "0.036911,0.038021,0.039832,0.042814,0.047973,0.057415,0.078000", \ "0.056912,0.058233,0.060718,0.064346,0.070480,0.080515,0.096587", \ "0.081390,0.083030,0.086005,0.090794,0.098494,0.110647,0.129478", \ "0.109784,0.111840,0.115515,0.121437,0.131057,0.146058,0.168690"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.101104,0.108402,0.121729,0.145462,0.188130,0.264792,0.402812", \ "0.101110,0.108409,0.121738,0.145486,0.188089,0.264694,0.402812", \ "0.101115,0.108430,0.121725,0.145484,0.188136,0.264697,0.402810", \ "0.101145,0.108441,0.121744,0.145507,0.188082,0.264719,0.402813", \ "0.102808,0.109649,0.122362,0.145579,0.187955,0.264691,0.402814", \ "0.119423,0.125434,0.136195,0.155582,0.192899,0.265102,0.402808", \ "0.144047,0.150431,0.162071,0.181802,0.216135,0.278376,0.404767"); } } timing () { related_pin : "B1"; when : "!A1 & A2 & B2 & !C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.024557,0.026300,0.029400,0.034860,0.044397,0.061016,0.090132", \ "0.029311,0.031032,0.034109,0.039539,0.049041,0.065636,0.094726", \ "0.047010,0.048756,0.051794,0.056884,0.066011,0.082325,0.111287", \ "0.068785,0.071331,0.075900,0.083678,0.096004,0.114949,0.143902", \ "0.086656,0.090290,0.096255,0.107243,0.124672,0.151213,0.190290", \ "0.098106,0.102984,0.111143,0.125343,0.148226,0.183361,0.234844", \ "0.101717,0.107887,0.118347,0.136247,0.165144,0.209528,0.274552"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.143743,0.151537,0.165603,0.190751,0.236073,0.317924,0.465564", \ "0.145897,0.153829,0.168129,0.193661,0.239509,0.321832,0.470001", \ "0.160341,0.168214,0.182445,0.207937,0.253918,0.336666,0.485521", \ "0.192029,0.199671,0.213425,0.238750,0.284250,0.366769,0.515535", \ "0.236324,0.244740,0.258993,0.284367,0.329727,0.411741,0.560137", \ "0.284053,0.293876,0.311190,0.340696,0.390707,0.474076,0.622089", \ "0.335499,0.347057,0.367191,0.401344,0.458211,0.551564,0.703793"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.019162,0.020492,0.022864,0.027090,0.034575,0.047944,0.072023", \ "0.018817,0.020179,0.022586,0.026868,0.034416,0.047849,0.071984", \ "0.020843,0.021730,0.023471,0.026918,0.033889,0.047454,0.071851", \ "0.033699,0.034854,0.036741,0.039821,0.045044,0.053831,0.073071", \ "0.050617,0.052218,0.055062,0.059192,0.065864,0.076388,0.092839", \ "0.071349,0.073450,0.077273,0.082891,0.091639,0.104931,0.124756", \ "0.095773,0.098493,0.103242,0.110584,0.121784,0.138471,0.162646"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.073645,0.080513,0.093070,0.115376,0.155893,0.229324,0.361615", \ "0.073687,0.080538,0.093088,0.115355,0.155968,0.229272,0.361613", \ "0.073763,0.080614,0.093078,0.115454,0.155923,0.229286,0.361607", \ "0.074068,0.080834,0.093210,0.115474,0.155900,0.229319,0.361606", \ "0.081000,0.086851,0.097656,0.117852,0.156294,0.229176,0.361612", \ "0.097328,0.103524,0.114910,0.134121,0.167404,0.232753,0.361680", \ "0.118745,0.125103,0.136843,0.156559,0.191272,0.251485,0.367862"); } } timing () { related_pin : "B1"; when : "!A1 & A2 & B2 & !C1 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.024546,0.026288,0.029387,0.034844,0.044377,0.060986,0.090084", \ "0.029349,0.031068,0.034146,0.039575,0.049073,0.065655,0.094737", \ "0.047180,0.048919,0.051950,0.057040,0.066168,0.082479,0.111431", \ "0.069161,0.071679,0.076218,0.083979,0.096264,0.115177,0.144080", \ "0.087012,0.090683,0.096605,0.107586,0.124998,0.151493,0.190512", \ "0.098151,0.103051,0.111240,0.125474,0.148399,0.183568,0.235050", \ "0.100938,0.107169,0.117721,0.135761,0.164835,0.209401,0.274565"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.178373,0.188023,0.205407,0.236619,0.292536,0.393149,0.575134", \ "0.179569,0.189398,0.207104,0.238715,0.295198,0.396644,0.579149", \ "0.191910,0.201653,0.219280,0.250801,0.307526,0.409429,0.592908", \ "0.222058,0.231514,0.248656,0.279915,0.336109,0.437702,0.621069", \ "0.266387,0.276133,0.293395,0.324313,0.380219,0.481291,0.664133", \ "0.316919,0.328257,0.348275,0.382783,0.440992,0.541971,0.724334", \ "0.371653,0.384784,0.407726,0.446893,0.512579,0.621542,0.804275"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.019161,0.020486,0.022869,0.027091,0.034575,0.047937,0.072020", \ "0.018831,0.020189,0.022603,0.026874,0.034422,0.047841,0.071984", \ "0.020781,0.021693,0.023428,0.026917,0.033890,0.047463,0.071867", \ "0.033537,0.034702,0.036602,0.039706,0.044918,0.053728,0.073062", \ "0.050427,0.051961,0.054864,0.058987,0.065663,0.076218,0.092725", \ "0.071244,0.073345,0.077144,0.082757,0.091505,0.104788,0.124626", \ "0.095970,0.098683,0.103408,0.110723,0.121871,0.138492,0.162609"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.092486,0.100857,0.116270,0.143755,0.193406,0.282863,0.444084", \ "0.092562,0.100902,0.116294,0.143764,0.193267,0.282806,0.444081", \ "0.092657,0.100987,0.116314,0.143769,0.193272,0.282856,0.444076", \ "0.092793,0.101105,0.116437,0.143776,0.193275,0.282804,0.444074", \ "0.096724,0.104280,0.118255,0.144463,0.193363,0.282858,0.444072", \ "0.113063,0.120547,0.134097,0.156576,0.199703,0.283539,0.444064", \ "0.134937,0.142499,0.156293,0.180120,0.222090,0.296601,0.445968"); } } timing () { related_pin : "B1"; when : "!A1 & A2 & B2 & C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.025066,0.026804,0.029895,0.035340,0.044866,0.061477,0.090601", \ "0.029865,0.031584,0.034647,0.040069,0.049564,0.066145,0.095254", \ "0.047762,0.049471,0.052452,0.057501,0.066634,0.082965,0.111951", \ "0.070045,0.072516,0.077029,0.084706,0.096925,0.115721,0.144603", \ "0.088394,0.092006,0.097861,0.108728,0.125992,0.152349,0.191255", \ "0.100120,0.105000,0.113124,0.127212,0.149937,0.184885,0.236110", \ "0.103668,0.109863,0.120390,0.138281,0.166993,0.211234,0.276021"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.211672,0.221289,0.238755,0.270105,0.326009,0.427012,0.609260", \ "0.213659,0.223409,0.241036,0.272651,0.329170,0.430590,0.613363", \ "0.225899,0.235640,0.253272,0.284885,0.341790,0.443685,0.627264", \ "0.255570,0.265070,0.282316,0.313616,0.370132,0.471882,0.655490", \ "0.300083,0.309683,0.326849,0.357890,0.414154,0.515307,0.698453", \ "0.355704,0.366383,0.385428,0.418220,0.474844,0.575983,0.758600", \ "0.416484,0.428616,0.450007,0.487040,0.550141,0.655984,0.838382"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.021940,0.023316,0.025781,0.030136,0.037921,0.051714,0.076143", \ "0.021583,0.022996,0.025487,0.029917,0.037763,0.051622,0.076103", \ "0.023175,0.024170,0.026076,0.029801,0.037164,0.051240,0.075981", \ "0.037999,0.038979,0.040702,0.043508,0.048464,0.057332,0.077125", \ "0.058799,0.059983,0.062270,0.065682,0.071491,0.081168,0.096884", \ "0.083962,0.085451,0.088237,0.092603,0.099907,0.111616,0.130032", \ "0.113086,0.114973,0.118419,0.123954,0.133057,0.147467,0.169536"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.108720,0.117287,0.133045,0.161053,0.211019,0.301102,0.462985", \ "0.108736,0.117298,0.133058,0.161034,0.211070,0.301054,0.462980", \ "0.108770,0.117327,0.133073,0.160996,0.211136,0.301112,0.462995", \ "0.108822,0.117375,0.133111,0.160967,0.210984,0.301101,0.462988", \ "0.110158,0.118422,0.133801,0.161098,0.211181,0.301055,0.462988", \ "0.124343,0.131625,0.144873,0.168960,0.214467,0.301407,0.462974", \ "0.144955,0.152786,0.166997,0.191365,0.233248,0.311015,0.463790"); } } timing () { related_pin : "B1"; when : "A1 & !A2 & B2 & !C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.025075,0.026815,0.029905,0.035354,0.044892,0.061510,0.090649", \ "0.029828,0.031543,0.034609,0.040028,0.049525,0.066119,0.095248", \ "0.047581,0.049299,0.052293,0.057345,0.066479,0.082810,0.111807", \ "0.069694,0.072171,0.076711,0.084412,0.096661,0.115493,0.144384", \ "0.088038,0.091644,0.097513,0.108398,0.125678,0.152064,0.190998", \ "0.100072,0.104897,0.113023,0.127079,0.149775,0.184656,0.235898", \ "0.104449,0.110537,0.121059,0.138674,0.167282,0.211307,0.275996"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.170370,0.178181,0.192335,0.217660,0.263152,0.345141,0.493110", \ "0.173157,0.181078,0.195420,0.220921,0.266834,0.349289,0.497612", \ "0.187516,0.195418,0.209734,0.235308,0.281416,0.364259,0.513281", \ "0.218837,0.226459,0.240435,0.265744,0.311631,0.394313,0.543303", \ "0.264403,0.272297,0.286224,0.311444,0.356924,0.439265,0.587847", \ "0.317253,0.326424,0.342783,0.370938,0.419116,0.501473,0.649769", \ "0.374594,0.385176,0.403797,0.435965,0.490335,0.581009,0.731326"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.021943,0.023316,0.025772,0.030137,0.037927,0.051721,0.076146", \ "0.021567,0.022976,0.025475,0.029904,0.037754,0.051620,0.076106", \ "0.023227,0.024201,0.026122,0.029818,0.037170,0.051233,0.075982", \ "0.038142,0.039176,0.040800,0.043634,0.048594,0.057422,0.077182", \ "0.059033,0.060219,0.062498,0.065851,0.071674,0.081334,0.097005", \ "0.084074,0.085562,0.088322,0.092803,0.100086,0.111785,0.130167", \ "0.112845,0.114745,0.118207,0.123829,0.132978,0.147448,0.169573"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.086660,0.093710,0.106503,0.129172,0.170230,0.243904,0.376820", \ "0.086670,0.093704,0.106498,0.129140,0.170223,0.243900,0.376819", \ "0.086690,0.093724,0.106558,0.129187,0.170204,0.243901,0.376825", \ "0.086784,0.093799,0.106542,0.129136,0.170190,0.243896,0.376814", \ "0.090475,0.096867,0.108574,0.129942,0.170208,0.243884,0.376817", \ "0.105985,0.112365,0.123983,0.142720,0.177773,0.245720,0.376807", \ "0.126225,0.132821,0.144977,0.165094,0.200308,0.261408,0.381271"); } } timing () { related_pin : "B1"; when : "A1 & !A2 & B2 & !C1 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.025066,0.026804,0.029895,0.035340,0.044866,0.061477,0.090601", \ "0.029865,0.031584,0.034647,0.040069,0.049564,0.066145,0.095254", \ "0.047762,0.049471,0.052452,0.057501,0.066634,0.082965,0.111951", \ "0.070045,0.072516,0.077029,0.084706,0.096925,0.115721,0.144603", \ "0.088394,0.092006,0.097861,0.108728,0.125992,0.152349,0.191255", \ "0.100120,0.105000,0.113124,0.127212,0.149937,0.184885,0.236110", \ "0.103668,0.109863,0.120390,0.138281,0.166993,0.211234,0.276021"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.211672,0.221289,0.238755,0.270105,0.326009,0.427012,0.609260", \ "0.213659,0.223409,0.241036,0.272651,0.329170,0.430590,0.613363", \ "0.225899,0.235640,0.253272,0.284885,0.341790,0.443685,0.627264", \ "0.255570,0.265070,0.282316,0.313616,0.370132,0.471882,0.655490", \ "0.300083,0.309683,0.326849,0.357890,0.414154,0.515307,0.698453", \ "0.355704,0.366383,0.385428,0.418220,0.474844,0.575983,0.758600", \ "0.416484,0.428616,0.450007,0.487040,0.550141,0.655984,0.838382"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.021940,0.023316,0.025781,0.030136,0.037921,0.051714,0.076143", \ "0.021583,0.022996,0.025487,0.029917,0.037763,0.051622,0.076103", \ "0.023175,0.024170,0.026076,0.029801,0.037164,0.051240,0.075981", \ "0.037999,0.038979,0.040702,0.043508,0.048464,0.057332,0.077125", \ "0.058799,0.059983,0.062270,0.065682,0.071491,0.081168,0.096884", \ "0.083962,0.085451,0.088237,0.092603,0.099907,0.111616,0.130032", \ "0.113086,0.114973,0.118419,0.123954,0.133057,0.147467,0.169536"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.108720,0.117287,0.133045,0.161053,0.211019,0.301102,0.462985", \ "0.108736,0.117298,0.133058,0.161034,0.211070,0.301054,0.462980", \ "0.108770,0.117327,0.133073,0.160996,0.211136,0.301112,0.462995", \ "0.108822,0.117375,0.133111,0.160967,0.210984,0.301101,0.462988", \ "0.110158,0.118422,0.133801,0.161098,0.211181,0.301055,0.462988", \ "0.124343,0.131625,0.144873,0.168960,0.214467,0.301407,0.462974", \ "0.144955,0.152786,0.166997,0.191365,0.233248,0.311015,0.463790"); } } timing () { related_pin : "B1"; when : "A1 & !A2 & B2 & C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.025586,0.027319,0.030400,0.035835,0.045351,0.061964,0.091115", \ "0.030379,0.032092,0.035147,0.040556,0.050044,0.066637,0.095761", \ "0.048289,0.049977,0.052922,0.057963,0.067106,0.083464,0.112467", \ "0.070925,0.073358,0.077821,0.085417,0.097551,0.116262,0.145096", \ "0.089769,0.093379,0.099118,0.109912,0.126947,0.153191,0.191950", \ "0.102170,0.106966,0.115142,0.128992,0.151418,0.186067,0.237098", \ "0.106453,0.112652,0.123222,0.140755,0.169107,0.212897,0.277439"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.245114,0.254747,0.272132,0.303636,0.359751,0.460978,0.643461", \ "0.247646,0.257362,0.274896,0.306555,0.363117,0.464709,0.647634", \ "0.259992,0.269744,0.287317,0.319191,0.375986,0.477971,0.661653", \ "0.289298,0.298770,0.316048,0.347550,0.404191,0.506117,0.689943", \ "0.333646,0.343226,0.360390,0.391752,0.447956,0.549545,0.732834", \ "0.392531,0.402756,0.420835,0.452268,0.508710,0.610000,0.792836", \ "0.458291,0.469744,0.490016,0.525492,0.586579,0.690030,0.872559"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.026795,0.028105,0.030486,0.034789,0.042486,0.056150,0.080440", \ "0.026408,0.027748,0.030181,0.034548,0.042325,0.056057,0.080401", \ "0.027520,0.028529,0.030496,0.034297,0.041690,0.055681,0.080279", \ "0.044366,0.045103,0.046343,0.048626,0.052969,0.061617,0.081394", \ "0.067611,0.068470,0.070339,0.072872,0.077674,0.086314,0.101120", \ "0.095546,0.096624,0.098734,0.102156,0.108154,0.118391,0.135418", \ "0.128095,0.129459,0.131971,0.136262,0.143695,0.156208,0.176389"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.125315,0.134050,0.150115,0.178513,0.228838,0.319444,0.481954", \ "0.125320,0.134053,0.150107,0.178502,0.228848,0.319407,0.481958", \ "0.125328,0.134059,0.150094,0.178602,0.228846,0.319400,0.481956", \ "0.125347,0.134080,0.150102,0.178444,0.228814,0.319412,0.481967", \ "0.125818,0.134452,0.150350,0.178515,0.228832,0.319439,0.481964", \ "0.135729,0.143390,0.157505,0.183047,0.230371,0.319549,0.481948", \ "0.156312,0.164259,0.178800,0.203322,0.245454,0.326341,0.482256"); } } timing () { related_pin : "B2"; when : "!A1 & !A2 & B1 & !C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.032439,0.034107,0.037081,0.042346,0.051614,0.067902,0.096686", \ "0.037639,0.039307,0.042286,0.047549,0.056816,0.073104,0.101898", \ "0.053129,0.054798,0.057750,0.062967,0.072215,0.088526,0.117370", \ "0.076298,0.078426,0.082103,0.088451,0.099184,0.116807,0.146073", \ "0.100734,0.103596,0.108374,0.116756,0.130582,0.152593,0.187120", \ "0.122268,0.126118,0.132413,0.143571,0.161942,0.190252,0.232895", \ "0.137990,0.143074,0.151367,0.165853,0.189625,0.226043,0.279486"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.149294,0.155711,0.167421,0.188441,0.226356,0.294755,0.418121", \ "0.152570,0.159044,0.170824,0.191944,0.230043,0.298572,0.422081", \ "0.168715,0.175210,0.187071,0.208230,0.246369,0.315131,0.438927", \ "0.201486,0.207933,0.219338,0.240474,0.278528,0.347288,0.471078", \ "0.249779,0.256470,0.268255,0.289188,0.327161,0.395724,0.519513", \ "0.304886,0.313022,0.327313,0.352019,0.394051,0.463720,0.587455", \ "0.363696,0.373449,0.390493,0.419687,0.468585,0.548480,0.677210"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.020538,0.021785,0.024033,0.028103,0.035460,0.048784,0.072996", \ "0.020494,0.021746,0.024005,0.028075,0.035436,0.048791,0.072989", \ "0.020703,0.021880,0.024047,0.027984,0.035300,0.048730,0.072987", \ "0.026284,0.027459,0.029542,0.033284,0.039801,0.051341,0.073658", \ "0.036520,0.037800,0.040077,0.043926,0.050605,0.062345,0.082903", \ "0.051402,0.052942,0.055787,0.060218,0.067333,0.079216,0.099802", \ "0.070116,0.072045,0.075474,0.081023,0.089476,0.102554,0.123523"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.077229,0.082953,0.093348,0.111979,0.145636,0.206068,0.315028", \ "0.077234,0.082958,0.093352,0.111976,0.145611,0.206074,0.315027", \ "0.077243,0.082962,0.093421,0.111997,0.145636,0.206050,0.315028", \ "0.077313,0.083007,0.093404,0.112003,0.145582,0.206131,0.315043", \ "0.082266,0.087262,0.096461,0.113576,0.145783,0.205974,0.315030", \ "0.100347,0.105286,0.114365,0.129770,0.156771,0.209800,0.315025", \ "0.124021,0.129250,0.138897,0.155115,0.183035,0.230769,0.323060"); } } timing () { related_pin : "B2"; when : "!A1 & !A2 & B1 & !C1 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.032431,0.034099,0.037073,0.042339,0.051597,0.067876,0.096652", \ "0.037684,0.039352,0.042331,0.047593,0.056855,0.073137,0.101920", \ "0.053307,0.054972,0.057919,0.063140,0.072385,0.088691,0.117522", \ "0.076604,0.078719,0.082399,0.088757,0.099466,0.117055,0.146298", \ "0.101154,0.103989,0.108741,0.117083,0.130905,0.152896,0.187407", \ "0.122638,0.126503,0.132726,0.143896,0.162267,0.190557,0.233170", \ "0.138016,0.143105,0.151419,0.165961,0.189804,0.226250,0.279715"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.189336,0.197555,0.212544,0.239445,0.287805,0.375057,0.532712", \ "0.191863,0.200148,0.215238,0.242388,0.291028,0.378286,0.536106", \ "0.206250,0.214551,0.229667,0.256863,0.305723,0.393314,0.551413", \ "0.237300,0.245564,0.260236,0.287274,0.336140,0.423614,0.581857", \ "0.284268,0.292540,0.307497,0.334154,0.382614,0.470204,0.628218", \ "0.342916,0.352442,0.369229,0.398554,0.448138,0.535671,0.693586", \ "0.405964,0.417160,0.436841,0.470662,0.527762,0.622180,0.780477"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.020538,0.021784,0.024034,0.028097,0.035458,0.048777,0.072996", \ "0.020496,0.021750,0.024010,0.028077,0.035436,0.048789,0.072984", \ "0.020689,0.021873,0.024039,0.027984,0.035302,0.048732,0.072985", \ "0.026207,0.027396,0.029486,0.033210,0.039752,0.051301,0.073639", \ "0.036350,0.037626,0.039947,0.043801,0.050491,0.062248,0.082827", \ "0.051228,0.052771,0.055600,0.060039,0.067153,0.079085,0.099675", \ "0.070029,0.071965,0.075400,0.080927,0.089367,0.102431,0.123413"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.101107,0.108360,0.121691,0.145400,0.188113,0.264684,0.402801", \ "0.101115,0.108366,0.121691,0.145415,0.188134,0.264691,0.402814", \ "0.101128,0.108374,0.121686,0.145361,0.188120,0.264721,0.402812", \ "0.101137,0.108392,0.121712,0.145390,0.188152,0.264712,0.402806", \ "0.102888,0.109661,0.122341,0.145564,0.188023,0.264723,0.402813", \ "0.119182,0.125250,0.136070,0.155675,0.192956,0.265114,0.402803", \ "0.143661,0.149986,0.161566,0.181557,0.216025,0.278469,0.404812"); } } timing () { related_pin : "B2"; when : "!A1 & !A2 & B1 & C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.032937,0.034601,0.037570,0.042829,0.052084,0.068370,0.097167", \ "0.038191,0.039854,0.042824,0.048084,0.057338,0.073627,0.102429", \ "0.053809,0.055457,0.058398,0.063620,0.072870,0.089185,0.118039", \ "0.077257,0.079366,0.083014,0.089351,0.099987,0.117577,0.146811", \ "0.102117,0.104895,0.109637,0.117926,0.131644,0.153520,0.187990", \ "0.124006,0.127838,0.133995,0.145066,0.163296,0.191446,0.233910", \ "0.139910,0.144927,0.153269,0.167641,0.191239,0.227489,0.280746"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.217996,0.226262,0.241244,0.268446,0.317122,0.404398,0.562223", \ "0.220747,0.229062,0.244156,0.271299,0.320146,0.407630,0.565681", \ "0.235251,0.243590,0.258671,0.285884,0.335065,0.422767,0.581017", \ "0.266200,0.274480,0.289146,0.316380,0.365153,0.453010,0.611430", \ "0.313109,0.321388,0.336263,0.363154,0.411862,0.499489,0.657829", \ "0.375614,0.384639,0.400664,0.428505,0.477363,0.565106,0.723093", \ "0.444463,0.454917,0.473361,0.505519,0.560294,0.651935,0.809967"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.023176,0.024474,0.026821,0.031071,0.038742,0.052540,0.077101", \ "0.023135,0.024438,0.026789,0.031047,0.038722,0.052531,0.077097", \ "0.023283,0.024515,0.026784,0.030930,0.038581,0.052490,0.077095", \ "0.029468,0.030632,0.032752,0.036499,0.043141,0.055016,0.077739", \ "0.041272,0.042452,0.044632,0.048337,0.054894,0.066542,0.087018", \ "0.058946,0.060222,0.062665,0.066546,0.073047,0.084355,0.104419", \ "0.081035,0.082518,0.085246,0.089868,0.097150,0.108999,0.128901"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.115283,0.122642,0.136186,0.160235,0.203208,0.280199,0.418812", \ "0.115290,0.122645,0.136174,0.160154,0.203196,0.280196,0.418814", \ "0.115284,0.122644,0.136190,0.160156,0.203206,0.280221,0.418820", \ "0.115301,0.122658,0.136194,0.160177,0.203147,0.280181,0.418816", \ "0.115725,0.122974,0.136379,0.160228,0.203185,0.280181,0.418811", \ "0.127943,0.134086,0.145513,0.166485,0.205688,0.280315,0.418811", \ "0.151954,0.158369,0.170070,0.190270,0.224825,0.290224,0.419766"); } } timing () { related_pin : "B2"; when : "!A1 & A2 & B1 & !C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.027303,0.029038,0.032124,0.037568,0.047087,0.063690,0.092796", \ "0.032546,0.034274,0.037349,0.042777,0.052276,0.068862,0.097958", \ "0.048140,0.049904,0.052987,0.058313,0.067695,0.084221,0.113320", \ "0.070267,0.072536,0.076462,0.083165,0.094244,0.112369,0.142008", \ "0.092746,0.095858,0.101060,0.110053,0.124616,0.147339,0.182617", \ "0.111494,0.115756,0.122598,0.134664,0.154251,0.183804,0.227560", \ "0.123806,0.129385,0.138454,0.154174,0.179557,0.217794,0.272971"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.167422,0.175138,0.189157,0.214364,0.259758,0.341556,0.489343", \ "0.170437,0.178199,0.192319,0.217600,0.263166,0.345127,0.493159", \ "0.186325,0.194116,0.208224,0.233689,0.279306,0.361623,0.509891", \ "0.218403,0.226103,0.239810,0.265081,0.310689,0.392987,0.541271", \ "0.264170,0.272033,0.286067,0.311073,0.356442,0.438492,0.586730", \ "0.316872,0.326018,0.342255,0.370580,0.418778,0.501007,0.649110", \ "0.374074,0.384667,0.403355,0.435540,0.489932,0.580588,0.730888"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.018990,0.020332,0.022722,0.026978,0.034488,0.047886,0.072006", \ "0.018819,0.020163,0.022559,0.026836,0.034382,0.047807,0.071966", \ "0.019563,0.020722,0.022858,0.026804,0.034117,0.047626,0.071911", \ "0.025939,0.027076,0.029132,0.032780,0.039214,0.050662,0.072673", \ "0.036988,0.038218,0.040450,0.044121,0.050567,0.061984,0.082340", \ "0.052507,0.053999,0.056747,0.061040,0.067895,0.079411,0.099533", \ "0.071732,0.073632,0.076974,0.082390,0.090582,0.103286,0.123721"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.086685,0.093629,0.106485,0.129309,0.170219,0.243964,0.376825", \ "0.086697,0.093637,0.106492,0.129140,0.170171,0.243874,0.376817", \ "0.086709,0.093646,0.106503,0.129282,0.170215,0.243890,0.376821", \ "0.086798,0.093710,0.106538,0.129167,0.170149,0.243897,0.376810", \ "0.090576,0.096868,0.108625,0.129985,0.170238,0.243837,0.376809", \ "0.105745,0.111997,0.123533,0.142762,0.177891,0.245730,0.376813", \ "0.125749,0.132271,0.144269,0.164811,0.200093,0.261482,0.381326"); } } timing () { related_pin : "B2"; when : "!A1 & A2 & B1 & !C1 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.027294,0.029027,0.032113,0.037553,0.047067,0.063663,0.092755", \ "0.032585,0.034312,0.037388,0.042814,0.052309,0.068889,0.097971", \ "0.048306,0.050071,0.053154,0.058476,0.067858,0.084377,0.113462", \ "0.070563,0.072837,0.076742,0.083442,0.094497,0.112609,0.142223", \ "0.093137,0.096239,0.101457,0.110392,0.124934,0.147641,0.182860", \ "0.111825,0.116094,0.122906,0.135029,0.154557,0.184108,0.227817", \ "0.123837,0.129430,0.138512,0.154319,0.179690,0.218023,0.273177"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.208017,0.217510,0.234742,0.265879,0.321831,0.422463,0.604571", \ "0.210271,0.219840,0.237213,0.268536,0.324577,0.425528,0.607862", \ "0.224422,0.234015,0.251435,0.282805,0.339091,0.440329,0.623027", \ "0.255011,0.264562,0.281517,0.312816,0.369015,0.470204,0.652959", \ "0.299804,0.309359,0.326562,0.357480,0.413438,0.514506,0.697066", \ "0.355300,0.365945,0.384868,0.417886,0.474404,0.575541,0.757769", \ "0.415977,0.428103,0.449501,0.486605,0.549710,0.655611,0.837838"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.018987,0.020326,0.022726,0.026973,0.034488,0.047882,0.072000", \ "0.018831,0.020176,0.022573,0.026843,0.034386,0.047810,0.071959", \ "0.019569,0.020723,0.022861,0.026809,0.034123,0.047632,0.071912", \ "0.025857,0.027011,0.029054,0.032716,0.039161,0.050620,0.072656", \ "0.036828,0.038063,0.040274,0.043992,0.050439,0.061885,0.082276", \ "0.052310,0.053806,0.056584,0.060845,0.067727,0.079288,0.099429", \ "0.071628,0.073528,0.076917,0.082301,0.090447,0.103154,0.123631"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.108751,0.117257,0.132857,0.160923,0.211007,0.301055,0.462978", \ "0.108768,0.117271,0.132864,0.160932,0.210979,0.301049,0.462992", \ "0.108790,0.117286,0.132870,0.160944,0.211061,0.301051,0.462981", \ "0.108830,0.117320,0.132904,0.160955,0.211139,0.301039,0.462982", \ "0.110200,0.118362,0.133596,0.161140,0.211000,0.301092,0.462976", \ "0.124183,0.131509,0.144819,0.169075,0.214497,0.301339,0.462963", \ "0.144525,0.152286,0.166457,0.191077,0.233244,0.311109,0.463826"); } } timing () { related_pin : "B2"; when : "!A1 & A2 & B1 & C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.027807,0.029536,0.032616,0.038048,0.047558,0.064155,0.093270", \ "0.033096,0.034819,0.037890,0.043308,0.052797,0.069379,0.098488", \ "0.048838,0.050589,0.053649,0.058952,0.068337,0.084867,0.113979", \ "0.071275,0.073525,0.077408,0.084045,0.095065,0.113129,0.142732", \ "0.094188,0.097229,0.102396,0.111271,0.125699,0.148299,0.183476", \ "0.113359,0.117549,0.124297,0.136290,0.155673,0.185029,0.228591", \ "0.125959,0.131466,0.140553,0.156105,0.181264,0.219303,0.274236"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.241256,0.250799,0.268102,0.299312,0.355374,0.456445,0.638785", \ "0.243767,0.253368,0.270726,0.302129,0.358429,0.459530,0.642056", \ "0.258048,0.267667,0.285107,0.316521,0.373050,0.474439,0.657334", \ "0.288518,0.298087,0.315080,0.346391,0.402897,0.504270,0.687257", \ "0.333257,0.342821,0.360013,0.391087,0.447295,0.548448,0.731330", \ "0.392100,0.402301,0.420380,0.451956,0.508280,0.609395,0.791995", \ "0.457831,0.469264,0.489554,0.525074,0.586142,0.689617,0.871979"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.021759,0.023145,0.025623,0.030024,0.037840,0.051668,0.076123", \ "0.021585,0.022972,0.025458,0.029888,0.037728,0.051596,0.076088", \ "0.022174,0.023394,0.025638,0.029790,0.037442,0.051418,0.076031", \ "0.029315,0.030440,0.032471,0.036102,0.042643,0.054343,0.076762", \ "0.042203,0.043271,0.045279,0.048749,0.054975,0.066269,0.086490", \ "0.060811,0.061926,0.064181,0.067756,0.073845,0.084663,0.104224", \ "0.083621,0.084963,0.087523,0.091764,0.098580,0.109881,0.129160"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.125328,0.133983,0.149858,0.178348,0.228833,0.319445,0.481965", \ "0.125330,0.133983,0.149849,0.178289,0.228944,0.319408,0.481955", \ "0.125342,0.133992,0.149860,0.178362,0.228828,0.319400,0.481965", \ "0.125353,0.134001,0.149876,0.178279,0.228938,0.319376,0.481960", \ "0.125824,0.134372,0.150123,0.178405,0.228859,0.319400,0.481955", \ "0.135808,0.143415,0.157505,0.183094,0.230486,0.319511,0.481950", \ "0.155989,0.163849,0.178146,0.203107,0.245552,0.326413,0.482260"); } } timing () { related_pin : "B2"; when : "A1 & !A2 & B1 & !C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.027818,0.029549,0.032627,0.038060,0.047572,0.064182,0.093307", \ "0.033058,0.034782,0.037852,0.043268,0.052762,0.069351,0.098485", \ "0.048662,0.050414,0.053485,0.058787,0.068172,0.084707,0.113830", \ "0.070973,0.073228,0.077103,0.083763,0.094805,0.112891,0.142516", \ "0.093796,0.096844,0.102039,0.110920,0.125365,0.147996,0.183229", \ "0.113020,0.117201,0.123944,0.135956,0.155334,0.184708,0.228310", \ "0.125942,0.131418,0.140506,0.155979,0.181117,0.219098,0.274015"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.194063,0.201821,0.215887,0.241190,0.286831,0.368829,0.516878", \ "0.197290,0.205080,0.219181,0.244747,0.290365,0.372570,0.520724", \ "0.213289,0.221096,0.235262,0.260825,0.306625,0.389092,0.537503", \ "0.245239,0.252970,0.266734,0.292185,0.337971,0.420418,0.568964", \ "0.291187,0.298997,0.312952,0.338125,0.383691,0.465979,0.614327", \ "0.348147,0.356860,0.372418,0.399591,0.446197,0.528382,0.676689", \ "0.410329,0.420266,0.437844,0.468491,0.520968,0.609376,0.758414"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.021751,0.023143,0.025615,0.030010,0.037827,0.051663,0.076119", \ "0.021569,0.022963,0.025456,0.029867,0.037713,0.051586,0.076088", \ "0.022152,0.023375,0.025650,0.029782,0.037424,0.051404,0.076027", \ "0.029379,0.030497,0.032534,0.036173,0.042690,0.054375,0.076776", \ "0.042376,0.043435,0.045426,0.048889,0.055097,0.066364,0.086555", \ "0.061023,0.062135,0.064390,0.067934,0.074027,0.084814,0.104332", \ "0.083718,0.085072,0.087594,0.091869,0.098723,0.110025,0.129293"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.099908,0.106978,0.120063,0.143161,0.184481,0.258555,0.392032", \ "0.099917,0.106984,0.120090,0.143254,0.184486,0.258579,0.392018", \ "0.099917,0.106986,0.120063,0.143177,0.184533,0.258591,0.392046", \ "0.099945,0.107003,0.120066,0.143141,0.184514,0.258576,0.392039", \ "0.101513,0.108172,0.120796,0.143301,0.184328,0.258522,0.392017", \ "0.115191,0.121484,0.132663,0.152431,0.189266,0.259327,0.392035", \ "0.134582,0.141185,0.153329,0.174015,0.209591,0.272281,0.395102"); } } timing () { related_pin : "B2"; when : "A1 & !A2 & B1 & !C1 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.027807,0.029536,0.032616,0.038048,0.047558,0.064155,0.093270", \ "0.033096,0.034819,0.037890,0.043308,0.052797,0.069379,0.098488", \ "0.048838,0.050589,0.053649,0.058952,0.068337,0.084867,0.113979", \ "0.071275,0.073525,0.077408,0.084045,0.095065,0.113129,0.142732", \ "0.094188,0.097229,0.102396,0.111271,0.125699,0.148299,0.183476", \ "0.113359,0.117549,0.124297,0.136290,0.155673,0.185029,0.228591", \ "0.125959,0.131466,0.140553,0.156105,0.181264,0.219303,0.274236"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.241256,0.250799,0.268102,0.299312,0.355374,0.456445,0.638785", \ "0.243767,0.253368,0.270726,0.302129,0.358429,0.459530,0.642056", \ "0.258048,0.267667,0.285107,0.316521,0.373050,0.474439,0.657334", \ "0.288518,0.298087,0.315080,0.346391,0.402897,0.504270,0.687257", \ "0.333257,0.342821,0.360013,0.391087,0.447295,0.548448,0.731330", \ "0.392100,0.402301,0.420380,0.451956,0.508280,0.609395,0.791995", \ "0.457831,0.469264,0.489554,0.525074,0.586142,0.689617,0.871979"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.021759,0.023145,0.025623,0.030024,0.037840,0.051668,0.076123", \ "0.021585,0.022972,0.025458,0.029888,0.037728,0.051596,0.076088", \ "0.022174,0.023394,0.025638,0.029790,0.037442,0.051418,0.076031", \ "0.029315,0.030440,0.032471,0.036102,0.042643,0.054343,0.076762", \ "0.042203,0.043271,0.045279,0.048749,0.054975,0.066269,0.086490", \ "0.060811,0.061926,0.064181,0.067756,0.073845,0.084663,0.104224", \ "0.083621,0.084963,0.087523,0.091764,0.098580,0.109881,0.129160"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.125328,0.133983,0.149858,0.178348,0.228833,0.319445,0.481965", \ "0.125330,0.133983,0.149849,0.178289,0.228944,0.319408,0.481955", \ "0.125342,0.133992,0.149860,0.178362,0.228828,0.319400,0.481965", \ "0.125353,0.134001,0.149876,0.178279,0.228938,0.319376,0.481960", \ "0.125824,0.134372,0.150123,0.178405,0.228859,0.319400,0.481955", \ "0.135808,0.143415,0.157505,0.183094,0.230486,0.319511,0.481950", \ "0.155989,0.163849,0.178146,0.203107,0.245552,0.326413,0.482260"); } } timing () { related_pin : "B2"; when : "A1 & !A2 & B1 & C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.028325,0.030052,0.033122,0.038539,0.048040,0.064641,0.093779", \ "0.033612,0.035328,0.038387,0.043798,0.053286,0.069867,0.098994", \ "0.049354,0.051094,0.054141,0.059430,0.068820,0.085358,0.114493", \ "0.071979,0.074204,0.078053,0.084639,0.095627,0.113650,0.143241", \ "0.095250,0.098263,0.103300,0.112125,0.126442,0.148951,0.184077", \ "0.114901,0.119035,0.125683,0.137561,0.156718,0.185931,0.229330", \ "0.128108,0.133539,0.142570,0.157966,0.182782,0.220540,0.275254"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.274732,0.284301,0.301585,0.332886,0.389071,0.490422,0.672970", \ "0.277428,0.287032,0.304379,0.335749,0.392174,0.493588,0.676285", \ "0.291867,0.301492,0.318896,0.350399,0.406864,0.508574,0.691608", \ "0.322251,0.331798,0.348834,0.380270,0.436712,0.538384,0.721562", \ "0.366883,0.376487,0.393679,0.424804,0.481197,0.582608,0.765616", \ "0.427308,0.437010,0.454451,0.485718,0.542114,0.643378,0.826196", \ "0.497532,0.508459,0.527979,0.562206,0.621689,0.723586,0.906111"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.026592,0.027917,0.030321,0.034652,0.042393,0.056095,0.080416", \ "0.026398,0.027729,0.030152,0.034507,0.042284,0.056021,0.080381", \ "0.026760,0.027964,0.030218,0.034358,0.041981,0.055849,0.080327", \ "0.034949,0.035888,0.037670,0.041027,0.047238,0.058711,0.081041", \ "0.049316,0.050173,0.051782,0.054770,0.060385,0.071079,0.090808", \ "0.069575,0.070396,0.072169,0.075067,0.080297,0.090247,0.109046", \ "0.094743,0.095705,0.097582,0.100897,0.106543,0.116561,0.134714"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.142188,0.150961,0.167027,0.195844,0.246743,0.337875,0.500974", \ "0.142190,0.150962,0.167026,0.195846,0.246763,0.337885,0.500963", \ "0.142193,0.150962,0.167022,0.195835,0.246725,0.337798,0.500968", \ "0.142196,0.150969,0.167037,0.195830,0.246725,0.337869,0.500966", \ "0.142368,0.151099,0.167116,0.195855,0.246777,0.337789,0.500965", \ "0.148731,0.156728,0.171559,0.198355,0.247326,0.337800,0.500955", \ "0.168179,0.176110,0.190572,0.214965,0.258940,0.342586,0.501082"); } } timing () { related_pin : "C1"; when : "!A1 & !A2 & !B1 & !B2 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.031726,0.033649,0.037062,0.043038,0.053377,0.071139,0.101722", \ "0.036364,0.038265,0.041649,0.047597,0.057897,0.075630,0.106186", \ "0.054737,0.056377,0.059425,0.064972,0.074860,0.092275,0.122657", \ "0.083981,0.086145,0.090098,0.097093,0.108366,0.126067,0.155282", \ "0.111317,0.114345,0.119372,0.128827,0.144391,0.168631,0.205224", \ "0.133802,0.137825,0.144525,0.156768,0.176745,0.208267,0.255852", \ "0.149640,0.154685,0.163288,0.178447,0.203484,0.242941,0.302556"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.167069,0.173774,0.185744,0.207173,0.245664,0.314966,0.440032", \ "0.170438,0.177287,0.189448,0.211201,0.250105,0.319864,0.445340", \ "0.187085,0.193879,0.205986,0.227694,0.266735,0.336878,0.462993", \ "0.220363,0.226917,0.238691,0.260225,0.298972,0.368856,0.494944", \ "0.268010,0.274697,0.286351,0.307874,0.346401,0.415937,0.541733", \ "0.321706,0.329614,0.343229,0.367147,0.408514,0.478791,0.604209", \ "0.376451,0.385610,0.401772,0.429326,0.476128,0.554494,0.684134"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.026428,0.027835,0.030338,0.034771,0.042565,0.056307,0.080699", \ "0.025965,0.027406,0.029963,0.034463,0.042346,0.056162,0.080634", \ "0.024844,0.026114,0.028445,0.032871,0.041036,0.055486,0.080368", \ "0.036501,0.037656,0.039546,0.042675,0.047929,0.057950,0.079796", \ "0.053118,0.054712,0.057429,0.061578,0.068193,0.078739,0.095279", \ "0.073379,0.075395,0.079006,0.084515,0.093223,0.106524,0.126454", \ "0.097149,0.099741,0.104283,0.111377,0.122460,0.139132,0.163496"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.069700,0.075464,0.085748,0.104439,0.138128,0.199075,0.308990", \ "0.069775,0.075519,0.085808,0.104444,0.138139,0.199075,0.308994", \ "0.069864,0.075584,0.085881,0.104452,0.138170,0.199055,0.309012", \ "0.069925,0.075660,0.085905,0.104461,0.138147,0.199095,0.309013", \ "0.072448,0.077700,0.087333,0.105235,0.138381,0.199034,0.309044", \ "0.085081,0.090351,0.099730,0.116078,0.145385,0.201340,0.308983", \ "0.101895,0.107444,0.117452,0.134770,0.165264,0.217453,0.314993"); } } timing () { related_pin : "C1"; when : "!A1 & !A2 & !B1 & B2 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.030024,0.031901,0.035250,0.041132,0.051354,0.069005,0.099486", \ "0.034747,0.036592,0.039903,0.045736,0.055899,0.073485,0.103922", \ "0.053240,0.054826,0.057792,0.063207,0.072932,0.090165,0.120371", \ "0.081185,0.083382,0.087450,0.094564,0.106037,0.123954,0.153051", \ "0.106998,0.110118,0.115281,0.125012,0.140868,0.165490,0.202537", \ "0.127647,0.131791,0.138704,0.151299,0.171782,0.203897,0.252180", \ "0.141282,0.146517,0.155425,0.171067,0.196755,0.237091,0.297674"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.195150,0.203513,0.218649,0.245513,0.293897,0.381122,0.538665", \ "0.197954,0.206485,0.221816,0.249096,0.298076,0.385861,0.543914", \ "0.213791,0.222218,0.237481,0.264756,0.313852,0.402155,0.560976", \ "0.245619,0.253765,0.268672,0.295666,0.344397,0.432383,0.591129", \ "0.289905,0.298238,0.312704,0.339563,0.387980,0.475586,0.633929", \ "0.340853,0.350133,0.366385,0.395136,0.444730,0.532203,0.690213", \ "0.393133,0.403555,0.422107,0.453886,0.508775,0.602357,0.761360"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.024705,0.026134,0.028664,0.033154,0.041044,0.054889,0.079414", \ "0.024242,0.025697,0.028261,0.032805,0.040771,0.054694,0.079301", \ "0.023786,0.024994,0.027239,0.031467,0.039504,0.053992,0.078980", \ "0.035972,0.037142,0.039053,0.042189,0.047469,0.057205,0.078647", \ "0.052805,0.054369,0.057135,0.061269,0.067929,0.078498,0.095034", \ "0.073337,0.075381,0.079033,0.084497,0.093215,0.106541,0.126427", \ "0.097480,0.100086,0.104768,0.111824,0.122874,0.139504,0.163751"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.087119,0.094294,0.107367,0.130778,0.173026,0.249309,0.386860", \ "0.087164,0.094301,0.107343,0.130749,0.173024,0.249304,0.386858", \ "0.087213,0.094354,0.107373,0.130775,0.173016,0.249304,0.386857", \ "0.087229,0.094389,0.107465,0.130812,0.173031,0.249312,0.386846", \ "0.088558,0.095398,0.108092,0.131094,0.173038,0.249299,0.386859", \ "0.099500,0.106098,0.117674,0.138424,0.176927,0.249813,0.386824", \ "0.114667,0.121482,0.133912,0.155537,0.193731,0.260560,0.389106"); } } timing () { related_pin : "C1"; when : "!A1 & !A2 & B1 & !B2 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.030613,0.032486,0.035822,0.041688,0.051902,0.069546,0.100042", \ "0.035321,0.037168,0.040463,0.046288,0.056440,0.074028,0.104486", \ "0.053737,0.055324,0.058294,0.063719,0.073456,0.090693,0.120921", \ "0.082011,0.084166,0.088205,0.095269,0.106645,0.124486,0.153591", \ "0.108239,0.111347,0.116408,0.126058,0.141800,0.166308,0.203224", \ "0.129393,0.133525,0.140427,0.152852,0.173148,0.205092,0.253163", \ "0.143662,0.148873,0.157776,0.173270,0.198716,0.238780,0.299081"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.223854,0.232185,0.247265,0.274313,0.322951,0.410358,0.568131", \ "0.227328,0.235780,0.251032,0.278344,0.327566,0.415296,0.573452", \ "0.243048,0.251478,0.266857,0.294128,0.343465,0.431673,0.590598", \ "0.274500,0.282699,0.297716,0.324765,0.373855,0.461953,0.620781", \ "0.318739,0.327018,0.341590,0.368630,0.417159,0.505015,0.663520", \ "0.372636,0.381580,0.397355,0.425048,0.473954,0.561543,0.719794", \ "0.428825,0.438747,0.456424,0.487285,0.540724,0.632540,0.790859"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.028493,0.029922,0.032492,0.037056,0.045101,0.059163,0.083782", \ "0.027973,0.029439,0.032056,0.036688,0.044812,0.058962,0.083671", \ "0.027114,0.028405,0.030778,0.035187,0.043494,0.058245,0.083345", \ "0.040386,0.041460,0.043191,0.046107,0.051153,0.061255,0.082991", \ "0.060561,0.061818,0.064219,0.067692,0.073584,0.083377,0.099199", \ "0.084850,0.086411,0.089209,0.093796,0.101240,0.113160,0.131751", \ "0.112826,0.114792,0.118319,0.124022,0.133366,0.148065,0.170459"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.101100,0.108431,0.121717,0.145442,0.188161,0.264715,0.402805", \ "0.101101,0.108436,0.121720,0.145426,0.188165,0.264729,0.402810", \ "0.101125,0.108434,0.121774,0.145471,0.188135,0.264694,0.402797", \ "0.101130,0.108444,0.121781,0.145487,0.188059,0.264782,0.402802", \ "0.101601,0.108825,0.121981,0.145543,0.187978,0.264712,0.402795", \ "0.110277,0.116764,0.128611,0.150199,0.190102,0.264856,0.402785", \ "0.125477,0.132460,0.145099,0.166896,0.204941,0.273244,0.404184"); } } timing () { related_pin : "C1"; when : "!A1 & A2 & !B1 & !B2 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.023904,0.025787,0.029157,0.035117,0.045536,0.063587,0.094729", \ "0.028826,0.030665,0.033983,0.039866,0.050199,0.068168,0.099243", \ "0.048164,0.049931,0.053006,0.058248,0.067879,0.085231,0.115883", \ "0.074282,0.076726,0.081152,0.088756,0.100889,0.119643,0.149058", \ "0.098549,0.101958,0.107475,0.117907,0.134612,0.160291,0.198422", \ "0.117763,0.122208,0.129593,0.142865,0.164406,0.197795,0.247373", \ "0.130065,0.135624,0.145063,0.161495,0.188335,0.230092,0.292189"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.181770,0.189765,0.204075,0.229579,0.275374,0.357817,0.506565", \ "0.184754,0.192892,0.207443,0.233328,0.279620,0.362601,0.511870", \ "0.200888,0.208969,0.223463,0.249317,0.295742,0.379174,0.529105", \ "0.233885,0.241731,0.255851,0.281475,0.327585,0.410667,0.560589", \ "0.280967,0.288918,0.302904,0.328425,0.374278,0.456987,0.606483", \ "0.334378,0.343460,0.359289,0.386943,0.434503,0.517396,0.666548", \ "0.388728,0.399027,0.417216,0.448257,0.501201,0.590723,0.741709"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.021487,0.023103,0.025977,0.030966,0.039570,0.054244,0.079523", \ "0.021088,0.022716,0.025603,0.030641,0.039267,0.054011,0.079365", \ "0.023190,0.024211,0.026227,0.030190,0.038064,0.053039,0.078810", \ "0.037296,0.038379,0.040267,0.043305,0.048458,0.057673,0.078576", \ "0.055226,0.056719,0.059417,0.063378,0.069817,0.080093,0.096250", \ "0.076705,0.078653,0.082086,0.087495,0.095869,0.108700,0.128055", \ "0.101656,0.104187,0.108561,0.115478,0.126095,0.142165,0.165718"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.076691,0.083556,0.096142,0.118512,0.159222,0.233112,0.366310", \ "0.076800,0.083648,0.096183,0.118543,0.159267,0.233107,0.366318", \ "0.076978,0.083808,0.096278,0.118585,0.159233,0.233099,0.366311", \ "0.077056,0.083894,0.096336,0.118630,0.159244,0.233102,0.366312", \ "0.079061,0.085572,0.097502,0.119239,0.159459,0.233058,0.366311", \ "0.090354,0.096705,0.108041,0.127743,0.164378,0.234086,0.366314", \ "0.105163,0.111841,0.124062,0.144856,0.181755,0.246233,0.369510"); } } timing () { related_pin : "C1"; when : "!A1 & A2 & !B1 & B2 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.023319,0.025162,0.028464,0.034310,0.044564,0.062393,0.093275", \ "0.028265,0.030063,0.033310,0.039077,0.049238,0.066970,0.097767", \ "0.047330,0.049093,0.052174,0.057374,0.066861,0.083998,0.114378", \ "0.072350,0.074803,0.079355,0.087051,0.099300,0.118162,0.147504", \ "0.095193,0.098680,0.104312,0.114957,0.131913,0.157889,0.196337", \ "0.112620,0.117199,0.124836,0.138410,0.160342,0.194246,0.244362", \ "0.122765,0.128506,0.138231,0.155150,0.182619,0.225115,0.288052"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.208953,0.218627,0.236079,0.267201,0.323095,0.423800,0.605800", \ "0.211353,0.221199,0.238950,0.270478,0.327010,0.428461,0.611007", \ "0.226687,0.236434,0.254076,0.285582,0.342281,0.444229,0.627701", \ "0.258259,0.267717,0.285001,0.316196,0.372489,0.474038,0.657419", \ "0.302091,0.311718,0.328594,0.359590,0.415552,0.516622,0.699538", \ "0.352660,0.363179,0.381773,0.414171,0.470682,0.571656,0.754048", \ "0.404385,0.415997,0.436693,0.472257,0.533789,0.639283,0.821880"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.020593,0.022168,0.024989,0.029911,0.038409,0.052991,0.078209", \ "0.020221,0.021802,0.024629,0.029571,0.038094,0.052733,0.078026", \ "0.022626,0.023609,0.025550,0.029373,0.037022,0.051798,0.077480", \ "0.036732,0.037823,0.039706,0.042753,0.047951,0.057004,0.077531", \ "0.054688,0.056197,0.058902,0.062890,0.069358,0.079659,0.095824", \ "0.076352,0.078275,0.081843,0.087155,0.095580,0.108420,0.127779", \ "0.101642,0.104193,0.108566,0.115477,0.126149,0.142183,0.165666"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.092499,0.100869,0.116266,0.143682,0.193269,0.282854,0.444082", \ "0.092556,0.100909,0.116299,0.143646,0.193295,0.282810,0.444073", \ "0.092662,0.100994,0.116363,0.143654,0.193260,0.282855,0.444079", \ "0.092730,0.101026,0.116395,0.143707,0.193386,0.282808,0.444074", \ "0.093889,0.101989,0.117058,0.143963,0.193302,0.282856,0.444071", \ "0.103728,0.111287,0.124890,0.149678,0.195892,0.283039,0.444061", \ "0.117166,0.125219,0.139878,0.165391,0.210392,0.290888,0.445125"); } } timing () { related_pin : "C1"; when : "!A1 & A2 & B1 & !B2 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.023870,0.025713,0.029006,0.034847,0.045097,0.062935,0.093835", \ "0.028799,0.030603,0.033846,0.039612,0.049769,0.067506,0.098326", \ "0.047876,0.049634,0.052680,0.057861,0.067365,0.084519,0.114935", \ "0.073298,0.075723,0.080181,0.087791,0.099958,0.118729,0.148043", \ "0.096575,0.100006,0.105566,0.116125,0.132926,0.158742,0.197058", \ "0.114581,0.119122,0.126708,0.140128,0.161836,0.195507,0.245430", \ "0.125469,0.131163,0.140861,0.157569,0.184744,0.226921,0.289505"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.242283,0.251918,0.269325,0.300730,0.356709,0.457688,0.639912", \ "0.245445,0.255198,0.272847,0.304415,0.361044,0.462469,0.645211", \ "0.260637,0.270395,0.288059,0.319676,0.376401,0.478430,0.662022", \ "0.291764,0.301265,0.318495,0.349925,0.406534,0.508095,0.691745", \ "0.335530,0.345051,0.362050,0.393310,0.449460,0.550675,0.733851", \ "0.388790,0.398960,0.416735,0.448297,0.504486,0.605644,0.788307", \ "0.444222,0.455302,0.475110,0.509607,0.569833,0.673499,0.855959"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.024277,0.025905,0.028802,0.033857,0.042561,0.057366,0.082640", \ "0.023810,0.025459,0.028381,0.033475,0.042228,0.057096,0.082456", \ "0.025601,0.026699,0.028845,0.032963,0.041005,0.056117,0.081900", \ "0.041724,0.042668,0.044236,0.046988,0.051836,0.061066,0.081898", \ "0.063495,0.064572,0.066655,0.069878,0.075377,0.084715,0.100100", \ "0.089129,0.090498,0.093089,0.097135,0.104087,0.115317,0.133220", \ "0.118480,0.120222,0.123427,0.128584,0.137206,0.151057,0.172544"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.108723,0.117312,0.133107,0.161014,0.210983,0.301054,0.462982", \ "0.108739,0.117323,0.133098,0.161029,0.211014,0.301050,0.462993", \ "0.108777,0.117354,0.133127,0.160965,0.211028,0.301064,0.462984", \ "0.108802,0.117369,0.133139,0.160953,0.211012,0.301123,0.462982", \ "0.109228,0.117713,0.133368,0.161032,0.211060,0.301052,0.462981", \ "0.116418,0.124218,0.138474,0.164387,0.212136,0.301188,0.462972", \ "0.130213,0.138465,0.153433,0.179186,0.224080,0.306848,0.463402"); } } timing () { related_pin : "C1"; when : "A1 & !A2 & !B1 & !B2 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.024470,0.026353,0.029714,0.035665,0.046082,0.064137,0.095294", \ "0.029382,0.031224,0.034528,0.040423,0.050744,0.068713,0.099810", \ "0.048766,0.050496,0.053505,0.058744,0.068393,0.085765,0.116445", \ "0.075206,0.077586,0.081985,0.089529,0.101559,0.120206,0.149590", \ "0.099920,0.103275,0.108694,0.119037,0.135609,0.161142,0.199138", \ "0.119670,0.124115,0.131497,0.144607,0.165893,0.199065,0.248446", \ "0.132709,0.138222,0.147672,0.163875,0.190434,0.231862,0.293640"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.208910,0.216845,0.231193,0.256750,0.302656,0.385326,0.534293", \ "0.212531,0.220582,0.235044,0.260888,0.307164,0.390304,0.539622", \ "0.228589,0.236631,0.251190,0.276990,0.323585,0.406997,0.557021", \ "0.261230,0.269021,0.283268,0.308913,0.355202,0.438432,0.588530", \ "0.308421,0.316295,0.330202,0.355752,0.401819,0.484732,0.634349", \ "0.365072,0.373735,0.389073,0.415641,0.462206,0.544976,0.694380", \ "0.423539,0.433211,0.450453,0.480178,0.531701,0.619520,0.769446"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.025503,0.027155,0.030069,0.035159,0.043902,0.058724,0.084005", \ "0.025015,0.026687,0.029645,0.034783,0.043586,0.058482,0.083850", \ "0.026403,0.027563,0.029784,0.034025,0.042230,0.057468,0.083292", \ "0.042374,0.043287,0.044901,0.047610,0.052431,0.061834,0.082988", \ "0.064010,0.065086,0.067264,0.070342,0.075852,0.085154,0.100520", \ "0.089343,0.090713,0.093279,0.097382,0.104304,0.115560,0.133492", \ "0.118214,0.119961,0.123154,0.128402,0.137076,0.151003,0.172567"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.089467,0.096561,0.109413,0.132198,0.173536,0.247837,0.381607", \ "0.089504,0.096591,0.109417,0.132213,0.173530,0.247850,0.381607", \ "0.089581,0.096647,0.109508,0.132288,0.173551,0.247835,0.381603", \ "0.089633,0.096689,0.109551,0.132320,0.173551,0.247804,0.381606", \ "0.090591,0.097518,0.110096,0.132556,0.173601,0.247905,0.381603", \ "0.100159,0.106482,0.117823,0.138398,0.176557,0.248266,0.381589", \ "0.114764,0.121662,0.134128,0.155280,0.192522,0.258016,0.383723"); } } timing () { related_pin : "C1"; when : "A1 & !A2 & !B1 & B2 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.023870,0.025713,0.029006,0.034847,0.045097,0.062935,0.093835", \ "0.028799,0.030603,0.033846,0.039612,0.049769,0.067506,0.098326", \ "0.047876,0.049634,0.052680,0.057861,0.067365,0.084519,0.114935", \ "0.073298,0.075723,0.080181,0.087791,0.099958,0.118729,0.148043", \ "0.096575,0.100006,0.105566,0.116125,0.132926,0.158742,0.197058", \ "0.114581,0.119122,0.126708,0.140128,0.161836,0.195507,0.245430", \ "0.125469,0.131163,0.140861,0.157569,0.184744,0.226921,0.289505"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.242283,0.251918,0.269325,0.300730,0.356709,0.457688,0.639912", \ "0.245445,0.255198,0.272847,0.304415,0.361044,0.462469,0.645211", \ "0.260637,0.270395,0.288059,0.319676,0.376401,0.478430,0.662022", \ "0.291764,0.301265,0.318495,0.349925,0.406534,0.508095,0.691745", \ "0.335530,0.345051,0.362050,0.393310,0.449460,0.550675,0.733851", \ "0.388790,0.398960,0.416735,0.448297,0.504486,0.605644,0.788307", \ "0.444222,0.455302,0.475110,0.509607,0.569833,0.673499,0.855959"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.024277,0.025905,0.028802,0.033857,0.042561,0.057366,0.082640", \ "0.023810,0.025459,0.028381,0.033475,0.042228,0.057096,0.082456", \ "0.025601,0.026699,0.028845,0.032963,0.041005,0.056117,0.081900", \ "0.041724,0.042668,0.044236,0.046988,0.051836,0.061066,0.081898", \ "0.063495,0.064572,0.066655,0.069878,0.075377,0.084715,0.100100", \ "0.089129,0.090498,0.093089,0.097135,0.104087,0.115317,0.133220", \ "0.118480,0.120222,0.123427,0.128584,0.137206,0.151057,0.172544"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.108723,0.117312,0.133107,0.161014,0.210983,0.301054,0.462982", \ "0.108739,0.117323,0.133098,0.161029,0.211014,0.301050,0.462993", \ "0.108777,0.117354,0.133127,0.160965,0.211028,0.301064,0.462984", \ "0.108802,0.117369,0.133139,0.160953,0.211012,0.301123,0.462982", \ "0.109228,0.117713,0.133368,0.161032,0.211060,0.301052,0.462981", \ "0.116418,0.124218,0.138474,0.164387,0.212136,0.301188,0.462972", \ "0.130213,0.138465,0.153433,0.179186,0.224080,0.306848,0.463402"); } } timing () { related_pin : "C1"; when : "A1 & !A2 & B1 & !B2 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.024421,0.026262,0.029553,0.035385,0.045628,0.063469,0.094393", \ "0.029341,0.031143,0.034377,0.040142,0.050299,0.068042,0.098882", \ "0.048479,0.050190,0.053192,0.058345,0.067866,0.085045,0.115478", \ "0.074201,0.076593,0.081018,0.088536,0.100593,0.119291,0.148584", \ "0.097972,0.101366,0.106871,0.117252,0.133903,0.159586,0.197774", \ "0.116564,0.121096,0.128621,0.141864,0.163319,0.196722,0.246425", \ "0.128220,0.133865,0.143546,0.160097,0.186872,0.228621,0.290919"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.275719,0.285359,0.302745,0.334297,0.390471,0.491610,0.674068", \ "0.279433,0.289130,0.306703,0.338481,0.394887,0.496443,0.679411", \ "0.294704,0.304466,0.322093,0.353744,0.410735,0.512705,0.696372", \ "0.325475,0.334912,0.352222,0.383946,0.440535,0.542381,0.726161", \ "0.369043,0.378599,0.395511,0.427007,0.483275,0.584871,0.768209", \ "0.423695,0.433471,0.450938,0.482185,0.538411,0.639681,0.822557", \ "0.482517,0.493226,0.512404,0.546122,0.605230,0.707559,0.890121"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.030644,0.032121,0.034773,0.039517,0.047825,0.062226,0.087183", \ "0.030098,0.031609,0.034303,0.039096,0.047469,0.061945,0.086997", \ "0.031198,0.032276,0.034365,0.038360,0.046160,0.060947,0.086435", \ "0.048457,0.049137,0.050297,0.052424,0.056575,0.065670,0.086372", \ "0.072327,0.073107,0.074774,0.077128,0.081676,0.089937,0.104372", \ "0.100537,0.101512,0.103382,0.106607,0.112240,0.122084,0.138627", \ "0.133019,0.134240,0.136638,0.140587,0.147656,0.159681,0.179341"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.125307,0.134063,0.150149,0.178599,0.228830,0.319421,0.481929", \ "0.125315,0.134065,0.150141,0.178579,0.228811,0.319383,0.481931", \ "0.125325,0.134077,0.150127,0.178478,0.228926,0.319396,0.481932", \ "0.125335,0.134077,0.150154,0.178566,0.228930,0.319388,0.481936", \ "0.125488,0.134196,0.150224,0.178530,0.228803,0.319421,0.481931", \ "0.130158,0.138334,0.153357,0.180078,0.229271,0.319439,0.481919", \ "0.144026,0.152334,0.167465,0.193107,0.238503,0.323375,0.482088"); } } timing () { related_pin : "C2"; when : "!A1 & !A2 & !B1 & !B2 & C1"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.034459,0.036371,0.039777,0.045741,0.056060,0.073812,0.104389", \ "0.039581,0.041489,0.044881,0.050821,0.061127,0.078854,0.109414", \ "0.055646,0.057444,0.060666,0.066417,0.076548,0.094166,0.124683", \ "0.081973,0.084135,0.087853,0.094345,0.105222,0.123272,0.153525", \ "0.111541,0.114176,0.118681,0.126698,0.140204,0.161932,0.196325", \ "0.140236,0.143691,0.149367,0.159587,0.176673,0.203579,0.245001", \ "0.164582,0.169057,0.176397,0.189492,0.211151,0.244875,0.295594"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.187138,0.193717,0.205644,0.227049,0.265524,0.334877,0.459988", \ "0.191206,0.197831,0.209928,0.231332,0.269971,0.339532,0.464780", \ "0.209144,0.215777,0.227875,0.249346,0.288126,0.357864,0.483414", \ "0.242745,0.249329,0.261098,0.282544,0.321218,0.390991,0.516599", \ "0.290840,0.297473,0.309162,0.330394,0.368973,0.438554,0.564050", \ "0.348020,0.355480,0.368749,0.391792,0.431831,0.501584,0.627017", \ "0.407083,0.415655,0.430923,0.457291,0.502540,0.579072,0.707078"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.026240,0.027660,0.030173,0.034634,0.042459,0.056233,0.080673", \ "0.025992,0.027422,0.029952,0.034438,0.042313,0.056129,0.080614", \ "0.025298,0.026672,0.029143,0.033603,0.041641,0.055785,0.080468", \ "0.030555,0.031813,0.034014,0.037913,0.044693,0.056881,0.080248", \ "0.040095,0.041481,0.043787,0.047812,0.054749,0.066958,0.087883", \ "0.054232,0.055735,0.058525,0.062962,0.070256,0.082566,0.103819", \ "0.072214,0.074077,0.077369,0.082777,0.091193,0.104511,0.126128"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.080427,0.086238,0.096819,0.115734,0.149821,0.211184,0.321423", \ "0.080452,0.086263,0.096818,0.115685,0.149809,0.211091,0.321435", \ "0.080486,0.086289,0.096833,0.115693,0.149810,0.211146,0.321435", \ "0.080488,0.086284,0.096901,0.115718,0.149812,0.211123,0.321463", \ "0.081669,0.087256,0.097491,0.116079,0.149807,0.211105,0.321431", \ "0.092855,0.098161,0.107628,0.124265,0.154764,0.212295,0.321398", \ "0.109219,0.114851,0.125169,0.142721,0.173287,0.226101,0.325929"); } } timing () { related_pin : "C2"; when : "!A1 & !A2 & !B1 & B2 & C1"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.032813,0.034684,0.038015,0.043873,0.054072,0.071698,0.102165", \ "0.038005,0.039854,0.043164,0.048992,0.059144,0.076728,0.107161", \ "0.054077,0.055835,0.058979,0.064603,0.074563,0.092016,0.122374", \ "0.079733,0.081871,0.085610,0.092115,0.103028,0.121081,0.151216", \ "0.108229,0.110989,0.115595,0.123725,0.137346,0.159175,0.193749", \ "0.135396,0.139000,0.144827,0.155322,0.172815,0.200093,0.241884", \ "0.157821,0.162458,0.170058,0.183551,0.205791,0.240236,0.291657"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.220587,0.228819,0.243800,0.270850,0.319173,0.406358,0.563986", \ "0.224304,0.232603,0.247709,0.274773,0.323520,0.410803,0.568556", \ "0.241761,0.250075,0.265184,0.292395,0.341274,0.428825,0.586916", \ "0.273983,0.282236,0.297048,0.324177,0.372755,0.460433,0.618623", \ "0.318497,0.326754,0.341506,0.368269,0.416683,0.504341,0.662356", \ "0.372347,0.381237,0.397176,0.424814,0.473663,0.561126,0.719091", \ "0.428453,0.438341,0.456019,0.486938,0.540374,0.632181,0.790429"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.024546,0.025982,0.028508,0.033008,0.040927,0.054806,0.079359", \ "0.024253,0.025688,0.028242,0.032767,0.040711,0.054645,0.079276", \ "0.023801,0.025157,0.027606,0.032030,0.040037,0.054268,0.079085", \ "0.029520,0.030750,0.032951,0.036865,0.043614,0.055713,0.078983", \ "0.039450,0.040804,0.043104,0.047078,0.053986,0.066058,0.087000", \ "0.053903,0.055434,0.058198,0.062666,0.069880,0.082078,0.103177", \ "0.072213,0.074119,0.077450,0.082840,0.091225,0.104452,0.125858"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.101103,0.108369,0.121667,0.145556,0.188048,0.264715,0.402821", \ "0.101120,0.108378,0.121691,0.145497,0.188140,0.264743,0.402813", \ "0.101133,0.108392,0.121673,0.145508,0.188150,0.264709,0.402809", \ "0.101125,0.108383,0.121704,0.145406,0.188075,0.264703,0.402813", \ "0.101608,0.108765,0.121915,0.145526,0.187983,0.264782,0.402820", \ "0.110258,0.116760,0.128630,0.150264,0.190166,0.264862,0.402798", \ "0.125136,0.132068,0.144650,0.166640,0.204857,0.273300,0.404243"); } } timing () { related_pin : "C2"; when : "!A1 & !A2 & B1 & !B2 & C1"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.033397,0.035261,0.038581,0.044427,0.054616,0.072238,0.102721", \ "0.038580,0.040431,0.043728,0.049545,0.059688,0.077268,0.107720", \ "0.054610,0.056358,0.059505,0.065135,0.075100,0.092551,0.122934", \ "0.080423,0.082556,0.086280,0.092729,0.103588,0.121614,0.151765", \ "0.109215,0.111889,0.116467,0.124558,0.138079,0.159814,0.194390", \ "0.136754,0.140293,0.146046,0.156462,0.173827,0.200982,0.242657", \ "0.159663,0.164233,0.171765,0.185143,0.207172,0.241439,0.292675"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.249261,0.257538,0.272507,0.299651,0.348329,0.435607,0.593493", \ "0.253180,0.261499,0.276573,0.303738,0.352511,0.440095,0.598122", \ "0.270735,0.279074,0.294175,0.321445,0.370347,0.458167,0.616518", \ "0.302879,0.311125,0.325937,0.353097,0.401966,0.489775,0.648195", \ "0.347298,0.355590,0.370343,0.397147,0.445910,0.533657,0.691939", \ "0.403089,0.411739,0.427081,0.454198,0.502838,0.590590,0.748605", \ "0.462669,0.472207,0.489310,0.519288,0.571614,0.661818,0.819967"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.028300,0.029739,0.032324,0.036908,0.044982,0.059079,0.083736", \ "0.027973,0.029420,0.032022,0.036634,0.044754,0.058913,0.083637", \ "0.027330,0.028723,0.031255,0.035816,0.044046,0.058523,0.083453", \ "0.033483,0.034680,0.036855,0.040719,0.047507,0.059876,0.083325", \ "0.044942,0.046124,0.048327,0.052111,0.058800,0.070619,0.091330", \ "0.061775,0.063024,0.065446,0.069356,0.075947,0.087527,0.108008", \ "0.082975,0.084446,0.087113,0.091673,0.098959,0.111041,0.131413"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.115286,0.122658,0.136221,0.160200,0.203207,0.280200,0.418821", \ "0.115288,0.122661,0.136202,0.160183,0.203096,0.280205,0.418811", \ "0.115288,0.122655,0.136236,0.160176,0.203099,0.280197,0.418815", \ "0.115297,0.122660,0.136191,0.160178,0.203107,0.280183,0.418821", \ "0.115440,0.122766,0.136260,0.160156,0.203064,0.280175,0.418813", \ "0.121500,0.128259,0.140649,0.162969,0.203997,0.280250,0.418800", \ "0.136587,0.143555,0.156267,0.178215,0.216424,0.286532,0.419510"); } } timing () { related_pin : "C2"; when : "!A1 & A2 & !B1 & !B2 & C1"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.026715,0.028588,0.031941,0.037878,0.048269,0.066290,0.097414", \ "0.032012,0.033869,0.037198,0.043093,0.053439,0.071409,0.102480", \ "0.048435,0.050289,0.053531,0.059159,0.069193,0.086912,0.117822", \ "0.073104,0.075396,0.079404,0.086215,0.097580,0.116234,0.146894", \ "0.100206,0.103222,0.108211,0.116986,0.131315,0.153923,0.189366", \ "0.125757,0.129689,0.135944,0.147264,0.165806,0.194248,0.237147", \ "0.146423,0.151415,0.159604,0.174028,0.197498,0.233504,0.286404"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.205945,0.213769,0.227997,0.253402,0.299165,0.381717,0.530507", \ "0.209783,0.217670,0.231975,0.257589,0.303481,0.386208,0.535196", \ "0.227411,0.235314,0.249689,0.275382,0.321365,0.404352,0.553655", \ "0.260775,0.268614,0.282668,0.308195,0.354190,0.437111,0.586483", \ "0.308212,0.316087,0.330152,0.355407,0.401343,0.484011,0.633319", \ "0.364799,0.373399,0.388728,0.415391,0.461928,0.544608,0.693772", \ "0.423178,0.432808,0.450033,0.479852,0.531370,0.619155,0.769042"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.021355,0.022990,0.025850,0.030848,0.039464,0.054166,0.079456", \ "0.021120,0.022736,0.025598,0.030629,0.039255,0.053978,0.079325", \ "0.021956,0.023289,0.025767,0.030319,0.038570,0.053418,0.079008", \ "0.029355,0.030579,0.032730,0.036569,0.043400,0.055543,0.078869", \ "0.040757,0.041982,0.044185,0.048029,0.054727,0.066567,0.087452", \ "0.056274,0.057731,0.060386,0.064615,0.071557,0.083342,0.103999", \ "0.075398,0.077199,0.080366,0.085583,0.093638,0.106358,0.127175"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.089536,0.096532,0.109427,0.132252,0.173524,0.247868,0.381631", \ "0.089578,0.096565,0.109457,0.132332,0.173541,0.247867,0.381639", \ "0.089624,0.096603,0.109458,0.132370,0.173533,0.247854,0.381634", \ "0.089652,0.096629,0.109493,0.132270,0.173509,0.247863,0.381626", \ "0.090604,0.097423,0.110087,0.132568,0.173563,0.247816,0.381634", \ "0.100053,0.106400,0.117828,0.138458,0.176594,0.248287,0.381617", \ "0.114442,0.121248,0.133723,0.155040,0.192342,0.258097,0.383797"); } } timing () { related_pin : "C2"; when : "!A1 & A2 & !B1 & B2 & C1"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.026149,0.027980,0.031265,0.037089,0.047309,0.065113,0.095972", \ "0.031480,0.033295,0.036548,0.042326,0.052494,0.070219,0.101014", \ "0.047775,0.049604,0.052799,0.058343,0.068206,0.085685,0.116308", \ "0.071824,0.074112,0.078121,0.084932,0.096251,0.114846,0.145345", \ "0.097923,0.100893,0.105994,0.114847,0.129301,0.152007,0.187498", \ "0.122001,0.126013,0.132444,0.143985,0.162820,0.191594,0.234746", \ "0.140743,0.145914,0.154312,0.169164,0.193125,0.229699,0.283213"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.238623,0.248138,0.265390,0.296538,0.352496,0.453095,0.635253", \ "0.242056,0.251641,0.269022,0.300350,0.356505,0.457378,0.639675", \ "0.259209,0.268812,0.286241,0.317569,0.373968,0.475164,0.657861", \ "0.291206,0.300725,0.317823,0.349144,0.405400,0.506557,0.689332", \ "0.335252,0.344794,0.361840,0.392831,0.448850,0.549871,0.732496", \ "0.388472,0.398572,0.416594,0.448037,0.504112,0.605125,0.787503", \ "0.443831,0.454873,0.474642,0.509239,0.569487,0.673158,0.855452"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.020505,0.022082,0.024894,0.029808,0.038298,0.052897,0.078142", \ "0.020278,0.021845,0.024639,0.029561,0.038061,0.052680,0.077971", \ "0.021173,0.022462,0.024859,0.029303,0.037418,0.052127,0.077622", \ "0.028555,0.029749,0.031877,0.035700,0.042474,0.054496,0.077660", \ "0.040073,0.041335,0.043555,0.047361,0.053973,0.065730,0.086534", \ "0.055826,0.057286,0.059936,0.064178,0.071045,0.082762,0.103290", \ "0.075201,0.077032,0.080175,0.085424,0.093449,0.106088,0.126766"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.108749,0.117267,0.132892,0.160997,0.211078,0.301071,0.463000", \ "0.108769,0.117283,0.132902,0.160918,0.211134,0.301112,0.463003", \ "0.108791,0.117297,0.132912,0.160926,0.211013,0.301108,0.462995", \ "0.108810,0.117306,0.132916,0.161005,0.211141,0.301107,0.462990", \ "0.109233,0.117649,0.133156,0.161040,0.210988,0.301042,0.463002", \ "0.116462,0.124244,0.138500,0.164433,0.212194,0.301200,0.462984", \ "0.129850,0.138041,0.152872,0.178885,0.224129,0.306870,0.463421"); } } timing () { related_pin : "C2"; when : "!A1 & A2 & B1 & !B2 & C1"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.026696,0.028528,0.031806,0.037623,0.047845,0.065654,0.096536", \ "0.032020,0.033835,0.037088,0.042864,0.053027,0.070755,0.101574", \ "0.048332,0.050148,0.053324,0.058849,0.068728,0.086216,0.116864", \ "0.072554,0.074836,0.078791,0.085554,0.096839,0.115387,0.145884", \ "0.099001,0.101959,0.106972,0.115765,0.130099,0.152700,0.188130", \ "0.123493,0.127425,0.133792,0.145229,0.163899,0.192505,0.235493", \ "0.142812,0.147907,0.156275,0.170871,0.194644,0.230992,0.284255"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.271876,0.281423,0.298727,0.329883,0.385990,0.487073,0.669412", \ "0.275556,0.285154,0.302540,0.333936,0.390240,0.491368,0.673871", \ "0.292810,0.302443,0.319854,0.351280,0.407833,0.509215,0.692095", \ "0.324705,0.334246,0.351334,0.382713,0.439199,0.540539,0.723551", \ "0.368671,0.378238,0.395245,0.426418,0.482586,0.583877,0.766775", \ "0.423448,0.433201,0.450663,0.481868,0.537988,0.639158,0.821738", \ "0.482142,0.492824,0.511991,0.545760,0.604842,0.707197,0.889589"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.024158,0.025797,0.028690,0.033746,0.042456,0.057275,0.082581", \ "0.023866,0.025493,0.028393,0.033451,0.042185,0.057043,0.082411", \ "0.024443,0.025822,0.028380,0.033036,0.041463,0.056457,0.082059", \ "0.032694,0.033849,0.035957,0.039712,0.046495,0.058688,0.082049", \ "0.046123,0.047193,0.049154,0.052659,0.058982,0.070439,0.090927", \ "0.064602,0.065684,0.067850,0.071352,0.077434,0.088370,0.108203", \ "0.087127,0.088413,0.090791,0.094918,0.101611,0.112893,0.132398"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.125328,0.133995,0.149906,0.178319,0.228832,0.319447,0.481963", \ "0.125334,0.133997,0.149908,0.178319,0.228931,0.319436,0.481960", \ "0.125339,0.134003,0.149896,0.178312,0.228840,0.319375,0.481963", \ "0.125345,0.134005,0.149905,0.178424,0.228852,0.319384,0.481965", \ "0.125496,0.134124,0.149953,0.178335,0.228807,0.319393,0.481963", \ "0.130231,0.138323,0.153262,0.180130,0.229219,0.319453,0.481949", \ "0.143729,0.151977,0.166946,0.193008,0.238571,0.323454,0.482120"); } } timing () { related_pin : "C2"; when : "A1 & !A2 & !B1 & !B2 & C1"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.027280,0.029151,0.032497,0.038430,0.048813,0.066836,0.097979", \ "0.032571,0.034426,0.037748,0.043640,0.053982,0.071957,0.103044", \ "0.049010,0.050848,0.054061,0.059678,0.069733,0.087451,0.118387", \ "0.073848,0.076138,0.080092,0.086864,0.098174,0.116790,0.147451", \ "0.101306,0.104243,0.109172,0.117886,0.132092,0.154601,0.189992", \ "0.127240,0.131079,0.137307,0.148531,0.166899,0.195192,0.237914", \ "0.148417,0.153356,0.161533,0.175753,0.199022,0.234759,0.287452"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.232942,0.240789,0.255020,0.280649,0.326596,0.409180,0.558299", \ "0.236977,0.244869,0.259133,0.284813,0.331115,0.413725,0.562980", \ "0.254705,0.262627,0.276952,0.302705,0.349046,0.432006,0.581483", \ "0.287990,0.295818,0.309873,0.335577,0.381749,0.464715,0.614340", \ "0.335425,0.343289,0.357232,0.382790,0.428986,0.511636,0.661149", \ "0.394238,0.402577,0.417359,0.443316,0.489405,0.572275,0.721556", \ "0.456211,0.465452,0.481914,0.510876,0.561099,0.647327,0.796874"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.025345,0.027004,0.029935,0.035030,0.043792,0.058635,0.083948", \ "0.025049,0.026706,0.029649,0.034767,0.043550,0.058441,0.083809", \ "0.025548,0.026973,0.029565,0.034283,0.042801,0.057859,0.083475", \ "0.033740,0.034894,0.036986,0.040762,0.047549,0.059822,0.083311", \ "0.046905,0.047984,0.049960,0.053451,0.059805,0.071325,0.091878", \ "0.065056,0.066125,0.068312,0.071823,0.077950,0.088972,0.108943", \ "0.087192,0.088480,0.090863,0.095028,0.101767,0.113152,0.132814"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.102695,0.109843,0.123043,0.146371,0.188021,0.262609,0.396962", \ "0.102712,0.109855,0.123033,0.146307,0.188081,0.262626,0.396980", \ "0.102733,0.109872,0.123012,0.146319,0.188027,0.262656,0.396955", \ "0.102750,0.109882,0.123054,0.146348,0.188007,0.262602,0.396963", \ "0.103183,0.110251,0.123353,0.146465,0.188104,0.262603,0.396978", \ "0.110301,0.116825,0.128695,0.150110,0.189569,0.262824,0.396931", \ "0.125012,0.131889,0.144427,0.165950,0.203181,0.270444,0.398327"); } } timing () { related_pin : "C2"; when : "A1 & !A2 & !B1 & B2 & C1"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.026696,0.028528,0.031806,0.037623,0.047845,0.065654,0.096536", \ "0.032020,0.033835,0.037088,0.042864,0.053027,0.070755,0.101574", \ "0.048332,0.050148,0.053324,0.058849,0.068728,0.086216,0.116864", \ "0.072554,0.074836,0.078791,0.085554,0.096839,0.115387,0.145884", \ "0.099001,0.101959,0.106972,0.115765,0.130099,0.152700,0.188130", \ "0.123493,0.127425,0.133792,0.145229,0.163899,0.192505,0.235493", \ "0.142812,0.147907,0.156275,0.170871,0.194644,0.230992,0.284255"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.271876,0.281423,0.298727,0.329883,0.385990,0.487073,0.669412", \ "0.275556,0.285154,0.302540,0.333936,0.390240,0.491368,0.673871", \ "0.292810,0.302443,0.319854,0.351280,0.407833,0.509215,0.692095", \ "0.324705,0.334246,0.351334,0.382713,0.439199,0.540539,0.723551", \ "0.368671,0.378238,0.395245,0.426418,0.482586,0.583877,0.766775", \ "0.423448,0.433201,0.450663,0.481868,0.537988,0.639158,0.821738", \ "0.482142,0.492824,0.511991,0.545760,0.604842,0.707197,0.889589"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.024158,0.025797,0.028690,0.033746,0.042456,0.057275,0.082581", \ "0.023866,0.025493,0.028393,0.033451,0.042185,0.057043,0.082411", \ "0.024443,0.025822,0.028380,0.033036,0.041463,0.056457,0.082059", \ "0.032694,0.033849,0.035957,0.039712,0.046495,0.058688,0.082049", \ "0.046123,0.047193,0.049154,0.052659,0.058982,0.070439,0.090927", \ "0.064602,0.065684,0.067850,0.071352,0.077434,0.088370,0.108203", \ "0.087127,0.088413,0.090791,0.094918,0.101611,0.112893,0.132398"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.125328,0.133995,0.149906,0.178319,0.228832,0.319447,0.481963", \ "0.125334,0.133997,0.149908,0.178319,0.228931,0.319436,0.481960", \ "0.125339,0.134003,0.149896,0.178312,0.228840,0.319375,0.481963", \ "0.125345,0.134005,0.149905,0.178424,0.228852,0.319384,0.481965", \ "0.125496,0.134124,0.149953,0.178335,0.228807,0.319393,0.481963", \ "0.130231,0.138323,0.153262,0.180130,0.229219,0.319453,0.481949", \ "0.143729,0.151977,0.166946,0.193008,0.238571,0.323454,0.482120"); } } timing () { related_pin : "C2"; when : "A1 & !A2 & B1 & !B2 & C1"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.027244,0.029075,0.032355,0.038158,0.048380,0.066186,0.097082", \ "0.032561,0.034374,0.037624,0.043399,0.053553,0.071290,0.102127", \ "0.048882,0.050686,0.053841,0.059349,0.069238,0.086740,0.117421", \ "0.073294,0.075568,0.079464,0.086179,0.097426,0.115937,0.146424", \ "0.100066,0.102979,0.107923,0.116641,0.130832,0.153360,0.188736", \ "0.125013,0.128870,0.135150,0.146477,0.164924,0.193397,0.236260", \ "0.144880,0.149890,0.158271,0.172637,0.196180,0.232249,0.285275"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.305350,0.314920,0.332243,0.363470,0.419740,0.521018,0.703600", \ "0.309201,0.318815,0.336178,0.367497,0.423935,0.525351,0.708078", \ "0.326624,0.336252,0.353668,0.385060,0.441645,0.543288,0.726361", \ "0.358428,0.367981,0.385082,0.416470,0.472952,0.574659,0.757813", \ "0.402309,0.411886,0.428938,0.460030,0.516474,0.618002,0.801041", \ "0.457438,0.467064,0.484385,0.515610,0.571880,0.673148,0.855952", \ "0.519365,0.529763,0.548448,0.581533,0.639605,0.741194,0.923815"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.030503,0.031984,0.034650,0.039391,0.047714,0.062132,0.087122", \ "0.030144,0.031631,0.034303,0.039069,0.047423,0.061891,0.086949", \ "0.030384,0.031685,0.034094,0.038538,0.046656,0.061293,0.086596", \ "0.039133,0.040095,0.041880,0.045232,0.051526,0.063414,0.086557", \ "0.053582,0.054417,0.056026,0.058964,0.064643,0.075442,0.095399", \ "0.073440,0.074237,0.075967,0.078802,0.084054,0.094074,0.113148", \ "0.098072,0.098995,0.100813,0.104017,0.109564,0.119607,0.138010"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.142190,0.150978,0.167093,0.195957,0.246728,0.337876,0.500978", \ "0.142188,0.150978,0.167077,0.195983,0.246748,0.337799,0.500960", \ "0.142191,0.150977,0.167087,0.195987,0.246728,0.337808,0.500972", \ "0.142193,0.150980,0.167069,0.195946,0.246729,0.337884,0.500960", \ "0.142250,0.151020,0.167101,0.195864,0.246737,0.337878,0.500962", \ "0.144984,0.153361,0.168823,0.196666,0.247028,0.337855,0.500950", \ "0.157954,0.166228,0.181249,0.207035,0.253848,0.340584,0.501018"); } } internal_power () { related_pin : "A1"; when : "A2 & !B1 & !B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.276840,0.270378,0.263570,0.246855,0.235956,0.228473,0.227188", \ "0.252788,0.250511,0.247268,0.238901,0.235057,0.232372,0.236327", \ "0.216151,0.217729,0.218188,0.218961,0.223061,0.232576,0.242153", \ "0.271758,0.268746,0.264490,0.253579,0.239380,0.238780,0.248862", \ "0.392384,0.387786,0.375665,0.359703,0.338180,0.308670,0.280858", \ "0.594575,0.583507,0.570992,0.541458,0.498764,0.449628,0.389902", \ "0.883817,0.870956,0.851517,0.814331,0.751601,0.673554,0.578075"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("2.156547,2.160550,2.165902,2.175772,2.203896,2.210854,2.226907", \ "2.076588,2.092160,2.101660,2.111652,2.147507,2.186357,2.211915", \ "2.016684,2.027565,2.037819,2.037528,2.076723,2.099668,2.135627", \ "2.075489,2.075034,2.070156,2.075290,2.075493,2.082639,2.107746", \ "2.235050,2.226898,2.215952,2.192850,2.180515,2.171494,2.173221", \ "2.409548,2.419032,2.431089,2.439675,2.387689,2.345809,2.308025", \ "2.707574,2.702199,2.705825,2.690618,2.706398,2.624367,2.525217"); } } internal_power () { related_pin : "A1"; when : "A2 & !B1 & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.311264,0.306889,0.292811,0.280481,0.263765,0.246407,0.232050", \ "0.285679,0.282977,0.276704,0.275798,0.261772,0.249055,0.244163", \ "0.249722,0.248268,0.252076,0.247230,0.250848,0.247492,0.250561", \ "0.295554,0.293772,0.288983,0.279960,0.263814,0.260909,0.265271", \ "0.406169,0.399373,0.388780,0.379057,0.355680,0.330473,0.302653", \ "0.583274,0.574298,0.564158,0.537445,0.504432,0.463310,0.409057", \ "0.842531,0.830688,0.811219,0.786212,0.737257,0.667366,0.582362"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("2.102019,2.111672,2.118808,2.120244,2.132651,2.166183,2.166147", \ "2.027784,2.035972,2.048462,2.080735,2.098409,2.143311,2.152465", \ "1.969351,1.977299,1.988252,1.994698,2.017765,2.066327,2.111530", \ "2.020241,2.021749,2.014966,2.030977,2.023111,2.031296,2.065683", \ "2.168762,2.165603,2.159006,2.132615,2.129939,2.121112,2.107925", \ "2.378973,2.394252,2.383405,2.350722,2.332242,2.272306,2.237828", \ "2.635879,2.633819,2.635886,2.643996,2.616358,2.540776,2.447811"); } } internal_power () { related_pin : "A1"; when : "A2 & !B1 & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.295345,0.291238,0.284200,0.272836,0.258174,0.239198,0.232121", \ "0.274200,0.272831,0.270873,0.266132,0.255894,0.248702,0.241546", \ "0.238221,0.241465,0.239510,0.241807,0.245215,0.247339,0.251620", \ "0.281685,0.278447,0.273968,0.264052,0.257499,0.260894,0.266223", \ "0.394604,0.390768,0.380302,0.371203,0.349449,0.323596,0.299411", \ "0.584768,0.576819,0.557844,0.538088,0.504241,0.457370,0.403365", \ "0.852898,0.844670,0.822333,0.788427,0.735036,0.663806,0.579594"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("2.712368,2.716819,2.722548,2.739138,2.755121,2.771205,2.804815", \ "2.651795,2.660897,2.675230,2.690770,2.726572,2.750750,2.792347", \ "2.585029,2.591390,2.597774,2.610122,2.647864,2.701655,2.728202", \ "2.614680,2.617325,2.624310,2.631506,2.645336,2.665353,2.707101", \ "2.743590,2.741694,2.733669,2.724857,2.722560,2.721894,2.745971", \ "2.967534,2.959757,2.952929,2.940563,2.913153,2.896012,2.872583", \ "3.202842,3.208746,3.216267,3.240194,3.184690,3.127847,3.079255"); } } internal_power () { related_pin : "A1"; when : "A2 & !B1 & B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.289763,0.281873,0.276061,0.257909,0.246831,0.232710,0.227489", \ "0.270929,0.265622,0.263330,0.252205,0.248780,0.243278,0.241256", \ "0.238112,0.238011,0.241432,0.239411,0.242345,0.248611,0.256772", \ "0.292404,0.286559,0.283236,0.275064,0.261105,0.260242,0.268433", \ "0.402465,0.395527,0.385559,0.376067,0.353275,0.328349,0.301801", \ "0.584765,0.577682,0.563446,0.537648,0.506416,0.458986,0.404633", \ "0.847541,0.833709,0.819585,0.787584,0.734042,0.664342,0.578917"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("2.174985,2.180378,2.194187,2.186615,2.208239,2.224463,2.220066", \ "2.100779,2.110350,2.124248,2.146955,2.174569,2.195871,2.207356", \ "2.037754,2.046253,2.054204,2.060827,2.095953,2.119954,2.168387", \ "2.091292,2.089939,2.089850,2.080262,2.088767,2.109609,2.128779", \ "2.232555,2.227653,2.219472,2.196957,2.181498,2.173896,2.164870", \ "2.434228,2.447746,2.444583,2.408343,2.379173,2.323856,2.293205", \ "2.680682,2.679542,2.685970,2.698829,2.679080,2.590560,2.499966"); } } internal_power () { related_pin : "A1"; when : "A2 & !B1 & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.315560,0.307212,0.303227,0.289115,0.270164,0.249602,0.233527", \ "0.297015,0.291747,0.291505,0.283478,0.270517,0.255994,0.246700", \ "0.264052,0.261743,0.264033,0.267550,0.265512,0.263525,0.263829", \ "0.314201,0.309128,0.302804,0.294178,0.280197,0.277897,0.282849", \ "0.413744,0.406960,0.399378,0.387068,0.368993,0.345675,0.319025", \ "0.577513,0.571748,0.557754,0.537002,0.508435,0.469628,0.418953", \ "0.814820,0.804335,0.791969,0.760654,0.719856,0.660011,0.582996"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("2.143084,2.146388,2.157418,2.158426,2.174313,2.182153,2.186608", \ "2.065253,2.075054,2.091445,2.112882,2.126037,2.160937,2.174541", \ "2.003028,2.010198,2.017335,2.024724,2.047305,2.090879,2.115719", \ "2.052453,2.053661,2.045433,2.043272,2.058660,2.063366,2.077955", \ "2.190208,2.182476,2.171101,2.157693,2.139648,2.130245,2.123527", \ "2.412898,2.401113,2.387697,2.360977,2.311373,2.282128,2.233669", \ "2.640948,2.641298,2.655566,2.648918,2.596618,2.514197,2.443248"); } } internal_power () { related_pin : "A1"; when : "A2 & !B1 & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.298882,0.296642,0.286728,0.278283,0.264436,0.241952,0.233517", \ "0.282537,0.280584,0.276898,0.272691,0.264685,0.254601,0.247104", \ "0.253571,0.256193,0.258928,0.261076,0.257806,0.264022,0.264216", \ "0.296445,0.293495,0.291166,0.279909,0.274244,0.277874,0.282532", \ "0.402644,0.398375,0.393401,0.382108,0.362938,0.338794,0.316473", \ "0.578740,0.570448,0.555694,0.533353,0.507194,0.465689,0.414865", \ "0.827445,0.814982,0.795015,0.767337,0.721062,0.657548,0.580361"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("2.751049,2.756808,2.762939,2.769768,2.787251,2.820014,2.833626", \ "2.694229,2.704186,2.717734,2.724938,2.758910,2.781096,2.822765", \ "2.624392,2.629976,2.637547,2.650046,2.686790,2.714609,2.766196", \ "2.651274,2.652288,2.656100,2.663665,2.667584,2.704615,2.727920", \ "2.768955,2.765499,2.761514,2.749548,2.750207,2.744695,2.749151", \ "2.972576,2.971613,2.963737,2.948019,2.907640,2.893345,2.855903", \ "3.226199,3.234346,3.254781,3.228972,3.181500,3.118231,3.062406"); } } internal_power () { related_pin : "A1"; when : "A2 & B1 & !B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.271388,0.269271,0.262855,0.253359,0.241296,0.227784,0.228156", \ "0.254562,0.251487,0.251725,0.245896,0.245091,0.240912,0.242301", \ "0.229023,0.230360,0.230740,0.235180,0.241914,0.247399,0.257151", \ "0.276114,0.272195,0.270438,0.260647,0.255044,0.260707,0.269844", \ "0.392002,0.386427,0.379226,0.367978,0.346856,0.321396,0.299193", \ "0.584731,0.574679,0.560328,0.533400,0.501262,0.454189,0.400557", \ "0.857076,0.845784,0.825842,0.788983,0.733827,0.660858,0.576110"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("2.780085,2.784607,2.791594,2.798647,2.807515,2.847770,2.859497", \ "2.720023,2.729263,2.744490,2.751096,2.779685,2.828561,2.848071", \ "2.649333,2.654683,2.667385,2.670716,2.700743,2.756438,2.785792", \ "2.678713,2.680610,2.686158,2.691718,2.698602,2.717775,2.765354", \ "2.802705,2.800996,2.800857,2.787297,2.780438,2.774419,2.778050", \ "3.024642,3.021330,3.012085,2.993865,2.961472,2.946252,2.903375", \ "3.252575,3.257916,3.274167,3.286741,3.250433,3.174731,3.132497"); } } internal_power () { related_pin : "A1"; when : "A2 & B1 & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.298882,0.296642,0.286728,0.278283,0.264436,0.241952,0.233517", \ "0.282537,0.280584,0.276898,0.272691,0.264685,0.254601,0.247104", \ "0.253571,0.256193,0.258928,0.261076,0.257806,0.264022,0.264216", \ "0.296445,0.293495,0.291166,0.279909,0.274244,0.277874,0.282532", \ "0.402644,0.398375,0.393401,0.382108,0.362938,0.338794,0.316473", \ "0.578740,0.570448,0.555694,0.533353,0.507194,0.465689,0.414865", \ "0.827445,0.814982,0.795015,0.767337,0.721062,0.657548,0.580361"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("2.751049,2.756808,2.762939,2.769768,2.787251,2.820014,2.833626", \ "2.694229,2.704186,2.717734,2.724938,2.758910,2.781096,2.822765", \ "2.624392,2.629976,2.637547,2.650046,2.686790,2.714609,2.766196", \ "2.651274,2.652288,2.656100,2.663665,2.667584,2.704615,2.727920", \ "2.768955,2.765499,2.761514,2.749548,2.750207,2.744695,2.749151", \ "2.972576,2.971613,2.963737,2.948019,2.907640,2.893345,2.855903", \ "3.226199,3.234346,3.254781,3.228972,3.181500,3.118231,3.062406"); } } internal_power () { related_pin : "A1"; when : "A2 & B1 & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.287183,0.284490,0.279198,0.266729,0.257377,0.242140,0.230063", \ "0.271396,0.270955,0.266585,0.262799,0.260010,0.251995,0.247481", \ "0.245812,0.247225,0.248447,0.250933,0.258589,0.260997,0.265103", \ "0.282074,0.280886,0.275438,0.270785,0.271973,0.271266,0.281843", \ "0.397594,0.392844,0.383906,0.374366,0.357678,0.332742,0.314230", \ "0.576195,0.566771,0.554726,0.531807,0.500002,0.461164,0.411134", \ "0.830522,0.821364,0.800768,0.768086,0.719425,0.654390,0.577782"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("3.363134,3.368964,3.382306,3.395062,3.420505,3.439581,3.460879", \ "3.315812,3.326458,3.337687,3.356912,3.378063,3.422693,3.451157", \ "3.244268,3.252038,3.263267,3.273492,3.307483,3.365858,3.396615", \ "3.256196,3.260724,3.265856,3.265971,3.301509,3.325995,3.358134", \ "3.359764,3.357863,3.363493,3.353744,3.373478,3.382521,3.397308", \ "3.549777,3.545749,3.541732,3.536197,3.531206,3.506317,3.500842", \ "3.825185,3.834215,3.821788,3.807305,3.772080,3.746892,3.683129"); } } internal_power () { related_pin : "A2"; when : "A1 & !B1 & !B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.270606,0.268319,0.260941,0.249982,0.238336,0.226470,0.226974", \ "0.252046,0.249532,0.247853,0.239730,0.236883,0.237179,0.239848", \ "0.200843,0.202903,0.205796,0.210448,0.218055,0.232118,0.243768", \ "0.209390,0.207163,0.208570,0.204600,0.204071,0.213217,0.226913", \ "0.252789,0.252750,0.248267,0.244839,0.244965,0.241129,0.230167", \ "0.364186,0.360872,0.354392,0.344847,0.330430,0.313481,0.289967", \ "0.554308,0.545293,0.532216,0.514872,0.483578,0.444936,0.399044"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("2.703304,2.710345,2.714323,2.719803,2.734526,2.745642,2.768661", \ "2.643188,2.653395,2.658508,2.670235,2.701512,2.718265,2.745587", \ "2.598591,2.604864,2.617567,2.619535,2.631914,2.683713,2.718077", \ "2.645577,2.651189,2.644917,2.661515,2.668973,2.680097,2.707157", \ "2.789588,2.787386,2.784473,2.774522,2.776224,2.771707,2.747558", \ "2.980840,2.990947,3.006896,2.990187,2.974354,2.949952,2.904921", \ "3.245252,3.251567,3.253510,3.272437,3.284755,3.220105,3.140622"); } } internal_power () { related_pin : "A2"; when : "A1 & !B1 & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.305305,0.302655,0.294471,0.280411,0.265927,0.242163,0.229953", \ "0.287994,0.286789,0.280742,0.271625,0.262045,0.252346,0.243882", \ "0.235263,0.238889,0.241078,0.241840,0.243571,0.249046,0.252211", \ "0.237240,0.236376,0.236759,0.231070,0.227872,0.236912,0.243620", \ "0.272875,0.272530,0.268677,0.271967,0.267212,0.264013,0.253358", \ "0.370258,0.365187,0.360693,0.353915,0.343811,0.331864,0.312489", \ "0.538375,0.532194,0.524024,0.506133,0.482905,0.451605,0.413420"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("2.660112,2.665551,2.676029,2.674974,2.684433,2.721104,2.736853", \ "2.604936,2.612539,2.624344,2.630026,2.651830,2.669785,2.706095", \ "2.555857,2.564097,2.569434,2.583110,2.592394,2.639087,2.681389", \ "2.601731,2.604035,2.608617,2.608486,2.629002,2.641944,2.677544", \ "2.733297,2.737112,2.734798,2.722168,2.714149,2.709595,2.728872", \ "2.957169,2.953218,2.951714,2.928955,2.909571,2.890633,2.837399", \ "3.191841,3.200325,3.211294,3.237276,3.183076,3.131392,3.075627"); } } internal_power () { related_pin : "A2"; when : "A1 & !B1 & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.293372,0.289268,0.282047,0.272829,0.256740,0.240032,0.229189", \ "0.274265,0.273721,0.271014,0.265209,0.257340,0.244010,0.240079", \ "0.227648,0.230124,0.233319,0.237220,0.241448,0.241070,0.247125", \ "0.221153,0.223138,0.221669,0.223306,0.220220,0.233688,0.244876", \ "0.265208,0.264427,0.261020,0.262574,0.264617,0.257787,0.247958", \ "0.369600,0.364933,0.359287,0.347901,0.340959,0.327253,0.308991", \ "0.546493,0.538890,0.524191,0.508929,0.482206,0.449690,0.412811"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("3.264273,3.269044,3.282297,3.291384,3.307173,3.327237,3.367057", \ "3.215569,3.223815,3.237326,3.252134,3.278464,3.302295,3.346066", \ "3.165674,3.173243,3.190155,3.198547,3.230655,3.272825,3.322455", \ "3.200207,3.205605,3.211299,3.215932,3.228082,3.273372,3.292553", \ "3.319592,3.318576,3.318102,3.316491,3.330822,3.336548,3.340688", \ "3.528415,3.525236,3.528748,3.514222,3.495989,3.487964,3.472624", \ "3.779672,3.790597,3.806681,3.803364,3.781704,3.743565,3.702159"); } } internal_power () { related_pin : "A2"; when : "A1 & !B1 & B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.283796,0.278991,0.273137,0.260656,0.246508,0.232070,0.224451", \ "0.268656,0.267005,0.258572,0.253702,0.249208,0.244887,0.244545", \ "0.221716,0.226894,0.226516,0.235157,0.236699,0.248002,0.257954", \ "0.229171,0.227460,0.228005,0.227486,0.226060,0.234506,0.246558", \ "0.266357,0.266312,0.266654,0.269597,0.265990,0.262937,0.253062", \ "0.367227,0.366076,0.361333,0.353710,0.342929,0.330701,0.310816", \ "0.541826,0.532864,0.524726,0.504667,0.483093,0.450643,0.413543"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("2.727639,2.732925,2.740518,2.745739,2.758907,2.772449,2.781742", \ "2.673002,2.680488,2.689602,2.695792,2.704759,2.746980,2.761218", \ "2.624723,2.628443,2.639437,2.650309,2.669649,2.693023,2.738535", \ "2.668957,2.668567,2.666573,2.675479,2.681621,2.696215,2.709987", \ "2.796868,2.797754,2.790061,2.788149,2.764864,2.763175,2.768799", \ "3.018501,3.013232,3.007065,2.988667,2.957695,2.915609,2.893170", \ "3.241573,3.248765,3.263436,3.283595,3.248669,3.173604,3.111944"); } } internal_power () { related_pin : "A2"; when : "A1 & !B1 & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.310058,0.307793,0.299633,0.287371,0.270079,0.245376,0.232043", \ "0.295956,0.294363,0.287568,0.282440,0.270622,0.259214,0.249498", \ "0.248918,0.254634,0.253871,0.253045,0.257620,0.262473,0.264693", \ "0.252395,0.253861,0.253231,0.247703,0.246140,0.254281,0.260019", \ "0.285863,0.284867,0.285141,0.287330,0.284176,0.281813,0.271589", \ "0.374985,0.371999,0.368474,0.362831,0.355447,0.347652,0.328859", \ "0.527474,0.524695,0.512563,0.501720,0.484194,0.458176,0.427294"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("2.701009,2.703640,2.714301,2.723708,2.719266,2.749701,2.761035", \ "2.645298,2.649909,2.659318,2.668773,2.688618,2.704692,2.720209", \ "2.597077,2.601579,2.609218,2.625582,2.636115,2.676982,2.698535", \ "2.638162,2.641287,2.641176,2.638528,2.651355,2.663077,2.677691", \ "2.763964,2.762779,2.754433,2.748275,2.736720,2.733520,2.731605", \ "2.968325,2.965602,2.961117,2.939481,2.904561,2.887559,2.846023", \ "3.218088,3.225290,3.247175,3.222869,3.180884,3.117428,3.065079"); } } internal_power () { related_pin : "A2"; when : "A1 & !B1 & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.297945,0.294625,0.286248,0.277313,0.262459,0.242935,0.230631", \ "0.281582,0.280248,0.276536,0.268690,0.265309,0.251568,0.244565", \ "0.242070,0.244093,0.247510,0.246418,0.255470,0.257660,0.259644", \ "0.238672,0.239306,0.240222,0.241014,0.237278,0.249080,0.261199", \ "0.277518,0.278279,0.276263,0.277894,0.281224,0.275517,0.269271", \ "0.373806,0.369352,0.366646,0.358939,0.353067,0.341972,0.326860", \ "0.535163,0.529923,0.517776,0.500519,0.483579,0.456879,0.424360"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("3.306817,3.314083,3.319723,3.333855,3.352297,3.369039,3.388374", \ "3.261222,3.267701,3.277942,3.296365,3.305182,3.352205,3.369098", \ "3.208810,3.217883,3.227959,3.248144,3.273643,3.298961,3.327655", \ "3.240443,3.242987,3.244063,3.254819,3.263518,3.302766,3.327081", \ "3.348907,3.352868,3.355933,3.352990,3.343543,3.369765,3.357965", \ "3.546312,3.543876,3.544070,3.525125,3.526587,3.515543,3.490254", \ "3.818699,3.826957,3.821592,3.805260,3.779359,3.745581,3.689782"); } } internal_power () { related_pin : "A2"; when : "A1 & B1 & !B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.270689,0.267410,0.259741,0.249282,0.237994,0.228245,0.226921", \ "0.255138,0.254695,0.252865,0.245630,0.244701,0.239202,0.243322", \ "0.214826,0.216271,0.222678,0.224309,0.236288,0.244289,0.252629", \ "0.213565,0.216140,0.215166,0.219134,0.220092,0.230610,0.248392", \ "0.261949,0.261659,0.258303,0.260203,0.262616,0.256668,0.249320", \ "0.369029,0.364092,0.358562,0.347004,0.339938,0.325739,0.307650", \ "0.548802,0.540943,0.525506,0.504286,0.482095,0.448487,0.411053"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("3.326203,3.334832,3.340333,3.351458,3.359172,3.403974,3.422192", \ "3.278246,3.285631,3.296304,3.312488,3.330790,3.354908,3.402180", \ "3.229201,3.236001,3.250238,3.259653,3.274037,3.327356,3.355170", \ "3.259670,3.264101,3.271320,3.277222,3.281086,3.328376,3.363146", \ "3.376503,3.379701,3.376806,3.378746,3.382857,3.390595,3.399106", \ "3.585719,3.583320,3.580757,3.569475,3.546126,3.539094,3.529502", \ "3.829237,3.836592,3.855980,3.865916,3.825131,3.791968,3.736304"); } } internal_power () { related_pin : "A2"; when : "A1 & B1 & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.297945,0.294625,0.286248,0.277313,0.262459,0.242935,0.230631", \ "0.281582,0.280248,0.276536,0.268690,0.265309,0.251568,0.244565", \ "0.242070,0.244093,0.247510,0.246418,0.255470,0.257660,0.259644", \ "0.238672,0.239306,0.240222,0.241014,0.237278,0.249080,0.261199", \ "0.277518,0.278279,0.276263,0.277894,0.281224,0.275517,0.269271", \ "0.373806,0.369352,0.366646,0.358939,0.353067,0.341972,0.326860", \ "0.535163,0.529923,0.517776,0.500519,0.483579,0.456879,0.424360"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("3.306817,3.314083,3.319723,3.333855,3.352297,3.369039,3.388374", \ "3.261222,3.267701,3.277942,3.296365,3.305182,3.352205,3.369098", \ "3.208810,3.217883,3.227959,3.248144,3.273643,3.298961,3.327655", \ "3.240443,3.242987,3.244063,3.254819,3.263518,3.302766,3.327081", \ "3.348907,3.352868,3.355933,3.352990,3.343543,3.369765,3.357965", \ "3.546312,3.543876,3.544070,3.525125,3.526587,3.515543,3.490254", \ "3.818699,3.826957,3.821592,3.805260,3.779359,3.745581,3.689782"); } } internal_power () { related_pin : "A2"; when : "A1 & B1 & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.286386,0.282309,0.276308,0.266894,0.256423,0.241736,0.231053", \ "0.271662,0.271677,0.266587,0.266281,0.255492,0.251860,0.245419", \ "0.234205,0.237077,0.240809,0.242152,0.252174,0.256601,0.261752", \ "0.226206,0.227386,0.226793,0.227847,0.234950,0.248619,0.262294", \ "0.271098,0.272540,0.271443,0.275400,0.275163,0.270452,0.266536", \ "0.371637,0.368258,0.363843,0.358116,0.350464,0.340704,0.322978", \ "0.541134,0.534101,0.521073,0.504175,0.483890,0.454791,0.421719"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("3.915959,3.920453,3.935893,3.943720,3.967426,4.008597,4.037302", \ "3.873057,3.879620,3.892105,3.911225,3.941055,3.983814,4.018533", \ "3.825193,3.832952,3.842391,3.871038,3.891481,3.941197,3.978196", \ "3.842944,3.848068,3.857542,3.870988,3.898351,3.924159,3.956318", \ "3.943971,3.950410,3.953741,3.962611,3.973375,3.987404,4.006044", \ "4.129247,4.130264,4.130892,4.127951,4.131237,4.133163,4.114599", \ "4.404877,4.401796,4.397121,4.387859,4.367714,4.355732,4.320541"); } } internal_power () { related_pin : "B1"; when : "!A1 & !A2 & B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.842406,0.850526,0.862693,0.875023,0.902846,0.937725,0.973084", \ "0.839077,0.841526,0.854711,0.875183,0.893727,0.930585,0.968326", \ "0.810919,0.822866,0.832197,0.855218,0.875948,0.922614,0.958167", \ "0.863546,0.865203,0.860566,0.865217,0.877758,0.916555,0.955855", \ "0.985863,0.981734,0.975321,0.970489,0.967805,0.959793,0.979673", \ "1.165964,1.162644,1.152114,1.146512,1.127733,1.105254,1.077338", \ "1.423138,1.414895,1.409468,1.393316,1.365381,1.320585,1.259260"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("3.516122,3.515733,3.522062,3.521748,3.535590,3.536430,3.549907", \ "3.466605,3.468584,3.477118,3.498599,3.509894,3.519013,3.538759", \ "3.393605,3.398758,3.398106,3.404735,3.443220,3.459726,3.488543", \ "3.363647,3.365111,3.367454,3.372595,3.386447,3.396454,3.426247", \ "3.363326,3.364457,3.354993,3.352478,3.368586,3.374567,3.397991", \ "3.402289,3.408061,3.398477,3.399797,3.383348,3.407944,3.422959", \ "3.424879,3.431592,3.450605,3.484471,3.485334,3.465338,3.458940"); } } internal_power () { related_pin : "B1"; when : "!A1 & !A2 & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.849695,0.856947,0.862196,0.883602,0.904070,0.937667,0.974814", \ "0.850496,0.852270,0.862753,0.886644,0.900982,0.944246,0.981388", \ "0.843086,0.844508,0.862737,0.883220,0.910822,0.941694,0.981354", \ "0.891325,0.891953,0.892774,0.895717,0.906341,0.944232,0.982209", \ "1.006523,1.004320,1.001064,1.003971,1.000861,0.992463,1.006739", \ "1.171488,1.167380,1.167991,1.155976,1.146407,1.122389,1.098643", \ "1.402465,1.401423,1.395966,1.382180,1.353805,1.320700,1.270294"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("3.484341,3.490157,3.491910,3.496579,3.494738,3.518180,3.514245", \ "3.434519,3.441487,3.451305,3.456286,3.471699,3.503474,3.505924", \ "3.363520,3.369774,3.373427,3.375265,3.392506,3.431452,3.466994", \ "3.334866,3.335780,3.336362,3.346822,3.344202,3.379382,3.416086", \ "3.330807,3.332030,3.328995,3.333126,3.335525,3.342467,3.371805", \ "3.358498,3.358056,3.358961,3.356332,3.352140,3.351308,3.375127", \ "3.421277,3.433069,3.442444,3.440923,3.425171,3.407035,3.417107"); } } internal_power () { related_pin : "B1"; when : "!A1 & !A2 & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.878637,0.883032,0.884303,0.899771,0.920962,0.949825,0.979394", \ "0.879406,0.882541,0.889515,0.896253,0.926001,0.949735,0.983682", \ "0.871168,0.876047,0.885589,0.899127,0.924896,0.948506,0.986341", \ "0.903558,0.899540,0.899853,0.906837,0.922983,0.955858,0.990903", \ "1.018033,1.013116,1.004997,1.010362,1.001154,0.992637,1.004776", \ "1.182829,1.177767,1.175614,1.161986,1.147891,1.126929,1.099195", \ "1.420304,1.415269,1.407273,1.392251,1.360929,1.324899,1.269377"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("4.092798,4.096644,4.098108,4.100543,4.112361,4.141992,4.144627", \ "4.052723,4.058283,4.067003,4.085474,4.093226,4.127915,4.158174", \ "3.981249,3.988498,3.996109,4.013468,4.041486,4.057976,4.100250", \ "3.946864,3.944035,3.946748,3.957483,3.966480,4.006302,4.050117", \ "3.935894,3.941535,3.936105,3.941904,3.954421,3.990941,4.005402", \ "3.958720,3.963808,3.961354,3.951720,3.967997,3.975721,4.007696", \ "4.044145,4.045115,4.046162,4.033212,4.036735,4.027898,4.049313"); } } internal_power () { related_pin : "B1"; when : "!A1 & A2 & B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.692722,0.704217,0.716549,0.751381,0.790457,0.831792,0.877501", \ "0.687878,0.693267,0.713579,0.734599,0.785840,0.820079,0.870801", \ "0.664525,0.679885,0.693531,0.723996,0.765104,0.805694,0.857125", \ "0.745322,0.745269,0.737188,0.749053,0.767246,0.798435,0.842874", \ "0.875900,0.877232,0.871811,0.868870,0.862038,0.855433,0.867714", \ "1.061219,1.056085,1.053673,1.034289,1.026253,1.000571,0.968378", \ "1.315930,1.310052,1.304973,1.286358,1.254687,1.209539,1.148884"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("3.250643,3.257718,3.262223,3.269154,3.264799,3.273679,3.299775", \ "3.201601,3.205719,3.218917,3.225656,3.240149,3.255739,3.289419", \ "3.126684,3.132675,3.142907,3.156323,3.174957,3.197907,3.241678", \ "3.100798,3.105274,3.106212,3.109694,3.122825,3.142168,3.191717", \ "3.098747,3.101095,3.099423,3.106536,3.120028,3.133428,3.146590", \ "3.136917,3.136416,3.144403,3.136573,3.134304,3.138528,3.160033", \ "3.149241,3.162009,3.188235,3.221188,3.216028,3.179007,3.192699"); } } internal_power () { related_pin : "B1"; when : "!A1 & A2 & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.702152,0.716127,0.730745,0.751045,0.791210,0.834609,0.877891", \ "0.700959,0.709137,0.713217,0.747934,0.792540,0.833682,0.875211", \ "0.698202,0.706656,0.723405,0.735988,0.783964,0.822998,0.876474", \ "0.772187,0.776311,0.773200,0.777207,0.796059,0.825199,0.868141", \ "0.895571,0.898734,0.894625,0.891882,0.886740,0.877786,0.894946", \ "1.066258,1.059823,1.060766,1.053275,1.039805,1.018228,0.990323", \ "1.299784,1.296214,1.290297,1.268473,1.249528,1.211115,1.158166"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("3.215378,3.219703,3.226411,3.232544,3.247245,3.253394,3.256845", \ "3.165847,3.171736,3.185410,3.200014,3.204497,3.238009,3.248744", \ "3.096523,3.102456,3.110026,3.115615,3.147291,3.180463,3.201683", \ "3.067155,3.068539,3.069188,3.073980,3.081769,3.118883,3.139581", \ "3.062599,3.065004,3.064003,3.070606,3.079750,3.092838,3.107193", \ "3.086338,3.088325,3.092114,3.079416,3.092365,3.098745,3.106699", \ "3.139931,3.154645,3.167733,3.169148,3.145040,3.147579,3.132031"); } } internal_power () { related_pin : "B1"; when : "!A1 & A2 & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.741743,0.750127,0.755755,0.771846,0.801591,0.845225,0.887345", \ "0.740879,0.747511,0.760693,0.782359,0.808505,0.841608,0.884676", \ "0.735761,0.744013,0.744583,0.772502,0.800902,0.831629,0.880905", \ "0.786571,0.786706,0.781142,0.792364,0.810393,0.839049,0.879045", \ "0.910998,0.906850,0.900015,0.898603,0.894919,0.880604,0.903158", \ "1.079710,1.075181,1.069488,1.057946,1.040302,1.021846,0.990799", \ "1.315572,1.311924,1.302532,1.284982,1.255351,1.210732,1.160249"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("3.825606,3.831387,3.837932,3.848495,3.859320,3.870859,3.882698", \ "3.786102,3.793870,3.806994,3.815906,3.840898,3.857680,3.875560", \ "3.712578,3.720440,3.729404,3.746014,3.767978,3.811447,3.838308", \ "3.677176,3.681151,3.685360,3.694629,3.719137,3.745245,3.789907", \ "3.669756,3.672380,3.675753,3.673594,3.696982,3.733680,3.756844", \ "3.687793,3.691712,3.696902,3.699945,3.705505,3.720385,3.756403", \ "3.765260,3.766010,3.774506,3.769435,3.752310,3.771864,3.779838"); } } internal_power () { related_pin : "B1"; when : "A1 & !A2 & B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.737247,0.745797,0.753004,0.773275,0.809709,0.845903,0.886319", \ "0.731189,0.735529,0.753776,0.775108,0.801773,0.836145,0.879645", \ "0.713049,0.719953,0.724439,0.744850,0.774435,0.812317,0.859649", \ "0.758124,0.755413,0.753987,0.752830,0.776027,0.812683,0.851133", \ "0.886529,0.886245,0.880389,0.875079,0.869939,0.857881,0.873308", \ "1.074195,1.069863,1.060570,1.047318,1.026827,1.003730,0.968918", \ "1.334789,1.327415,1.315324,1.294283,1.260015,1.213160,1.150893"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("3.850064,3.858594,3.859747,3.860460,3.889219,3.902902,3.913398", \ "3.811031,3.817326,3.826078,3.844278,3.868174,3.887823,3.929335", \ "3.739543,3.744950,3.760366,3.764580,3.808284,3.832385,3.883398", \ "3.703504,3.706233,3.708137,3.725711,3.751094,3.775938,3.828021", \ "3.698182,3.702096,3.705868,3.701981,3.721836,3.742581,3.788773", \ "3.728947,3.729981,3.737674,3.737358,3.732736,3.770603,3.786383", \ "3.762708,3.777391,3.804338,3.831691,3.809507,3.806345,3.832384"); } } internal_power () { related_pin : "B1"; when : "A1 & !A2 & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.741743,0.750127,0.755755,0.771846,0.801591,0.845225,0.887345", \ "0.740879,0.747511,0.760693,0.782359,0.808505,0.841608,0.884676", \ "0.735761,0.744013,0.744583,0.772502,0.800902,0.831629,0.880905", \ "0.786571,0.786706,0.781142,0.792364,0.810393,0.839049,0.879045", \ "0.910998,0.906850,0.900015,0.898603,0.894919,0.880604,0.903158", \ "1.079710,1.075181,1.069488,1.057946,1.040302,1.021846,0.990799", \ "1.315572,1.311924,1.302532,1.284982,1.255351,1.210732,1.160249"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("3.825606,3.831387,3.837932,3.848495,3.859320,3.870859,3.882698", \ "3.786102,3.793870,3.806994,3.815906,3.840898,3.857680,3.875560", \ "3.712578,3.720440,3.729404,3.746014,3.767978,3.811447,3.838308", \ "3.677176,3.681151,3.685360,3.694629,3.719137,3.745245,3.789907", \ "3.669756,3.672380,3.675753,3.673594,3.696982,3.733680,3.756844", \ "3.687793,3.691712,3.696902,3.699945,3.705505,3.720385,3.756403", \ "3.765260,3.766010,3.774506,3.769435,3.752310,3.771864,3.779838"); } } internal_power () { related_pin : "B1"; when : "A1 & !A2 & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.773692,0.774513,0.787829,0.794748,0.823604,0.858739,0.895410", \ "0.766923,0.774500,0.784239,0.802660,0.817642,0.855620,0.892024", \ "0.760244,0.769455,0.775906,0.781404,0.808207,0.851524,0.885854", \ "0.794128,0.791775,0.794434,0.800867,0.819928,0.838506,0.886773", \ "0.915974,0.916258,0.906115,0.905190,0.895288,0.886179,0.901556", \ "1.088449,1.083972,1.076478,1.063179,1.047605,1.020919,0.991273", \ "1.326846,1.320982,1.311518,1.288213,1.256237,1.213990,1.159129"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("4.432643,4.436692,4.447471,4.469314,4.472655,4.509871,4.530529", \ "4.403447,4.411414,4.423329,4.442595,4.456992,4.498315,4.524315", \ "4.331982,4.340336,4.353305,4.382179,4.406475,4.433976,4.491149", \ "4.292108,4.292385,4.306372,4.320666,4.337153,4.383725,4.420110", \ "4.279142,4.284086,4.291662,4.298108,4.332890,4.356457,4.386853", \ "4.294032,4.298728,4.306060,4.316075,4.320618,4.361239,4.385348", \ "4.366784,4.367844,4.374799,4.368471,4.381542,4.391025,4.407661"); } } internal_power () { related_pin : "B2"; when : "!A1 & !A2 & B1 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.843544,0.851706,0.857362,0.882611,0.907571,0.938386,0.975514", \ "0.838772,0.845714,0.858257,0.875979,0.898661,0.936712,0.970755", \ "0.812811,0.824179,0.830012,0.850313,0.884303,0.924755,0.962838", \ "0.831891,0.834619,0.834491,0.842269,0.863993,0.900044,0.946644", \ "0.889680,0.896171,0.895548,0.901096,0.909811,0.916566,0.937795", \ "0.992138,0.990503,0.986771,0.990537,0.986534,0.992212,0.993819", \ "1.148003,1.147961,1.146718,1.137245,1.126949,1.115711,1.100003"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("4.056349,4.059477,4.064245,4.072816,4.061417,4.094820,4.113663", \ "4.024931,4.027500,4.026489,4.039287,4.035635,4.072860,4.094054", \ "3.980494,3.987305,3.995243,3.999295,4.024439,4.035754,4.061449", \ "3.960600,3.963393,3.962015,3.967926,3.990008,3.992339,4.018058", \ "3.960031,3.963691,3.962745,3.965197,3.969982,3.976601,4.033083", \ "3.990487,3.992192,3.996671,3.992700,4.010143,4.011121,4.032639", \ "4.019540,4.031558,4.047599,4.082977,4.077937,4.064976,4.075591"); } } internal_power () { related_pin : "B2"; when : "!A1 & !A2 & B1 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.849254,0.853050,0.862004,0.881357,0.908535,0.929077,0.977653", \ "0.849610,0.857377,0.864804,0.886675,0.905260,0.942737,0.976720", \ "0.835789,0.848887,0.861736,0.881467,0.903779,0.943739,0.982177", \ "0.858627,0.864407,0.868580,0.870882,0.893424,0.929403,0.973005", \ "0.917948,0.917840,0.922688,0.925670,0.936636,0.945107,0.966251", \ "1.006380,1.006206,1.005602,1.008577,1.014511,1.015824,1.020300", \ "1.149030,1.150923,1.146974,1.142706,1.135838,1.128436,1.119378"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("4.036354,4.037757,4.043172,4.046061,4.062418,4.075406,4.092711", \ "3.999417,4.004191,4.012509,4.016410,4.019639,4.047248,4.076248", \ "3.959467,3.962698,3.970907,3.984190,3.988850,4.020505,4.029073", \ "3.936240,3.938849,3.936426,3.944349,3.954567,3.987014,4.022220", \ "3.932536,3.938169,3.941820,3.944871,3.948105,3.958317,3.990240", \ "3.954546,3.959208,3.955948,3.955591,3.964476,3.970135,3.998854", \ "4.029392,4.034657,4.032304,4.028188,4.032540,4.023779,4.043524"); } } internal_power () { related_pin : "B2"; when : "!A1 & !A2 & B1 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.873917,0.881410,0.890968,0.903516,0.917864,0.940920,0.977999", \ "0.879997,0.885717,0.889267,0.906982,0.927163,0.943320,0.985115", \ "0.866541,0.876580,0.883242,0.890802,0.925193,0.944281,0.989110", \ "0.873334,0.875347,0.871680,0.879908,0.904026,0.932335,0.975539", \ "0.929860,0.930153,0.930973,0.931561,0.940896,0.947793,0.974261", \ "1.016617,1.019565,1.018520,1.015687,1.015949,1.022944,1.021703", \ "1.162981,1.161005,1.157518,1.151134,1.145824,1.133799,1.120379"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("4.638875,4.642117,4.653154,4.652414,4.682020,4.689948,4.724215", \ "4.611379,4.613254,4.623727,4.625945,4.638463,4.671424,4.707880", \ "4.571472,4.576171,4.582622,4.602378,4.610364,4.646340,4.686095", \ "4.546753,4.550158,4.551763,4.561553,4.573949,4.612153,4.654967", \ "4.542307,4.546662,4.549906,4.549163,4.569939,4.606978,4.623321", \ "4.558068,4.562171,4.566128,4.569465,4.582777,4.620315,4.631713", \ "4.634027,4.636144,4.636684,4.632035,4.645879,4.646367,4.675616"); } } internal_power () { related_pin : "B2"; when : "!A1 & A2 & B1 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.696947,0.704217,0.722223,0.755997,0.792323,0.833310,0.881129", \ "0.687714,0.702809,0.717192,0.738157,0.775905,0.828847,0.871309", \ "0.662467,0.673603,0.692129,0.714860,0.763553,0.806586,0.854479", \ "0.702222,0.710164,0.713146,0.721819,0.730379,0.782396,0.834731", \ "0.775398,0.780011,0.781741,0.783705,0.801817,0.807003,0.829707", \ "0.885903,0.884375,0.878121,0.876228,0.887556,0.889814,0.889322", \ "1.046917,1.045804,1.040390,1.032754,1.026251,1.010645,0.997885"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("3.792334,3.799957,3.805173,3.802390,3.815264,3.827065,3.860382", \ "3.760990,3.763794,3.768903,3.785085,3.790654,3.804882,3.841442", \ "3.717785,3.724156,3.727973,3.746601,3.752231,3.769740,3.810684", \ "3.697194,3.702366,3.700065,3.702796,3.736297,3.757024,3.774124", \ "3.694794,3.697106,3.704201,3.696439,3.724932,3.746535,3.773551", \ "3.722983,3.727134,3.730890,3.730779,3.729004,3.764100,3.777076", \ "3.747731,3.763615,3.792760,3.814618,3.803996,3.806905,3.800691"); } } internal_power () { related_pin : "B2"; when : "!A1 & A2 & B1 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.705387,0.716367,0.718055,0.748786,0.793443,0.834019,0.881879", \ "0.699692,0.712371,0.722807,0.749989,0.782436,0.834303,0.877254", \ "0.693305,0.699810,0.717762,0.736938,0.783637,0.825726,0.874549", \ "0.734966,0.736618,0.733709,0.749276,0.758760,0.807310,0.861084", \ "0.802655,0.804371,0.806524,0.814454,0.827752,0.834815,0.857759", \ "0.899035,0.898173,0.900979,0.903649,0.907171,0.913065,0.915148", \ "1.047469,1.044078,1.047334,1.038167,1.034909,1.024116,1.016940"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("3.767190,3.770533,3.779227,3.785963,3.790069,3.798793,3.809237", \ "3.730953,3.737486,3.746510,3.756946,3.768360,3.779839,3.792808", \ "3.691437,3.696458,3.705138,3.711341,3.737584,3.752660,3.770224", \ "3.670700,3.674834,3.673837,3.687638,3.706979,3.721371,3.741051", \ "3.666473,3.668558,3.671163,3.681403,3.689280,3.705753,3.721909", \ "3.684116,3.687492,3.691912,3.693084,3.700244,3.716759,3.726573", \ "3.752971,3.756782,3.762126,3.756706,3.753161,3.767692,3.773806"); } } internal_power () { related_pin : "B2"; when : "!A1 & A2 & B1 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.744750,0.752509,0.765050,0.771822,0.812635,0.836888,0.885411", \ "0.741573,0.752129,0.762291,0.773792,0.809042,0.847781,0.886789", \ "0.733698,0.738330,0.750707,0.756224,0.803368,0.840795,0.880839", \ "0.747894,0.750463,0.753028,0.762463,0.780171,0.814889,0.867497", \ "0.816212,0.819951,0.819986,0.826600,0.833156,0.838996,0.864428", \ "0.913112,0.914245,0.912306,0.911378,0.916011,0.915394,0.915967", \ "1.063756,1.059893,1.054128,1.046142,1.044687,1.029552,1.017895"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("4.371792,4.376209,4.384287,4.391980,4.402612,4.437887,4.456934", \ "4.342978,4.347801,4.355650,4.366606,4.383198,4.419507,4.443974", \ "4.301421,4.309880,4.322389,4.342374,4.353216,4.393550,4.419111", \ "4.279591,4.283988,4.287617,4.303288,4.322407,4.362342,4.390162", \ "4.274633,4.279590,4.286259,4.298147,4.305612,4.343613,4.372315", \ "4.290585,4.294599,4.303393,4.311081,4.325227,4.354344,4.375810", \ "4.356416,4.361618,4.362613,4.364639,4.378001,4.386476,4.401223"); } } internal_power () { related_pin : "B2"; when : "A1 & !A2 & B1 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.739375,0.747803,0.751603,0.781490,0.811781,0.836843,0.884822", \ "0.730726,0.739490,0.754619,0.763508,0.802480,0.842090,0.880972", \ "0.711292,0.716318,0.721348,0.739278,0.778312,0.809080,0.861647", \ "0.721360,0.723318,0.727873,0.734309,0.755711,0.788639,0.841144", \ "0.793827,0.794459,0.794079,0.799808,0.806650,0.811071,0.836839", \ "0.899321,0.899786,0.897183,0.894094,0.896076,0.892399,0.889838", \ "1.062955,1.060674,1.053810,1.040900,1.034338,1.015910,0.999174"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("4.390294,4.398541,4.404261,4.410357,4.439758,4.457055,4.474693", \ "4.362334,4.367245,4.381331,4.383182,4.417200,4.436647,4.456322", \ "4.321100,4.327789,4.341327,4.353184,4.380340,4.403022,4.451846", \ "4.298922,4.305895,4.309110,4.323525,4.341887,4.365059,4.417624", \ "4.295343,4.301670,4.309026,4.321922,4.341934,4.366656,4.389434", \ "4.319559,4.323890,4.330174,4.334786,4.351911,4.372295,4.418413", \ "4.367388,4.385073,4.410672,4.413638,4.424601,4.431104,4.441859"); } } internal_power () { related_pin : "B2"; when : "A1 & !A2 & B1 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.744750,0.752509,0.765050,0.771822,0.812635,0.836888,0.885411", \ "0.741573,0.752129,0.762291,0.773792,0.809042,0.847781,0.886789", \ "0.733698,0.738330,0.750707,0.756224,0.803368,0.840795,0.880839", \ "0.747894,0.750463,0.753028,0.762463,0.780171,0.814889,0.867497", \ "0.816212,0.819951,0.819986,0.826600,0.833156,0.838996,0.864428", \ "0.913112,0.914245,0.912306,0.911378,0.916011,0.915394,0.915967", \ "1.063756,1.059893,1.054128,1.046142,1.044687,1.029552,1.017895"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("4.371792,4.376209,4.384287,4.391980,4.402612,4.437887,4.456934", \ "4.342978,4.347801,4.355650,4.366606,4.383198,4.419507,4.443974", \ "4.301421,4.309880,4.322389,4.342374,4.353216,4.393550,4.419111", \ "4.279591,4.283988,4.287617,4.303288,4.322407,4.362342,4.390162", \ "4.274633,4.279590,4.286259,4.298147,4.305612,4.343613,4.372315", \ "4.290585,4.294599,4.303393,4.311081,4.325227,4.354344,4.375810", \ "4.356416,4.361618,4.362613,4.364639,4.378001,4.386476,4.401223"); } } internal_power () { related_pin : "B2"; when : "A1 & !A2 & B1 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.770300,0.776631,0.789832,0.801334,0.818273,0.850615,0.892642", \ "0.772802,0.777301,0.787729,0.792422,0.825380,0.848025,0.895065", \ "0.758387,0.765294,0.775751,0.792141,0.817052,0.849359,0.889438", \ "0.759111,0.761752,0.766187,0.768409,0.792300,0.828975,0.872632", \ "0.829454,0.831132,0.827771,0.830471,0.838010,0.838827,0.865728", \ "0.924391,0.924674,0.920162,0.919343,0.917694,0.918207,0.915863", \ "1.077055,1.073099,1.069164,1.061969,1.048181,1.034476,1.019301"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("4.978497,4.986535,4.994146,5.012465,5.036286,5.057464,5.106127", \ "4.951623,4.957833,4.968977,4.990226,5.016825,5.040112,5.069359", \ "4.916245,4.924449,4.936184,4.960254,4.989354,5.015197,5.048403", \ "4.889885,4.895192,4.904575,4.918858,4.957604,4.984733,5.019586", \ "4.884539,4.892113,4.898707,4.907551,4.942356,4.965826,5.020882", \ "4.898607,4.904619,4.915873,4.926704,4.952495,4.974724,5.026403", \ "4.959789,4.965020,4.972846,4.982605,4.990085,5.027955,5.051004"); } } internal_power () { related_pin : "C1"; when : "!A1 & !A2 & !B1 & !B2 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("1.196603,1.216943,1.230682,1.263931,1.350736,1.429187,1.516983", \ "1.181474,1.189128,1.222012,1.252154,1.331860,1.406228,1.504079", \ "1.153242,1.161426,1.192205,1.236407,1.294281,1.382011,1.470923", \ "1.213174,1.215254,1.229947,1.249334,1.304482,1.371980,1.461393", \ "1.384728,1.383175,1.386671,1.393774,1.409614,1.429147,1.492364", \ "1.584710,1.582854,1.590677,1.588719,1.591890,1.593140,1.594336", \ "1.850534,1.850971,1.852056,1.842767,1.836189,1.817518,1.792587"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("4.619650,4.625622,4.629511,4.635149,4.649231,4.645744,4.678323", \ "4.571163,4.576824,4.583548,4.587358,4.619165,4.652586,4.661983", \ "4.499312,4.507953,4.517165,4.515790,4.541968,4.582157,4.601383", \ "4.470181,4.475478,4.475899,4.478115,4.506321,4.513580,4.562835", \ "4.460324,4.465604,4.449987,4.458285,4.460684,4.488826,4.535013", \ "4.465786,4.469729,4.466627,4.451871,4.473896,4.472855,4.519462", \ "4.447315,4.458803,4.480083,4.513015,4.493611,4.515977,4.516858"); } } internal_power () { related_pin : "C1"; when : "!A1 & !A2 & !B1 & B2 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("1.093695,1.120328,1.151725,1.200768,1.267441,1.358833,1.461718", \ "1.077460,1.087520,1.117723,1.156181,1.225547,1.320380,1.435768", \ "1.051040,1.063243,1.088021,1.148160,1.201078,1.303145,1.401697", \ "1.136858,1.134799,1.139512,1.173191,1.218057,1.292372,1.377668", \ "1.306880,1.314028,1.311277,1.322597,1.332931,1.349665,1.410181", \ "1.508560,1.512326,1.513470,1.514245,1.515410,1.515442,1.516550", \ "1.772091,1.769429,1.772474,1.768467,1.758928,1.737916,1.711853"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("4.377256,4.382361,4.384384,4.393246,4.406649,4.407708,4.403796", \ "4.326734,4.335978,4.340741,4.349929,4.377989,4.387381,4.413975", \ "4.259309,4.260026,4.267295,4.281735,4.306467,4.322618,4.359207", \ "4.228525,4.228788,4.234445,4.230516,4.254295,4.265536,4.309902", \ "4.220255,4.222605,4.214724,4.209788,4.228507,4.235292,4.266512", \ "4.222409,4.224658,4.223569,4.211122,4.220754,4.224888,4.253053", \ "4.230751,4.247812,4.260456,4.247747,4.253293,4.253421,4.273669"); } } internal_power () { related_pin : "C1"; when : "!A1 & !A2 & B1 & !B2 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("1.168808,1.180348,1.208056,1.239946,1.307731,1.376242,1.464340", \ "1.150924,1.164386,1.178591,1.200520,1.286085,1.349883,1.447398", \ "1.121063,1.138226,1.159313,1.189263,1.249589,1.326111,1.415976", \ "1.171681,1.173366,1.186150,1.207617,1.255387,1.320181,1.403592", \ "1.336680,1.341204,1.331177,1.346452,1.353118,1.367017,1.426660", \ "1.537735,1.535214,1.539082,1.535302,1.528750,1.529722,1.523515", \ "1.803703,1.798513,1.793195,1.784520,1.770382,1.751062,1.720118"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("4.985095,4.987320,4.991658,4.995278,5.023465,5.029630,5.057754", \ "4.947834,4.951381,4.957407,4.977998,4.999779,5.011261,5.044450", \ "4.875377,4.881213,4.891430,4.900464,4.932011,4.962891,5.001164", \ "4.841780,4.842736,4.847955,4.858609,4.878106,4.893480,4.935369", \ "4.830849,4.830129,4.824856,4.837038,4.849067,4.862237,4.900566", \ "4.829100,4.830107,4.826643,4.823179,4.840291,4.874446,4.886282", \ "4.857722,4.862003,4.860547,4.859778,4.869941,4.875026,4.908003"); } } internal_power () { related_pin : "C1"; when : "!A1 & A2 & !B1 & !B2 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.890596,0.905372,0.944343,0.984293,1.101568,1.207382,1.331519", \ "0.867649,0.893161,0.929485,0.996830,1.084578,1.193510,1.310292", \ "0.872556,0.892055,0.919735,0.975401,1.058121,1.162535,1.282124", \ "0.990488,0.995238,0.997896,1.022244,1.081664,1.153840,1.275528", \ "1.184846,1.186107,1.178741,1.199050,1.218175,1.240055,1.309344", \ "1.400006,1.397416,1.403640,1.406586,1.412062,1.415306,1.419056", \ "1.674875,1.673493,1.676681,1.663057,1.661033,1.637991,1.618913"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("4.341946,4.350560,4.352601,4.370910,4.381653,4.390181,4.414825", \ "4.289657,4.297232,4.308198,4.333070,4.352451,4.368765,4.400106", \ "4.220223,4.226245,4.234103,4.244591,4.278480,4.301657,4.342662", \ "4.192681,4.192458,4.198263,4.208945,4.218388,4.261192,4.282850", \ "4.181861,4.186002,4.183057,4.181539,4.202744,4.216244,4.252362", \ "4.187440,4.188960,4.194116,4.193888,4.201682,4.212984,4.246345", \ "4.166448,4.183150,4.221475,4.222057,4.219319,4.223201,4.249577"); } } internal_power () { related_pin : "C1"; when : "!A1 & A2 & !B1 & B2 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.822646,0.848139,0.872871,0.953133,1.032595,1.149849,1.279271", \ "0.803460,0.818702,0.868357,0.903628,1.019885,1.123813,1.265927", \ "0.803276,0.817261,0.859461,0.891705,0.996691,1.088602,1.228611", \ "0.935657,0.933173,0.938863,0.969110,1.026365,1.107675,1.206883", \ "1.122719,1.130462,1.129215,1.135391,1.152762,1.184365,1.246111", \ "1.338004,1.344307,1.341846,1.346471,1.351841,1.353000,1.361657", \ "1.609837,1.606306,1.609610,1.602681,1.589870,1.580082,1.553184"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("4.096788,4.099453,4.107828,4.116968,4.125555,4.132537,4.135942", \ "4.046962,4.053777,4.065554,4.079906,4.098406,4.112299,4.122805", \ "3.974235,3.981348,3.986599,3.998414,4.028293,4.050059,4.070194", \ "3.948223,3.948487,3.947477,3.960213,3.978216,3.994348,4.014408", \ "3.938306,3.942254,3.935733,3.936524,3.954638,3.967504,3.982242", \ "3.937935,3.943732,3.942428,3.930524,3.953385,3.963987,3.975327", \ "3.948787,3.969207,3.977674,3.968685,3.956243,3.978282,3.988688"); } } internal_power () { related_pin : "C1"; when : "!A1 & A2 & B1 & !B2 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.910165,0.926119,0.966443,1.014347,1.081405,1.184839,1.307007", \ "0.897599,0.914646,0.939780,0.986145,1.055869,1.165749,1.281947", \ "0.888096,0.906012,0.914203,0.958627,1.037161,1.134757,1.248783", \ "0.974984,0.976021,0.985482,1.010803,1.064394,1.138525,1.227922", \ "1.164696,1.166790,1.161556,1.174510,1.183786,1.199233,1.264503", \ "1.376496,1.372045,1.367185,1.368050,1.368660,1.367924,1.369457", \ "1.644550,1.642769,1.639450,1.627513,1.610815,1.587261,1.562014"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("4.702718,4.708189,4.720298,4.718589,4.737119,4.748335,4.760866", \ "4.666069,4.672980,4.686982,4.701187,4.713608,4.750962,4.769579", \ "4.591950,4.600464,4.614023,4.626621,4.647217,4.691313,4.718679", \ "4.559229,4.564400,4.564434,4.570025,4.596097,4.636793,4.664594", \ "4.546621,4.552031,4.547054,4.554783,4.571952,4.608792,4.632597", \ "4.546377,4.549471,4.548159,4.557429,4.568296,4.585856,4.603708", \ "4.574218,4.577035,4.587107,4.582374,4.588563,4.598506,4.616377"); } } internal_power () { related_pin : "C1"; when : "A1 & !A2 & !B1 & !B2 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.976290,0.995245,1.019024,1.053181,1.130512,1.242048,1.357835", \ "0.963715,0.972184,0.995792,1.054748,1.130860,1.227480,1.331476", \ "0.951416,0.965269,0.992589,1.021983,1.103129,1.197999,1.306181", \ "1.032539,1.037379,1.039957,1.064765,1.108589,1.192355,1.295821", \ "1.221999,1.220882,1.220751,1.226328,1.233360,1.258416,1.319272", \ "1.435513,1.435974,1.434498,1.429901,1.431169,1.431305,1.427423", \ "1.711597,1.706267,1.707138,1.689863,1.673068,1.652644,1.623080"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("4.947923,4.955426,4.954190,4.972213,4.981308,5.020000,5.027739", \ "4.909796,4.918511,4.930389,4.941548,4.956106,5.000797,5.039340", \ "4.834921,4.841172,4.853034,4.871772,4.909548,4.936410,4.984179", \ "4.802229,4.802435,4.802714,4.824328,4.847640,4.873868,4.919503", \ "4.788807,4.795117,4.792039,4.792302,4.829969,4.850997,4.894076", \ "4.788222,4.792375,4.796060,4.809016,4.828373,4.846557,4.887980", \ "4.801947,4.816385,4.835873,4.832101,4.843837,4.855687,4.891556"); } } internal_power () { related_pin : "C1"; when : "A1 & !A2 & !B1 & B2 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.910165,0.926119,0.966443,1.014347,1.081405,1.184839,1.307007", \ "0.897599,0.914646,0.939780,0.986145,1.055869,1.165749,1.281947", \ "0.888096,0.906012,0.914203,0.958627,1.037161,1.134757,1.248783", \ "0.974984,0.976021,0.985482,1.010803,1.064394,1.138525,1.227922", \ "1.164696,1.166790,1.161556,1.174510,1.183786,1.199233,1.264503", \ "1.376496,1.372045,1.367185,1.368050,1.368660,1.367924,1.369457", \ "1.644550,1.642769,1.639450,1.627513,1.610815,1.587261,1.562014"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("4.702718,4.708189,4.720298,4.718589,4.737119,4.748335,4.760866", \ "4.666069,4.672980,4.686982,4.701187,4.713608,4.750962,4.769579", \ "4.591950,4.600464,4.614023,4.626621,4.647217,4.691313,4.718679", \ "4.559229,4.564400,4.564434,4.570025,4.596097,4.636793,4.664594", \ "4.546621,4.552031,4.547054,4.554783,4.571952,4.608792,4.632597", \ "4.546377,4.549471,4.548159,4.557429,4.568296,4.585856,4.603708", \ "4.574218,4.577035,4.587107,4.582374,4.588563,4.598506,4.616377"); } } internal_power () { related_pin : "C1"; when : "A1 & !A2 & B1 & !B2 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.984343,0.991727,1.009761,1.061777,1.124294,1.215991,1.322042", \ "0.959817,0.970131,0.987857,1.027652,1.101871,1.190371,1.291017", \ "0.951465,0.957381,0.970111,1.001608,1.072308,1.154208,1.261720", \ "1.011551,1.016454,1.031856,1.055882,1.099053,1.163357,1.247741", \ "1.194349,1.193312,1.187152,1.186900,1.199678,1.219089,1.268915", \ "1.401023,1.404114,1.399416,1.389096,1.389675,1.381793,1.376131", \ "1.671281,1.670766,1.658387,1.644919,1.627713,1.600021,1.565705"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("5.309625,5.317834,5.332407,5.341594,5.350678,5.387646,5.413161", \ "5.279680,5.290123,5.305731,5.311873,5.349019,5.371237,5.409420", \ "5.210730,5.219760,5.231209,5.245090,5.286950,5.313967,5.369119", \ "5.174192,5.174429,5.188013,5.197557,5.233952,5.259338,5.315909", \ "5.156243,5.160841,5.166547,5.178104,5.208722,5.231721,5.262568", \ "5.155540,5.158049,5.170000,5.176505,5.185756,5.228194,5.254604", \ "5.181348,5.183617,5.188551,5.196961,5.203398,5.241330,5.266803"); } } internal_power () { related_pin : "C2"; when : "!A1 & !A2 & !B1 & !B2 & C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("1.201609,1.213907,1.229732,1.271419,1.351071,1.425559,1.523910", \ "1.180325,1.196949,1.226472,1.267243,1.332727,1.414323,1.500606", \ "1.153158,1.165679,1.193941,1.237508,1.302429,1.378771,1.472989", \ "1.178391,1.189319,1.203689,1.228675,1.277788,1.367042,1.458128", \ "1.289399,1.298629,1.303058,1.321129,1.351615,1.387809,1.455420", \ "1.423918,1.426542,1.433403,1.432185,1.459159,1.483840,1.514173", \ "1.596520,1.596456,1.598811,1.605253,1.614470,1.625865,1.637740"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("5.166541,5.171789,5.176407,5.169281,5.202186,5.203156,5.240916", \ "5.130953,5.138778,5.147801,5.153609,5.172888,5.175718,5.216526", \ "5.092304,5.095047,5.097403,5.115070,5.121824,5.157942,5.180035", \ "5.069110,5.072951,5.073273,5.083907,5.100133,5.106707,5.153026", \ "5.065178,5.066051,5.063628,5.054789,5.085808,5.091434,5.136773", \ "5.068434,5.068542,5.074048,5.077814,5.075490,5.107264,5.123035", \ "5.062306,5.076840,5.104984,5.113866,5.122228,5.121489,5.129689"); } } internal_power () { related_pin : "C2"; when : "!A1 & !A2 & !B1 & B2 & C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("1.100200,1.122150,1.153300,1.196989,1.268650,1.359110,1.457618", \ "1.076768,1.091009,1.128115,1.151892,1.244842,1.334096,1.424715", \ "1.050674,1.067279,1.091181,1.148319,1.208301,1.297304,1.392224", \ "1.097095,1.102215,1.110944,1.141214,1.205982,1.269848,1.374377", \ "1.213615,1.219003,1.221741,1.238397,1.268571,1.304232,1.378776", \ "1.352529,1.355665,1.357759,1.358342,1.384266,1.415781,1.443904", \ "1.521539,1.527855,1.526136,1.525616,1.537332,1.552863,1.563463"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("4.929538,4.932177,4.939011,4.948184,4.951988,4.955566,4.981822", \ "4.895414,4.900105,4.902823,4.914842,4.926099,4.931126,4.959398", \ "4.854797,4.858876,4.864549,4.878351,4.880050,4.912439,4.945465", \ "4.834466,4.836981,4.837641,4.837766,4.863372,4.873752,4.907749", \ "4.823582,4.828579,4.823389,4.824710,4.841761,4.852847,4.884766", \ "4.826522,4.830488,4.828005,4.830668,4.836853,4.845632,4.877306", \ "4.849815,4.853629,4.859089,4.854249,4.866778,4.871231,4.902220"); } } internal_power () { related_pin : "C2"; when : "!A1 & !A2 & B1 & !B2 & C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("1.174006,1.184911,1.211105,1.248828,1.307834,1.383850,1.470952", \ "1.150523,1.159072,1.189971,1.215915,1.286674,1.357929,1.447154", \ "1.122511,1.137202,1.149046,1.192381,1.247938,1.325287,1.409270", \ "1.137594,1.144691,1.162339,1.193953,1.226339,1.308935,1.392399", \ "1.248467,1.253025,1.261712,1.274895,1.288236,1.318941,1.391450", \ "1.381980,1.383441,1.387295,1.394341,1.406731,1.427150,1.452593", \ "1.555095,1.555603,1.558279,1.559120,1.560825,1.567260,1.570653"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("5.531245,5.538993,5.539917,5.554862,5.570226,5.578275,5.612792", \ "5.504660,5.507399,5.516302,5.525482,5.542788,5.554355,5.590493", \ "5.461632,5.470204,5.478827,5.482347,5.499203,5.537138,5.577530", \ "5.445616,5.448216,5.448064,5.456298,5.484378,5.499027,5.540577", \ "5.433260,5.435582,5.437381,5.449366,5.464361,5.478401,5.536640", \ "5.432896,5.437333,5.443858,5.451013,5.456576,5.496248,5.510856", \ "5.458039,5.459623,5.471998,5.463195,5.486753,5.503826,5.536405"); } } internal_power () { related_pin : "C2"; when : "!A1 & A2 & !B1 & !B2 & C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.885037,0.917806,0.938257,1.010484,1.087691,1.201129,1.339384", \ "0.877884,0.891418,0.918119,0.998093,1.063281,1.176344,1.315188", \ "0.861776,0.880646,0.916448,0.953310,1.052901,1.149183,1.286230", \ "0.935075,0.946065,0.952997,0.989345,1.055699,1.147223,1.261679", \ "1.077378,1.085770,1.093325,1.101794,1.147298,1.185904,1.272668", \ "1.224769,1.231780,1.233944,1.240101,1.274933,1.300514,1.341890", \ "1.413513,1.414726,1.418050,1.421793,1.431270,1.446773,1.467364"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("4.890381,4.895695,4.897416,4.909446,4.931094,4.943552,4.974467", \ "4.855618,4.858694,4.869321,4.877044,4.903036,4.918316,4.951494", \ "4.813155,4.817242,4.831194,4.840589,4.855185,4.898542,4.911272", \ "4.792709,4.798076,4.800479,4.811583,4.833554,4.851976,4.890777", \ "4.785673,4.792178,4.788667,4.792799,4.823551,4.840969,4.879036", \ "4.785341,4.793998,4.793703,4.791620,4.800262,4.840624,4.878997", \ "4.788969,4.808926,4.822504,4.837012,4.839892,4.851783,4.885602"); } } internal_power () { related_pin : "C2"; when : "!A1 & A2 & !B1 & B2 & C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.818406,0.841175,0.888202,0.934174,1.042348,1.141527,1.281547", \ "0.809810,0.825550,0.865779,0.934622,1.022633,1.134147,1.253596", \ "0.791951,0.814316,0.838136,0.904029,0.991240,1.103235,1.218869", \ "0.875665,0.883264,0.898733,0.928252,0.986744,1.073441,1.202974", \ "1.019359,1.028172,1.028861,1.051123,1.088093,1.130529,1.205989", \ "1.174822,1.176782,1.184471,1.194379,1.217038,1.248995,1.280919", \ "1.357334,1.359822,1.367824,1.367246,1.376410,1.388116,1.407466"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("4.648934,4.651750,4.655528,4.671569,4.668892,4.697910,4.708988", \ "4.612082,4.616605,4.627776,4.639766,4.642795,4.674434,4.687725", \ "4.572240,4.576808,4.583683,4.591044,4.618589,4.634631,4.651676", \ "4.552096,4.556938,4.558409,4.568155,4.583250,4.597842,4.627089", \ "4.543505,4.548233,4.548952,4.558994,4.564585,4.578561,4.596015", \ "4.544134,4.545772,4.553768,4.545671,4.564754,4.580455,4.595107", \ "4.563659,4.567485,4.576992,4.581794,4.586083,4.595245,4.617070"); } } internal_power () { related_pin : "C2"; when : "!A1 & A2 & B1 & !B2 & C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.916665,0.936568,0.961324,1.004576,1.071659,1.191544,1.305627", \ "0.895416,0.912956,0.942736,0.996098,1.068670,1.168170,1.275800", \ "0.874158,0.890820,0.908618,0.961642,1.019978,1.133002,1.240734", \ "0.928395,0.931107,0.946440,0.975471,1.028276,1.106434,1.223845", \ "1.061904,1.067021,1.076454,1.090773,1.115918,1.148747,1.225803", \ "1.210491,1.212307,1.213198,1.219898,1.241248,1.261809,1.290885", \ "1.395153,1.396778,1.395737,1.390852,1.399110,1.404056,1.420470"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("5.253174,5.255745,5.266465,5.272076,5.300114,5.316073,5.335323", \ "5.222985,5.229077,5.235459,5.244585,5.275715,5.292865,5.314156", \ "5.181463,5.189040,5.199247,5.217119,5.233840,5.274326,5.300075", \ "5.161413,5.168190,5.170577,5.192871,5.198343,5.238580,5.265332", \ "5.151949,5.155315,5.163049,5.174435,5.181264,5.220437,5.246377", \ "5.152777,5.158174,5.161815,5.170716,5.181126,5.222030,5.244960", \ "5.174456,5.179407,5.187540,5.193727,5.200348,5.237114,5.260478"); } } internal_power () { related_pin : "C2"; when : "A1 & !A2 & !B1 & !B2 & C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.981827,0.998884,1.028469,1.058894,1.136005,1.235793,1.350204", \ "0.962323,0.977626,1.012402,1.060372,1.110982,1.210281,1.336579", \ "0.943471,0.959555,0.982567,1.018062,1.091625,1.193376,1.307353", \ "0.988934,0.994240,1.010810,1.041601,1.097329,1.179024,1.283484", \ "1.120972,1.125162,1.126973,1.143560,1.173888,1.208021,1.282898", \ "1.268326,1.266898,1.272262,1.279418,1.291464,1.314667,1.347120", \ "1.453376,1.451586,1.454666,1.447203,1.456970,1.462895,1.475367"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("5.489816,5.494801,5.505650,5.522318,5.532426,5.573841,5.588244", \ "5.458141,5.467545,5.481941,5.492758,5.506550,5.549311,5.591066", \ "5.422071,5.425187,5.434790,5.448565,5.483832,5.506206,5.551355", \ "5.399172,5.403333,5.408065,5.417068,5.437998,5.484791,5.531759", \ "5.388494,5.397826,5.398167,5.418720,5.429573,5.474135,5.503913", \ "5.389404,5.398913,5.402808,5.411325,5.427133,5.474128,5.494865", \ "5.415657,5.422764,5.429880,5.445744,5.441655,5.484209,5.530199"); } } internal_power () { related_pin : "C2"; when : "A1 & !A2 & !B1 & B2 & C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.916665,0.936568,0.961324,1.004576,1.071659,1.191544,1.305627", \ "0.895416,0.912956,0.942736,0.996098,1.068670,1.168170,1.275800", \ "0.874158,0.890820,0.908618,0.961642,1.019978,1.133002,1.240734", \ "0.928395,0.931107,0.946440,0.975471,1.028276,1.106434,1.223845", \ "1.061904,1.067021,1.076454,1.090773,1.115918,1.148747,1.225803", \ "1.210491,1.212307,1.213198,1.219898,1.241248,1.261809,1.290885", \ "1.395153,1.396778,1.395737,1.390852,1.399110,1.404056,1.420470"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("5.253174,5.255745,5.266465,5.272076,5.300114,5.316073,5.335323", \ "5.222985,5.229077,5.235459,5.244585,5.275715,5.292865,5.314156", \ "5.181463,5.189040,5.199247,5.217119,5.233840,5.274326,5.300075", \ "5.161413,5.168190,5.170577,5.192871,5.198343,5.238580,5.265332", \ "5.151949,5.155315,5.163049,5.174435,5.181264,5.220437,5.246377", \ "5.152777,5.158174,5.161815,5.170716,5.181126,5.222030,5.244960", \ "5.174456,5.179407,5.187540,5.193727,5.200348,5.237114,5.260478"); } } internal_power () { related_pin : "C2"; when : "A1 & !A2 & B1 & !B2 & C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.981144,1.000624,1.025991,1.044383,1.125579,1.203541,1.323395", \ "0.959257,0.980442,1.006274,1.044323,1.110156,1.181055,1.296540", \ "0.935532,0.948724,0.966011,1.008046,1.070092,1.145183,1.260732", \ "0.964739,0.973311,0.982729,1.016846,1.059219,1.136339,1.230264", \ "1.096682,1.102622,1.103168,1.112029,1.134944,1.164207,1.243890", \ "1.240872,1.244202,1.245298,1.243537,1.254071,1.274025,1.301824", \ "1.423528,1.424263,1.419041,1.413861,1.414117,1.418821,1.427870"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("5.859365,5.863247,5.875102,5.899593,5.914269,5.955785,5.984167", \ "5.831322,5.835926,5.847319,5.871865,5.889625,5.932713,5.963234", \ "5.792037,5.799613,5.811344,5.838684,5.849855,5.895535,5.929108", \ "5.771549,5.780646,5.785509,5.810370,5.834364,5.860698,5.915820", \ "5.761894,5.766511,5.779138,5.793673,5.817456,5.842617,5.897139", \ "5.763017,5.768211,5.776544,5.785852,5.820085,5.843775,5.874989", \ "5.784082,5.789193,5.800863,5.806971,5.816044,5.863453,5.891033"); } } } } /****************************************************************************************** Module : AOI222_X2 Cell Description : Combinational cell (AOI222_X2) with drive strength X2 *******************************************************************************************/ cell (AOI222_X2) { drive_strength : 2; area : 3.724000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 50.482632; leakage_power () { when : "!A1 & !A2 & !B1 & !B2 & !C1 & !C2"; value : 19.057950; } leakage_power () { when : "!A1 & !A2 & !B1 & !B2 & !C1 & C2"; value : 47.538570; } leakage_power () { when : "!A1 & !A2 & !B1 & !B2 & C1 & !C2"; value : 28.138908; } leakage_power () { when : "!A1 & !A2 & !B1 & !B2 & C1 & C2"; value : 28.558292; } leakage_power () { when : "!A1 & !A2 & !B1 & B2 & !C1 & !C2"; value : 47.538665; } leakage_power () { when : "!A1 & !A2 & !B1 & B2 & !C1 & C2"; value : 76.002280; } leakage_power () { when : "!A1 & !A2 & !B1 & B2 & C1 & !C2"; value : 56.615064; } leakage_power () { when : "!A1 & !A2 & !B1 & B2 & C1 & C2"; value : 38.490561; } leakage_power () { when : "!A1 & !A2 & B1 & !B2 & !C1 & !C2"; value : 28.139003; } leakage_power () { when : "!A1 & !A2 & B1 & !B2 & !C1 & C2"; value : 56.615064; } leakage_power () { when : "!A1 & !A2 & B1 & !B2 & C1 & !C2"; value : 37.221101; } leakage_power () { when : "!A1 & !A2 & B1 & !B2 & C1 & C2"; value : 38.487511; } leakage_power () { when : "!A1 & !A2 & B1 & B2 & !C1 & !C2"; value : 29.151880; } leakage_power () { when : "!A1 & !A2 & B1 & B2 & !C1 & C2"; value : 39.289159; } leakage_power () { when : "!A1 & !A2 & B1 & B2 & C1 & !C2"; value : 39.286100; } leakage_power () { when : "!A1 & !A2 & B1 & B2 & C1 & C2"; value : 42.867553; } leakage_power () { when : "!A1 & A2 & !B1 & !B2 & !C1 & !C2"; value : 47.538665; } leakage_power () { when : "!A1 & A2 & !B1 & !B2 & !C1 & C2"; value : 76.002375; } leakage_power () { when : "!A1 & A2 & !B1 & !B2 & C1 & !C2"; value : 56.615159; } leakage_power () { when : "!A1 & A2 & !B1 & !B2 & C1 & C2"; value : 38.568689; } leakage_power () { when : "!A1 & A2 & !B1 & B2 & !C1 & !C2"; value : 76.002470; } leakage_power () { when : "!A1 & A2 & !B1 & B2 & !C1 & C2"; value : 104.442810; } leakage_power () { when : "!A1 & A2 & !B1 & B2 & C1 & !C2"; value : 85.071746; } leakage_power () { when : "!A1 & A2 & !B1 & B2 & C1 & C2"; value : 48.568816; } leakage_power () { when : "!A1 & A2 & B1 & !B2 & !C1 & !C2"; value : 56.615159; } leakage_power () { when : "!A1 & A2 & B1 & !B2 & !C1 & C2"; value : 85.071746; } leakage_power () { when : "!A1 & A2 & B1 & !B2 & C1 & !C2"; value : 65.692512; } leakage_power () { when : "!A1 & A2 & B1 & !B2 & C1 & C2"; value : 48.565672; } leakage_power () { when : "!A1 & A2 & B1 & B2 & !C1 & !C2"; value : 38.952736; } leakage_power () { when : "!A1 & A2 & B1 & B2 & !C1 & C2"; value : 49.090290; } leakage_power () { when : "!A1 & A2 & B1 & B2 & C1 & !C2"; value : 49.087146; } leakage_power () { when : "!A1 & A2 & B1 & B2 & C1 & C2"; value : 53.066848; } leakage_power () { when : "A1 & !A2 & !B1 & !B2 & !C1 & !C2"; value : 28.139006; } leakage_power () { when : "A1 & !A2 & !B1 & !B2 & !C1 & C2"; value : 56.615161; } leakage_power () { when : "A1 & !A2 & !B1 & !B2 & C1 & !C2"; value : 37.221199; } leakage_power () { when : "A1 & !A2 & !B1 & !B2 & C1 & C2"; value : 38.565639; } leakage_power () { when : "A1 & !A2 & !B1 & B2 & !C1 & !C2"; value : 56.615161; } leakage_power () { when : "A1 & !A2 & !B1 & B2 & !C1 & C2"; value : 85.071653; } leakage_power () { when : "A1 & !A2 & !B1 & B2 & C1 & !C2"; value : 65.692514; } leakage_power () { when : "A1 & !A2 & !B1 & B2 & C1 & C2"; value : 48.565767; } leakage_power () { when : "A1 & !A2 & B1 & !B2 & !C1 & !C2"; value : 37.221199; } leakage_power () { when : "A1 & !A2 & B1 & !B2 & !C1 & C2"; value : 65.692514; } leakage_power () { when : "A1 & !A2 & B1 & !B2 & C1 & !C2"; value : 46.305392; } leakage_power () { when : "A1 & !A2 & B1 & !B2 & C1 & C2"; value : 48.560817; } leakage_power () { when : "A1 & !A2 & B1 & B2 & !C1 & !C2"; value : 38.949591; } leakage_power () { when : "A1 & !A2 & B1 & B2 & !C1 & C2"; value : 49.087136; } leakage_power () { when : "A1 & !A2 & B1 & B2 & C1 & !C2"; value : 49.082187; } leakage_power () { when : "A1 & !A2 & B1 & B2 & C1 & C2"; value : 53.064758; } leakage_power () { when : "A1 & A2 & !B1 & !B2 & !C1 & !C2"; value : 34.612205; } leakage_power () { when : "A1 & A2 & !B1 & !B2 & !C1 & C2"; value : 44.744240; } leakage_power () { when : "A1 & A2 & !B1 & !B2 & C1 & !C2"; value : 44.740345; } leakage_power () { when : "A1 & A2 & !B1 & !B2 & C1 & C2"; value : 43.036045; } leakage_power () { when : "A1 & A2 & !B1 & B2 & !C1 & !C2"; value : 44.744335; } leakage_power () { when : "A1 & A2 & !B1 & B2 & !C1 & C2"; value : 54.876370; } leakage_power () { when : "A1 & A2 & !B1 & B2 & C1 & !C2"; value : 54.872475; } leakage_power () { when : "A1 & A2 & !B1 & B2 & C1 & C2"; value : 53.221242; } leakage_power () { when : "A1 & A2 & B1 & !B2 & !C1 & !C2"; value : 44.740440; } leakage_power () { when : "A1 & A2 & B1 & !B2 & !C1 & C2"; value : 54.872475; } leakage_power () { when : "A1 & A2 & B1 & !B2 & C1 & !C2"; value : 54.866680; } leakage_power () { when : "A1 & A2 & B1 & !B2 & C1 & C2"; value : 53.219057; } leakage_power () { when : "A1 & A2 & B1 & B2 & !C1 & !C2"; value : 43.082937; } leakage_power () { when : "A1 & A2 & B1 & B2 & !C1 & C2"; value : 53.222885; } leakage_power () { when : "A1 & A2 & B1 & B2 & C1 & !C2"; value : 53.220795; } leakage_power () { when : "A1 & A2 & B1 & B2 & C1 & C2"; value : 62.415741; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.062979; fall_capacitance : 2.626833; rise_capacitance : 3.062979; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.081955; fall_capacitance : 2.585122; rise_capacitance : 3.081955; } pin (B1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 2.953198; fall_capacitance : 2.725766; rise_capacitance : 2.953198; } pin (B2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.306879; fall_capacitance : 2.979381; rise_capacitance : 3.306879; } pin (C1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 2.912284; fall_capacitance : 2.819519; rise_capacitance : 2.912284; } pin (C2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.220392; fall_capacitance : 3.000466; rise_capacitance : 3.220392; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 25.578210; function : "!(((A1 & A2) | (B1 & B2)) | (C1 & C2))"; timing () { related_pin : "A1"; when : "A2 & !B1 & !B2 & !C1 & !C2"; sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.019339,0.020393,0.022327,0.026180,0.033857,0.049161,0.079712", \ "0.023825,0.024898,0.026869,0.030777,0.038512,0.053879,0.084483", \ "0.038457,0.039934,0.042514,0.047240,0.055435,0.070748,0.101332", \ "0.051881,0.054266,0.058459,0.066133,0.079336,0.100761,0.134063", \ "0.059680,0.063154,0.069070,0.080186,0.099243,0.129914,0.176767", \ "0.060304,0.064916,0.072879,0.087520,0.112944,0.153938,0.216200", \ "0.052697,0.058494,0.068571,0.086914,0.119044,0.171055,0.250114"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.062925,0.067321,0.075437,0.091578,0.123637,0.187217,0.313706", \ "0.064549,0.069023,0.077293,0.093733,0.126308,0.190560,0.317657", \ "0.079171,0.083360,0.091222,0.107168,0.139398,0.203772,0.331407", \ "0.114420,0.118817,0.126572,0.140918,0.171219,0.234137,0.360814", \ "0.156259,0.162060,0.172261,0.191499,0.225626,0.286167,0.410537", \ "0.202042,0.209225,0.221920,0.245602,0.288207,0.360725,0.483879", \ "0.252475,0.260987,0.276060,0.304275,0.355008,0.441791,0.583171"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.011862,0.012752,0.014419,0.017750,0.024415,0.037747,0.064403", \ "0.011857,0.012753,0.014415,0.017751,0.024416,0.037754,0.064410", \ "0.017117,0.017737,0.018860,0.020954,0.025798,0.037765,0.064405", \ "0.030016,0.030874,0.032376,0.035164,0.040214,0.049100,0.067700", \ "0.047287,0.048481,0.050697,0.054436,0.060987,0.072036,0.090342", \ "0.068616,0.070198,0.073109,0.078253,0.086667,0.100568,0.122697", \ "0.094007,0.096040,0.099673,0.106327,0.117009,0.134284,0.161103"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.053818,0.057991,0.065631,0.080492,0.109777,0.167415,0.282002", \ "0.053263,0.057510,0.065255,0.080300,0.109705,0.167394,0.282011", \ "0.051206,0.055639,0.063730,0.079305,0.109369,0.167374,0.281977", \ "0.058367,0.061193,0.066876,0.079469,0.107441,0.166979,0.281971", \ "0.078894,0.081959,0.087696,0.098332,0.118439,0.167634,0.281773", \ "0.101674,0.105386,0.112187,0.124749,0.147241,0.187787,0.283842", \ "0.127151,0.131507,0.139383,0.154149,0.180290,0.225803,0.307739"); } } timing () { related_pin : "A1"; when : "A2 & !B1 & !B2 & !C1 & C2"; sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.019330,0.020384,0.022318,0.026168,0.033840,0.049137,0.079676", \ "0.023820,0.024893,0.026862,0.030765,0.038499,0.053860,0.084446", \ "0.038520,0.039986,0.042570,0.047282,0.055466,0.070770,0.101337", \ "0.052110,0.054508,0.058682,0.066341,0.079498,0.100878,0.134138", \ "0.059974,0.063438,0.069378,0.080474,0.099499,0.130136,0.176911", \ "0.060081,0.064730,0.072739,0.087443,0.112943,0.154051,0.216345", \ "0.051224,0.057110,0.067331,0.085912,0.118358,0.170718,0.250039"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.069577,0.075054,0.085280,0.105859,0.147232,0.229629,0.393403", \ "0.070548,0.076067,0.086405,0.107307,0.149312,0.232574,0.397166", \ "0.084843,0.089914,0.099603,0.119670,0.161066,0.244471,0.409847", \ "0.122047,0.126903,0.135153,0.152902,0.191708,0.273223,0.437450", \ "0.168564,0.175000,0.186388,0.207872,0.246631,0.323183,0.484811", \ "0.219785,0.227754,0.241883,0.268346,0.316226,0.398988,0.555031", \ "0.276209,0.285628,0.302342,0.333755,0.390593,0.488736,0.651650"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.011860,0.012752,0.014419,0.017747,0.024416,0.037752,0.064407", \ "0.011854,0.012752,0.014415,0.017749,0.024415,0.037749,0.064407", \ "0.017086,0.017712,0.018834,0.020935,0.025790,0.037758,0.064403", \ "0.029879,0.030750,0.032250,0.035056,0.040111,0.049032,0.067675", \ "0.047046,0.048225,0.050468,0.054253,0.060810,0.071890,0.090259", \ "0.068459,0.070055,0.072912,0.078113,0.086533,0.100438,0.122591", \ "0.094114,0.096163,0.099802,0.106464,0.117132,0.134362,0.161106"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.067533,0.073290,0.083725,0.104006,0.142816,0.217413,0.364063", \ "0.066275,0.072158,0.082813,0.103429,0.142592,0.217359,0.364067", \ "0.062903,0.068939,0.079935,0.101383,0.141642,0.217225,0.364070", \ "0.066766,0.071034,0.079484,0.097911,0.138026,0.216531,0.364051", \ "0.087810,0.091619,0.098756,0.111637,0.141937,0.213006,0.363853", \ "0.111659,0.116043,0.124084,0.139187,0.167183,0.223763,0.361272", \ "0.138164,0.143201,0.152339,0.169642,0.200805,0.256946,0.372768"); } } timing () { related_pin : "A1"; when : "A2 & !B1 & !B2 & C1 & !C2"; sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.019730,0.020784,0.022717,0.026572,0.034252,0.049567,0.080145", \ "0.024220,0.025296,0.027265,0.031170,0.038912,0.054288,0.084916", \ "0.039079,0.040534,0.043085,0.047751,0.055878,0.071197,0.101806", \ "0.053132,0.055470,0.059598,0.067156,0.080185,0.101442,0.134605", \ "0.061596,0.065022,0.070850,0.081730,0.100562,0.130997,0.177602", \ "0.062467,0.067035,0.074938,0.089406,0.114597,0.155360,0.217364", \ "0.054583,0.060333,0.070473,0.088745,0.120709,0.172607,0.251494"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.095569,0.101289,0.111800,0.132782,0.174468,0.257109,0.421144", \ "0.097136,0.102924,0.113569,0.134819,0.176994,0.260303,0.424993", \ "0.109749,0.115321,0.125752,0.146746,0.188791,0.272375,0.437826", \ "0.143702,0.148692,0.158086,0.177810,0.218455,0.300771,0.465321", \ "0.197428,0.203243,0.213625,0.233408,0.270596,0.350000,0.512331", \ "0.255711,0.262914,0.275768,0.300092,0.344877,0.423813,0.582103", \ "0.318798,0.327334,0.342587,0.371573,0.424772,0.518274,0.677794"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.013394,0.014359,0.016147,0.019713,0.026827,0.040904,0.068309", \ "0.013381,0.014368,0.016157,0.019719,0.026822,0.040904,0.068312", \ "0.018928,0.019556,0.020670,0.022802,0.028142,0.040903,0.068310", \ "0.034560,0.035282,0.036564,0.038981,0.043673,0.052339,0.071517", \ "0.056816,0.057591,0.059125,0.061930,0.067312,0.077157,0.094500", \ "0.083505,0.084449,0.086292,0.089787,0.096211,0.107983,0.128210", \ "0.114624,0.115790,0.117994,0.122372,0.130222,0.144377,0.168372"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.083812,0.089366,0.099585,0.119177,0.157445,0.232064,0.379279", \ "0.083206,0.088836,0.099153,0.118921,0.157355,0.232070,0.379285", \ "0.080946,0.086760,0.097436,0.117804,0.156899,0.231976,0.379269", \ "0.078199,0.083430,0.093376,0.113589,0.154835,0.231606,0.379275", \ "0.094113,0.097663,0.104467,0.119793,0.153981,0.228555,0.379139", \ "0.117766,0.122169,0.130289,0.145486,0.173354,0.234735,0.376969", \ "0.144680,0.149730,0.158907,0.176171,0.207376,0.263435,0.384768"); } } timing () { related_pin : "A1"; when : "A2 & !B1 & B2 & !C1 & !C2"; sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.019340,0.020393,0.022324,0.026178,0.033849,0.049143,0.079687", \ "0.023864,0.024939,0.026908,0.030810,0.038544,0.053905,0.084494", \ "0.038629,0.040090,0.042675,0.047375,0.055550,0.070857,0.101425", \ "0.052171,0.054577,0.058748,0.066410,0.079577,0.100949,0.134199", \ "0.059862,0.063338,0.069283,0.080415,0.099468,0.130150,0.176942", \ "0.059817,0.064481,0.072525,0.087294,0.112851,0.154001,0.216331", \ "0.050879,0.056785,0.067037,0.085648,0.118160,0.170610,0.249988"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.079333,0.085055,0.095561,0.116389,0.157611,0.239379,0.401893", \ "0.079735,0.085569,0.096296,0.117561,0.159501,0.242124,0.405457", \ "0.092341,0.097867,0.108203,0.129007,0.170645,0.253487,0.417612", \ "0.127521,0.132250,0.141229,0.160400,0.200401,0.281680,0.444721", \ "0.173587,0.180171,0.191779,0.213804,0.253034,0.331184,0.491833", \ "0.224114,0.232213,0.246566,0.273385,0.321906,0.405534,0.561966", \ "0.279855,0.289386,0.306297,0.338082,0.395482,0.494461,0.658314"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.011864,0.012754,0.014419,0.017749,0.024419,0.037752,0.064408", \ "0.011851,0.012755,0.014414,0.017750,0.024415,0.037753,0.064408", \ "0.017033,0.017660,0.018784,0.020887,0.025769,0.037752,0.064403", \ "0.029843,0.030687,0.032208,0.035009,0.040068,0.048996,0.067657", \ "0.047083,0.048273,0.050514,0.054267,0.060815,0.071880,0.090239", \ "0.068680,0.070250,0.073122,0.078270,0.086623,0.100498,0.122602", \ "0.094518,0.096547,0.100155,0.106745,0.117350,0.134489,0.161158"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.070215,0.075455,0.085087,0.103793,0.140730,0.213834,0.359336", \ "0.069674,0.075013,0.084788,0.103681,0.140685,0.213828,0.359339", \ "0.067527,0.073164,0.083370,0.102912,0.140489,0.213832,0.359325", \ "0.069604,0.074015,0.082490,0.100449,0.139002,0.213668,0.359332", \ "0.089251,0.093120,0.100321,0.113169,0.142959,0.211922,0.359326", \ "0.112376,0.116799,0.124925,0.140111,0.168040,0.223520,0.358156", \ "0.138432,0.143515,0.152700,0.170161,0.201324,0.257146,0.370600"); } } timing () { related_pin : "A1"; when : "A2 & !B1 & B2 & !C1 & C2"; sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.019329,0.020382,0.022313,0.026162,0.033831,0.049119,0.079637", \ "0.023856,0.024931,0.026899,0.030798,0.038529,0.053880,0.084453", \ "0.038652,0.040118,0.042706,0.047394,0.055559,0.070856,0.101407", \ "0.052354,0.054750,0.058921,0.066549,0.079688,0.101022,0.134235", \ "0.060125,0.063604,0.069548,0.080623,0.099684,0.130295,0.177040", \ "0.059706,0.064395,0.072479,0.087233,0.112885,0.154096,0.216428", \ "0.049741,0.055715,0.066079,0.084892,0.117648,0.170357,0.249891"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.087224,0.094160,0.106973,0.132524,0.183355,0.284151,0.484367", \ "0.086824,0.093859,0.106893,0.132992,0.184684,0.286546,0.487749", \ "0.098823,0.105402,0.117870,0.143211,0.194517,0.296664,0.498922", \ "0.134222,0.139786,0.150631,0.173928,0.223121,0.323413,0.524388", \ "0.185018,0.192226,0.205053,0.229394,0.274323,0.371296,0.569455", \ "0.240455,0.249310,0.265032,0.294578,0.348322,0.442983,0.637197", \ "0.301561,0.311960,0.330444,0.365306,0.428575,0.538706,0.730361"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.011861,0.012753,0.014415,0.017750,0.024415,0.037748,0.064399", \ "0.011851,0.012752,0.014413,0.017748,0.024415,0.037747,0.064403", \ "0.017022,0.017649,0.018772,0.020888,0.025761,0.037756,0.064404", \ "0.029739,0.030593,0.032115,0.034939,0.040006,0.048959,0.067640", \ "0.046900,0.048101,0.050316,0.054113,0.060677,0.071782,0.090191", \ "0.068475,0.070064,0.072961,0.078115,0.086503,0.100375,0.122535", \ "0.094507,0.096547,0.100144,0.106758,0.117407,0.134517,0.161166"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.085638,0.092396,0.104650,0.128455,0.174321,0.263978,0.441624", \ "0.084459,0.091400,0.103917,0.128112,0.174246,0.263948,0.441624", \ "0.081121,0.088383,0.101483,0.126669,0.173777,0.263927,0.441625", \ "0.080347,0.086358,0.097758,0.121795,0.171584,0.263670,0.441626", \ "0.098756,0.103549,0.111373,0.129275,0.170233,0.261331,0.441606", \ "0.122270,0.127427,0.136925,0.155046,0.188603,0.264546,0.440833", \ "0.149099,0.154870,0.165356,0.185438,0.222109,0.289654,0.442882"); } } timing () { related_pin : "A1"; when : "A2 & !B1 & B2 & C1 & !C2"; sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.019729,0.020781,0.022713,0.026566,0.034242,0.049548,0.080109", \ "0.024259,0.025335,0.027303,0.031204,0.038941,0.054310,0.084919", \ "0.039227,0.040671,0.043219,0.047866,0.055970,0.071286,0.101878", \ "0.053352,0.055737,0.059837,0.067371,0.080378,0.101585,0.134705", \ "0.061748,0.065159,0.071027,0.081925,0.100752,0.131166,0.177729", \ "0.062111,0.066717,0.074733,0.089280,0.114544,0.155404,0.217444", \ "0.053150,0.058985,0.069236,0.087758,0.120020,0.172241,0.251362"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.120230,0.127285,0.140242,0.166026,0.216990,0.318073,0.518529", \ "0.120776,0.127939,0.141077,0.167265,0.218825,0.320711,0.522020", \ "0.131249,0.138266,0.151236,0.177241,0.228809,0.331074,0.533349", \ "0.162466,0.168993,0.181089,0.206138,0.256505,0.357434,0.558753", \ "0.217741,0.224320,0.236122,0.258348,0.305804,0.404515,0.603413", \ "0.280739,0.288778,0.303156,0.330482,0.380987,0.474940,0.670631", \ "0.348990,0.358451,0.375379,0.407612,0.467055,0.572259,0.763163"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.013396,0.014365,0.016145,0.019723,0.026826,0.040901,0.068308", \ "0.013380,0.014371,0.016146,0.019721,0.026821,0.040903,0.068309", \ "0.018849,0.019488,0.020593,0.022756,0.028117,0.040898,0.068309", \ "0.034406,0.035089,0.036395,0.038848,0.043582,0.052261,0.071484", \ "0.056651,0.057428,0.058952,0.061781,0.067156,0.077028,0.094432", \ "0.083551,0.084491,0.086305,0.089753,0.096191,0.107939,0.128140", \ "0.115111,0.116262,0.118434,0.122764,0.130515,0.144540,0.168428"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.104548,0.111053,0.123049,0.146382,0.192028,0.282129,0.460479", \ "0.104113,0.110698,0.122781,0.146268,0.191989,0.282078,0.460479", \ "0.102418,0.109228,0.121664,0.145641,0.191761,0.282048,0.460478", \ "0.097720,0.104477,0.117159,0.142880,0.190889,0.281947,0.460482", \ "0.106743,0.111842,0.121808,0.142911,0.187188,0.280890,0.460473", \ "0.130662,0.135902,0.145579,0.164014,0.199292,0.280379,0.460161", \ "0.157734,0.163544,0.174149,0.194201,0.231173,0.300593,0.459978"); } } timing () { related_pin : "A1"; when : "A2 & B1 & !B2 & !C1 & !C2"; sdf_cond : "(A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.019736,0.020790,0.022726,0.026578,0.034260,0.049573,0.080154", \ "0.024267,0.025343,0.027311,0.031216,0.038957,0.054334,0.084963", \ "0.039194,0.040644,0.043188,0.047847,0.055960,0.071286,0.101893", \ "0.053186,0.055550,0.059669,0.067232,0.080261,0.101512,0.134670", \ "0.061508,0.064904,0.070780,0.081687,0.100541,0.131010,0.177631", \ "0.062227,0.066798,0.074784,0.089199,0.114487,0.155305,0.217354", \ "0.054265,0.060019,0.070197,0.088529,0.120537,0.172497,0.251443"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.106084,0.111823,0.122378,0.143267,0.184644,0.266646,0.429416", \ "0.107348,0.113184,0.123914,0.145108,0.186966,0.269626,0.433095", \ "0.118899,0.124643,0.135263,0.156354,0.198204,0.281179,0.445374", \ "0.150693,0.156052,0.165915,0.186346,0.227205,0.309016,0.472416", \ "0.203152,0.209077,0.219626,0.239842,0.278052,0.357838,0.519143", \ "0.260641,0.267948,0.280989,0.305690,0.350933,0.430705,0.588850", \ "0.322979,0.331609,0.347024,0.376316,0.429979,0.524216,0.684536"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.013392,0.014371,0.016157,0.019721,0.026834,0.040910,0.068311", \ "0.013386,0.014374,0.016156,0.019726,0.026829,0.040911,0.068312", \ "0.018872,0.019506,0.020613,0.022768,0.028128,0.040906,0.068315", \ "0.034530,0.035230,0.036518,0.038938,0.043632,0.052320,0.071501", \ "0.056902,0.057660,0.059201,0.061948,0.067324,0.077146,0.094486", \ "0.083760,0.084684,0.086498,0.090011,0.096358,0.108038,0.128216", \ "0.115073,0.116206,0.118374,0.122723,0.130464,0.144502,0.168425"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.084210,0.089383,0.098995,0.117675,0.154703,0.228351,0.374463", \ "0.084036,0.089242,0.098911,0.117582,0.154701,0.228331,0.374452", \ "0.083171,0.088513,0.098387,0.117309,0.154638,0.228301,0.374461", \ "0.080902,0.085986,0.095666,0.115410,0.154053,0.228219,0.374445", \ "0.095269,0.098737,0.105821,0.121173,0.154224,0.227088,0.374433", \ "0.118514,0.122945,0.131048,0.146315,0.173908,0.233897,0.373689", \ "0.145010,0.150091,0.159314,0.176678,0.207836,0.263303,0.382184"); } } timing () { related_pin : "A1"; when : "A2 & B1 & !B2 & !C1 & C2"; sdf_cond : "(A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.019729,0.020781,0.022713,0.026566,0.034242,0.049548,0.080109", \ "0.024259,0.025335,0.027303,0.031204,0.038941,0.054310,0.084919", \ "0.039227,0.040671,0.043219,0.047866,0.055970,0.071286,0.101878", \ "0.053352,0.055737,0.059837,0.067371,0.080378,0.101585,0.134705", \ "0.061748,0.065159,0.071027,0.081925,0.100752,0.131166,0.177729", \ "0.062111,0.066717,0.074733,0.089280,0.114544,0.155404,0.217444", \ "0.053150,0.058985,0.069236,0.087758,0.120020,0.172241,0.251362"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.120230,0.127285,0.140242,0.166026,0.216990,0.318073,0.518529", \ "0.120776,0.127939,0.141077,0.167265,0.218825,0.320711,0.522020", \ "0.131249,0.138266,0.151236,0.177241,0.228809,0.331074,0.533349", \ "0.162466,0.168993,0.181089,0.206138,0.256505,0.357434,0.558753", \ "0.217741,0.224320,0.236122,0.258348,0.305804,0.404515,0.603413", \ "0.280739,0.288778,0.303156,0.330482,0.380987,0.474940,0.670631", \ "0.348990,0.358451,0.375379,0.407612,0.467055,0.572259,0.763163"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.013396,0.014365,0.016145,0.019723,0.026826,0.040901,0.068308", \ "0.013380,0.014371,0.016146,0.019721,0.026821,0.040903,0.068309", \ "0.018849,0.019488,0.020593,0.022756,0.028117,0.040898,0.068309", \ "0.034406,0.035089,0.036395,0.038848,0.043582,0.052261,0.071484", \ "0.056651,0.057428,0.058952,0.061781,0.067156,0.077028,0.094432", \ "0.083551,0.084491,0.086305,0.089753,0.096191,0.107939,0.128140", \ "0.115111,0.116262,0.118434,0.122764,0.130515,0.144540,0.168428"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.104548,0.111053,0.123049,0.146382,0.192028,0.282129,0.460479", \ "0.104113,0.110698,0.122781,0.146268,0.191989,0.282078,0.460479", \ "0.102418,0.109228,0.121664,0.145641,0.191761,0.282048,0.460478", \ "0.097720,0.104477,0.117159,0.142880,0.190889,0.281947,0.460482", \ "0.106743,0.111842,0.121808,0.142911,0.187188,0.280890,0.460473", \ "0.130662,0.135902,0.145579,0.164014,0.199292,0.280379,0.460161", \ "0.157734,0.163544,0.174149,0.194201,0.231173,0.300593,0.459978"); } } timing () { related_pin : "A1"; when : "A2 & B1 & !B2 & C1 & !C2"; sdf_cond : "(A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.020126,0.021179,0.023112,0.026970,0.034654,0.049980,0.080580", \ "0.024668,0.025739,0.027706,0.031611,0.039354,0.054742,0.085392", \ "0.039795,0.041220,0.043729,0.048333,0.056385,0.071719,0.102352", \ "0.054366,0.056693,0.060707,0.068160,0.081051,0.102146,0.135179", \ "0.063371,0.066759,0.072430,0.083144,0.101814,0.132029,0.178417", \ "0.064538,0.069036,0.076822,0.091107,0.116147,0.156686,0.218446", \ "0.056498,0.062262,0.072315,0.090469,0.122333,0.174098,0.252797"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.153759,0.160844,0.173823,0.199657,0.250768,0.352012,0.552689", \ "0.155073,0.162236,0.175348,0.201477,0.253037,0.354909,0.556263", \ "0.165116,0.172243,0.185335,0.211466,0.263184,0.365531,0.567789", \ "0.194323,0.201217,0.213761,0.239316,0.290216,0.391558,0.593117", \ "0.247737,0.253706,0.265165,0.289024,0.338348,0.437959,0.637438", \ "0.317676,0.325116,0.338460,0.364089,0.412009,0.507548,0.704153", \ "0.392584,0.401347,0.417093,0.447315,0.503563,0.604647,0.796108"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.015944,0.017015,0.018981,0.022846,0.030441,0.044967,0.072449", \ "0.015945,0.017024,0.018974,0.022850,0.030437,0.044968,0.072452", \ "0.021918,0.022415,0.023319,0.025778,0.031670,0.044957,0.072453", \ "0.041471,0.041886,0.042731,0.044471,0.048313,0.056200,0.075561", \ "0.066606,0.067046,0.068087,0.069983,0.074120,0.082568,0.098723", \ "0.096925,0.097428,0.098552,0.100900,0.105605,0.115307,0.133759", \ "0.132725,0.133298,0.134513,0.137275,0.142803,0.154213,0.175585"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.122176,0.128617,0.140622,0.163923,0.209733,0.300297,0.479356", \ "0.122029,0.128493,0.140535,0.163966,0.209815,0.300282,0.479363", \ "0.121320,0.127901,0.140051,0.163629,0.209616,0.300279,0.479373", \ "0.118244,0.125194,0.137911,0.162435,0.209225,0.300212,0.479375", \ "0.119092,0.125055,0.136306,0.159037,0.205719,0.299710,0.479371", \ "0.140269,0.145550,0.155249,0.173045,0.212489,0.297381,0.479242", \ "0.167525,0.173274,0.183844,0.203769,0.240787,0.313019,0.477820"); } } timing () { related_pin : "A2"; when : "A1 & !B1 & !B2 & !C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.022536,0.023586,0.025513,0.029354,0.037018,0.052314,0.082867", \ "0.027692,0.028759,0.030717,0.034609,0.042329,0.057677,0.088273", \ "0.041790,0.043063,0.045337,0.049677,0.057746,0.073243,0.103974", \ "0.058686,0.060581,0.063879,0.070001,0.080893,0.099808,0.132664", \ "0.072594,0.075470,0.080451,0.089461,0.105115,0.130457,0.171108", \ "0.080312,0.084438,0.091378,0.104078,0.125998,0.160584,0.212745", \ "0.080380,0.085805,0.095079,0.111616,0.140646,0.186376,0.253726"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.083516,0.087940,0.096054,0.112202,0.144285,0.207984,0.334710", \ "0.085735,0.090205,0.098423,0.114747,0.147106,0.211125,0.338155", \ "0.100095,0.104480,0.112649,0.128911,0.161232,0.225409,0.352768", \ "0.134698,0.138685,0.146144,0.161614,0.193060,0.256364,0.383033", \ "0.184039,0.189149,0.198311,0.215592,0.247329,0.308194,0.433090", \ "0.237052,0.243454,0.254852,0.276304,0.315633,0.384101,0.506408", \ "0.294458,0.302082,0.315675,0.341393,0.388402,0.470333,0.606376"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.011858,0.012755,0.014416,0.017749,0.024417,0.037744,0.064409", \ "0.011857,0.012754,0.014419,0.017752,0.024416,0.037752,0.064406", \ "0.014008,0.014798,0.016256,0.019052,0.024989,0.037750,0.064406", \ "0.021221,0.022002,0.023443,0.026285,0.031928,0.043179,0.066147", \ "0.033737,0.034637,0.036215,0.039239,0.044788,0.055586,0.077382", \ "0.050678,0.051785,0.053754,0.057367,0.063587,0.074657,0.095700", \ "0.071420,0.072810,0.075255,0.079852,0.087355,0.099874,0.121354"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.065962,0.070063,0.077604,0.092418,0.121791,0.179829,0.295059", \ "0.065720,0.069875,0.077431,0.092318,0.121751,0.179833,0.295053", \ "0.064687,0.068960,0.076721,0.091898,0.121582,0.179784,0.295059", \ "0.065245,0.068925,0.075870,0.090268,0.120440,0.179617,0.295066", \ "0.083157,0.086303,0.091938,0.102493,0.125993,0.178860,0.294917", \ "0.105882,0.109618,0.116351,0.129021,0.151775,0.194517,0.295407", \ "0.130867,0.135336,0.143299,0.158405,0.184724,0.230535,0.315759"); } } timing () { related_pin : "A2"; when : "A1 & !B1 & !B2 & !C1 & C2"; sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.022528,0.023578,0.025504,0.029346,0.037005,0.052292,0.082831", \ "0.027686,0.028753,0.030710,0.034599,0.042315,0.057658,0.088241", \ "0.041833,0.043107,0.045381,0.049715,0.057776,0.073263,0.103979", \ "0.058879,0.060775,0.064075,0.070167,0.081040,0.099917,0.132744", \ "0.072931,0.075800,0.080769,0.089808,0.105385,0.130681,0.171271", \ "0.080626,0.084717,0.091679,0.104417,0.126319,0.160867,0.212973", \ "0.080205,0.085605,0.094945,0.111512,0.140741,0.186523,0.253917"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.095899,0.101546,0.111957,0.132773,0.174278,0.256782,0.420765", \ "0.097444,0.103145,0.113667,0.134709,0.176553,0.259489,0.423864", \ "0.111110,0.116652,0.127040,0.147947,0.189693,0.272815,0.437662", \ "0.145473,0.150509,0.159974,0.179708,0.220266,0.302356,0.466406", \ "0.199774,0.205566,0.215828,0.235488,0.272735,0.352206,0.514281", \ "0.259056,0.266205,0.278960,0.303084,0.347585,0.426243,0.584523", \ "0.323166,0.331649,0.346797,0.375601,0.428435,0.521442,0.680598"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.011856,0.012754,0.014417,0.017750,0.024415,0.037746,0.064408", \ "0.011858,0.012753,0.014417,0.017747,0.024417,0.037751,0.064407", \ "0.013998,0.014787,0.016243,0.019044,0.024985,0.037749,0.064403", \ "0.021142,0.021921,0.023368,0.026233,0.031880,0.043152,0.066135", \ "0.033542,0.034445,0.036028,0.039061,0.044643,0.055506,0.077328", \ "0.050423,0.051536,0.053523,0.057133,0.063371,0.074480,0.095592", \ "0.071211,0.072611,0.075061,0.079688,0.087182,0.099724,0.121227"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.085188,0.090760,0.100895,0.120648,0.158992,0.233789,0.381178", \ "0.084573,0.090197,0.100443,0.120380,0.158912,0.233776,0.381154", \ "0.082519,0.088319,0.098892,0.119372,0.158491,0.233705,0.381178", \ "0.079740,0.085018,0.094968,0.115339,0.156527,0.233374,0.381180", \ "0.094634,0.098214,0.105224,0.121004,0.155503,0.230430,0.381043", \ "0.118027,0.122470,0.130527,0.145931,0.174178,0.236351,0.378987", \ "0.144181,0.149340,0.158575,0.176163,0.207663,0.264174,0.386470"); } } timing () { related_pin : "A2"; when : "A1 & !B1 & !B2 & C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.022927,0.023976,0.025902,0.029747,0.037416,0.052725,0.083300", \ "0.028086,0.029158,0.031114,0.035005,0.042728,0.058090,0.088712", \ "0.042320,0.043585,0.045839,0.050157,0.058193,0.073695,0.104447", \ "0.059627,0.061490,0.064743,0.070790,0.081594,0.100428,0.133220", \ "0.074151,0.076967,0.081901,0.090752,0.106210,0.131368,0.171866", \ "0.082522,0.086535,0.093377,0.105901,0.127553,0.161839,0.213735", \ "0.082858,0.088192,0.097388,0.113730,0.142546,0.187932,0.254972"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.122679,0.128408,0.138937,0.159949,0.201664,0.284369,0.448578", \ "0.124571,0.130351,0.140967,0.162155,0.204111,0.287166,0.451705", \ "0.137768,0.143502,0.154100,0.175298,0.217349,0.300594,0.465571", \ "0.169952,0.175428,0.185517,0.206040,0.247400,0.329898,0.494252", \ "0.225917,0.231265,0.240902,0.259226,0.298366,0.379204,0.541819", \ "0.291564,0.298150,0.309982,0.332588,0.374656,0.451897,0.611697", \ "0.361885,0.369716,0.383766,0.410632,0.460584,0.549724,0.707096"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.013398,0.014360,0.016150,0.019720,0.026825,0.040902,0.068313", \ "0.013383,0.014368,0.016158,0.019717,0.026828,0.040904,0.068310", \ "0.015704,0.016532,0.017984,0.020977,0.027371,0.040906,0.068309", \ "0.023966,0.024733,0.026180,0.029071,0.034869,0.046449,0.070021", \ "0.039248,0.039932,0.041197,0.043851,0.049063,0.059750,0.081470", \ "0.060393,0.061076,0.062403,0.065055,0.070074,0.080077,0.100417", \ "0.085575,0.086365,0.087878,0.090996,0.096561,0.107030,0.126911"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.100275,0.105718,0.115745,0.135290,0.173516,0.248491,0.396456", \ "0.099974,0.105460,0.115536,0.135142,0.173450,0.248486,0.396454", \ "0.098803,0.104424,0.114693,0.134645,0.173269,0.248439,0.396444", \ "0.094717,0.100413,0.111280,0.132256,0.172218,0.248286,0.396458", \ "0.101496,0.105963,0.114508,0.132327,0.169037,0.246693,0.396407", \ "0.125244,0.129652,0.137703,0.153133,0.182394,0.248382,0.395263", \ "0.152256,0.157288,0.166347,0.183679,0.214928,0.272054,0.399197"); } } timing () { related_pin : "A2"; when : "A1 & !B1 & B2 & !C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.022535,0.023585,0.025511,0.029353,0.037014,0.052301,0.082840", \ "0.027732,0.028799,0.030757,0.034642,0.042362,0.057704,0.088291", \ "0.041931,0.043206,0.045476,0.049807,0.057863,0.073355,0.104070", \ "0.058963,0.060850,0.064148,0.070249,0.081119,0.100003,0.132814", \ "0.072894,0.075756,0.080811,0.089821,0.105434,0.130737,0.171306", \ "0.080525,0.084621,0.091613,0.104321,0.126265,0.160856,0.212991", \ "0.079884,0.085357,0.094737,0.111378,0.140577,0.186471,0.253892"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.106409,0.112096,0.122509,0.143290,0.184461,0.266318,0.429055", \ "0.107652,0.113425,0.123995,0.145013,0.186558,0.268821,0.431975", \ "0.120337,0.126034,0.136575,0.157555,0.199120,0.281619,0.445249", \ "0.152641,0.158017,0.167978,0.188249,0.229048,0.310617,0.473480", \ "0.205566,0.211434,0.221943,0.241970,0.280243,0.360050,0.521071", \ "0.264044,0.271288,0.284217,0.308711,0.353728,0.433215,0.591273", \ "0.327386,0.335957,0.351266,0.380361,0.433664,0.527355,0.687329"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.011858,0.012755,0.014419,0.017749,0.024416,0.037742,0.064406", \ "0.011860,0.012754,0.014413,0.017753,0.024416,0.037751,0.064406", \ "0.013977,0.014768,0.016224,0.019026,0.024976,0.037748,0.064403", \ "0.021108,0.021893,0.023334,0.026198,0.031855,0.043129,0.066124", \ "0.033536,0.034451,0.036001,0.039032,0.044627,0.055478,0.077319", \ "0.050484,0.051591,0.053559,0.057171,0.063406,0.074493,0.095590", \ "0.071398,0.072786,0.075234,0.079815,0.087286,0.099767,0.121237"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.085619,0.090811,0.100360,0.119140,0.156320,0.230028,0.376346", \ "0.085449,0.090658,0.100256,0.119090,0.156322,0.230033,0.376339", \ "0.084652,0.089992,0.099777,0.118848,0.156220,0.229999,0.376311", \ "0.082400,0.087526,0.097166,0.117000,0.155660,0.229909,0.376351", \ "0.095726,0.099412,0.106654,0.122430,0.155755,0.228869,0.376329", \ "0.118805,0.123266,0.131329,0.146733,0.174666,0.235348,0.375585", \ "0.144572,0.149744,0.158998,0.176705,0.208145,0.264021,0.383835"); } } timing () { related_pin : "A2"; when : "A1 & !B1 & B2 & !C1 & C2"; sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.022525,0.023574,0.025497,0.029340,0.036994,0.052274,0.082794", \ "0.027723,0.028789,0.030748,0.034629,0.042344,0.057680,0.088245", \ "0.041959,0.043230,0.045500,0.049824,0.057875,0.073353,0.104052", \ "0.059112,0.061000,0.064289,0.070375,0.081224,0.100068,0.132853", \ "0.073264,0.076098,0.081063,0.090093,0.105644,0.130890,0.171426", \ "0.080821,0.084940,0.091906,0.104639,0.126556,0.161098,0.213144", \ "0.079800,0.085284,0.094677,0.111396,0.140693,0.186599,0.254035"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.120662,0.127636,0.140448,0.166010,0.216767,0.317584,0.517997", \ "0.121181,0.128258,0.141247,0.167121,0.218299,0.319690,0.520574", \ "0.133038,0.140011,0.152912,0.178720,0.229950,0.331627,0.533138", \ "0.164886,0.171437,0.183632,0.208578,0.258811,0.359394,0.560042", \ "0.220429,0.226965,0.238659,0.260972,0.308635,0.407247,0.605753", \ "0.284518,0.292490,0.306746,0.333869,0.384079,0.478092,0.673609", \ "0.353862,0.363259,0.380068,0.412072,0.471141,0.575771,0.766594"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.011863,0.012755,0.014418,0.017750,0.024415,0.037743,0.064402", \ "0.011859,0.012754,0.014415,0.017749,0.024415,0.037750,0.064403", \ "0.013977,0.014764,0.016217,0.019022,0.024974,0.037747,0.064400", \ "0.021053,0.021827,0.023283,0.026147,0.031826,0.043111,0.066118", \ "0.033379,0.034300,0.035880,0.038903,0.044510,0.055399,0.077278", \ "0.050242,0.051363,0.053340,0.056960,0.063209,0.074359,0.095502", \ "0.071172,0.072558,0.075010,0.079608,0.087098,0.099629,0.121150"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.106284,0.112804,0.124733,0.148231,0.193971,0.284179,0.462785", \ "0.105828,0.112440,0.124463,0.148098,0.193931,0.284190,0.462793", \ "0.104308,0.111115,0.123457,0.147554,0.193764,0.284150,0.462799", \ "0.099776,0.106561,0.119321,0.144969,0.192888,0.284034,0.462784", \ "0.107907,0.113194,0.123346,0.144751,0.189232,0.283067,0.462786", \ "0.131208,0.136474,0.146103,0.164606,0.200779,0.282379,0.462495", \ "0.157634,0.163535,0.174138,0.194546,0.231770,0.302052,0.462211"); } } timing () { related_pin : "A2"; when : "A1 & !B1 & B2 & C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.022925,0.023972,0.025897,0.029740,0.037406,0.052707,0.083266", \ "0.028125,0.029194,0.031149,0.035035,0.042756,0.058113,0.088717", \ "0.042443,0.043703,0.045959,0.050265,0.058293,0.073785,0.104521", \ "0.059855,0.061719,0.064964,0.070983,0.081774,0.100579,0.133324", \ "0.074457,0.077268,0.082175,0.091046,0.106466,0.131572,0.172010", \ "0.082697,0.086732,0.093587,0.106155,0.127792,0.162067,0.213913", \ "0.082500,0.087868,0.097118,0.113624,0.142505,0.188006,0.255097"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.153982,0.161006,0.173891,0.199586,0.250491,0.351569,0.552199", \ "0.155031,0.162122,0.175126,0.201015,0.252277,0.353751,0.554799", \ "0.166618,0.173690,0.186706,0.212626,0.264049,0.365897,0.567449", \ "0.196772,0.203648,0.216253,0.241619,0.292376,0.393340,0.594304", \ "0.250141,0.256261,0.267883,0.291824,0.341171,0.440619,0.639707", \ "0.321129,0.328513,0.341794,0.367209,0.414843,0.510668,0.707108", \ "0.397134,0.405838,0.421477,0.451496,0.507405,0.608011,0.799523"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.013394,0.014358,0.016147,0.019722,0.026823,0.040900,0.068309", \ "0.013391,0.014371,0.016151,0.019714,0.026827,0.040903,0.068307", \ "0.015667,0.016508,0.017958,0.020956,0.027361,0.040904,0.068306", \ "0.023840,0.024639,0.026090,0.028995,0.034807,0.046406,0.070003", \ "0.039037,0.039735,0.041030,0.043670,0.048921,0.059654,0.081423", \ "0.060194,0.060875,0.062221,0.064864,0.069890,0.079940,0.100314", \ "0.085541,0.086327,0.087826,0.090968,0.096485,0.106936,0.126832"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.123965,0.130418,0.142308,0.165844,0.211741,0.302404,0.481715", \ "0.123801,0.130288,0.142217,0.165785,0.211703,0.302417,0.481710", \ "0.123161,0.129735,0.141807,0.165543,0.211699,0.302440,0.481717", \ "0.120280,0.127212,0.139816,0.164390,0.211249,0.302324,0.481719", \ "0.120784,0.126803,0.138043,0.161001,0.207860,0.301883,0.481724", \ "0.140992,0.146290,0.155826,0.174241,0.214155,0.299477,0.481599", \ "0.167878,0.173678,0.184166,0.204380,0.241577,0.314722,0.480146"); } } timing () { related_pin : "A2"; when : "A1 & B1 & !B2 & !C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.022934,0.023982,0.025909,0.029754,0.037424,0.052732,0.083309", \ "0.028132,0.029205,0.031159,0.035047,0.042772,0.058138,0.088758", \ "0.042419,0.043678,0.045939,0.050249,0.058280,0.073784,0.104539", \ "0.059704,0.061570,0.064821,0.070872,0.081677,0.100505,0.133289", \ "0.074147,0.076975,0.081882,0.090779,0.106240,0.131415,0.171897", \ "0.082383,0.086410,0.093277,0.105804,0.127505,0.161840,0.213752", \ "0.082562,0.087927,0.097124,0.113567,0.142407,0.187856,0.254948"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.133194,0.138904,0.149372,0.170233,0.211586,0.293650,0.456632", \ "0.134875,0.140649,0.151228,0.172248,0.213850,0.296273,0.459563", \ "0.147374,0.153138,0.163724,0.184806,0.226524,0.309183,0.472927", \ "0.178372,0.183963,0.194202,0.214806,0.255990,0.337932,0.501113", \ "0.232234,0.237674,0.247354,0.266408,0.306286,0.386855,0.548395", \ "0.297020,0.303700,0.315657,0.338491,0.381047,0.459189,0.618235", \ "0.366540,0.374446,0.388628,0.415767,0.466129,0.555806,0.713761"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.013400,0.014364,0.016159,0.019728,0.026830,0.040905,0.068315", \ "0.013389,0.014370,0.016163,0.019716,0.026834,0.040910,0.068315", \ "0.015688,0.016519,0.017967,0.020963,0.027369,0.040912,0.068313", \ "0.023924,0.024709,0.026152,0.029046,0.034844,0.046434,0.070014", \ "0.039247,0.039928,0.041239,0.043844,0.049054,0.059744,0.081463", \ "0.060478,0.061154,0.062485,0.065094,0.070094,0.080084,0.100406", \ "0.085800,0.086573,0.088061,0.091176,0.096674,0.107092,0.126934"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.099181,0.104382,0.114015,0.133048,0.170442,0.244554,0.391518", \ "0.099128,0.104344,0.113997,0.132977,0.170368,0.244588,0.391490", \ "0.098842,0.104104,0.113825,0.132867,0.170360,0.244537,0.391494", \ "0.096726,0.102301,0.112453,0.132093,0.170169,0.244498,0.391491", \ "0.102877,0.107338,0.115757,0.133043,0.168696,0.244081,0.391516", \ "0.125982,0.130388,0.138422,0.153800,0.182643,0.246932,0.391205", \ "0.152653,0.157690,0.166769,0.184071,0.215239,0.271607,0.396261"); } } timing () { related_pin : "A2"; when : "A1 & B1 & !B2 & !C1 & C2"; sdf_cond : "(A1 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.022925,0.023972,0.025897,0.029740,0.037406,0.052707,0.083266", \ "0.028125,0.029194,0.031149,0.035035,0.042756,0.058113,0.088717", \ "0.042443,0.043703,0.045959,0.050265,0.058293,0.073785,0.104521", \ "0.059855,0.061719,0.064964,0.070983,0.081774,0.100579,0.133324", \ "0.074457,0.077268,0.082175,0.091046,0.106466,0.131572,0.172010", \ "0.082697,0.086732,0.093587,0.106155,0.127792,0.162067,0.213913", \ "0.082500,0.087868,0.097118,0.113624,0.142505,0.188006,0.255097"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.153982,0.161006,0.173891,0.199586,0.250491,0.351569,0.552199", \ "0.155031,0.162122,0.175126,0.201015,0.252277,0.353751,0.554799", \ "0.166618,0.173690,0.186706,0.212626,0.264049,0.365897,0.567449", \ "0.196772,0.203648,0.216253,0.241619,0.292376,0.393340,0.594304", \ "0.250141,0.256261,0.267883,0.291824,0.341171,0.440619,0.639707", \ "0.321129,0.328513,0.341794,0.367209,0.414843,0.510668,0.707108", \ "0.397134,0.405838,0.421477,0.451496,0.507405,0.608011,0.799523"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.013394,0.014358,0.016147,0.019722,0.026823,0.040900,0.068309", \ "0.013391,0.014371,0.016151,0.019714,0.026827,0.040903,0.068307", \ "0.015667,0.016508,0.017958,0.020956,0.027361,0.040904,0.068306", \ "0.023840,0.024639,0.026090,0.028995,0.034807,0.046406,0.070003", \ "0.039037,0.039735,0.041030,0.043670,0.048921,0.059654,0.081423", \ "0.060194,0.060875,0.062221,0.064864,0.069890,0.079940,0.100314", \ "0.085541,0.086327,0.087826,0.090968,0.096485,0.106936,0.126832"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.123965,0.130418,0.142308,0.165844,0.211741,0.302404,0.481715", \ "0.123801,0.130288,0.142217,0.165785,0.211703,0.302417,0.481710", \ "0.123161,0.129735,0.141807,0.165543,0.211699,0.302440,0.481717", \ "0.120280,0.127212,0.139816,0.164390,0.211249,0.302324,0.481719", \ "0.120784,0.126803,0.138043,0.161001,0.207860,0.301883,0.481724", \ "0.140992,0.146290,0.155826,0.174241,0.214155,0.299477,0.481599", \ "0.167878,0.173678,0.184166,0.204380,0.241577,0.314722,0.480146"); } } timing () { related_pin : "A2"; when : "A1 & B1 & !B2 & C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.023324,0.024373,0.026298,0.030144,0.037819,0.053139,0.083737", \ "0.028532,0.029598,0.031553,0.035441,0.043171,0.058544,0.089190", \ "0.042924,0.044177,0.046418,0.050708,0.058711,0.074222,0.104994", \ "0.060592,0.062428,0.065631,0.071603,0.082328,0.101087,0.133810", \ "0.075667,0.078429,0.083243,0.091991,0.107270,0.132243,0.172602", \ "0.084573,0.088528,0.095202,0.107534,0.128974,0.163012,0.214678", \ "0.085212,0.090440,0.099500,0.115725,0.144242,0.189388,0.256138"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.187620,0.194652,0.207579,0.233250,0.284304,0.385542,0.586417", \ "0.189019,0.196108,0.209105,0.234996,0.286268,0.387818,0.589067", \ "0.200653,0.207740,0.220756,0.246695,0.298163,0.400053,0.601791", \ "0.229877,0.236857,0.249600,0.275024,0.326108,0.427367,0.628578", \ "0.280461,0.287150,0.299491,0.324146,0.374110,0.474113,0.673676", \ "0.355301,0.362245,0.374762,0.398994,0.445876,0.543567,0.740673", \ "0.437512,0.445675,0.460385,0.488773,0.542164,0.639212,0.832565"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.015943,0.017020,0.018975,0.022847,0.030436,0.044963,0.072452", \ "0.015945,0.017026,0.018974,0.022851,0.030442,0.044969,0.072453", \ "0.018472,0.019239,0.020751,0.024049,0.030953,0.044970,0.072448", \ "0.028832,0.029492,0.030784,0.033495,0.039105,0.050486,0.074118", \ "0.046597,0.047054,0.047975,0.050038,0.054517,0.064499,0.085697", \ "0.070030,0.070395,0.071236,0.073060,0.076912,0.085730,0.105161", \ "0.098498,0.098828,0.099640,0.101652,0.105495,0.114112,0.132464"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.141228,0.147696,0.159641,0.183407,0.229530,0.320694,0.500669", \ "0.141173,0.147652,0.159608,0.183354,0.229516,0.320703,0.500665", \ "0.140942,0.147452,0.159472,0.183264,0.229500,0.320696,0.500669", \ "0.139658,0.146349,0.158614,0.182777,0.229311,0.320658,0.500674", \ "0.136510,0.142916,0.154851,0.179109,0.227655,0.320466,0.500669", \ "0.150995,0.156151,0.166057,0.186753,0.228893,0.317726,0.500631", \ "0.178530,0.184242,0.194633,0.214657,0.251338,0.328505,0.498735"); } } timing () { related_pin : "B1"; when : "!A1 & !A2 & B2 & !C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.029272,0.030499,0.032731,0.037089,0.045535,0.061850,0.093492", \ "0.033889,0.035112,0.037349,0.041715,0.050166,0.066481,0.098130", \ "0.051190,0.052373,0.054508,0.058683,0.066953,0.083177,0.114788", \ "0.074780,0.076592,0.079747,0.085928,0.096913,0.115650,0.147276", \ "0.094780,0.097335,0.101710,0.110225,0.125743,0.152054,0.194374", \ "0.108731,0.112120,0.117973,0.129012,0.149570,0.184406,0.240147", \ "0.115187,0.119450,0.126916,0.140965,0.166714,0.210686,0.281165"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.127508,0.132266,0.141022,0.158290,0.192576,0.260679,0.396254", \ "0.130039,0.134910,0.143804,0.161366,0.196066,0.264688,0.400725", \ "0.144961,0.149754,0.158564,0.176075,0.210857,0.279825,0.416541", \ "0.177377,0.182144,0.190561,0.207800,0.242275,0.310911,0.447504", \ "0.223284,0.228608,0.238229,0.255995,0.290583,0.358915,0.495186", \ "0.272471,0.278990,0.290654,0.312763,0.353584,0.426703,0.562739", \ "0.324266,0.332251,0.346447,0.373091,0.421495,0.506511,0.652432"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.020190,0.021092,0.022744,0.026070,0.032697,0.045961,0.072522", \ "0.020059,0.020976,0.022655,0.026002,0.032657,0.045934,0.072515", \ "0.020769,0.021523,0.022921,0.025904,0.032280,0.045799,0.072500", \ "0.032750,0.033575,0.035037,0.037713,0.042701,0.051786,0.073517", \ "0.049071,0.050233,0.052336,0.056035,0.062533,0.073644,0.092250", \ "0.069209,0.070729,0.073603,0.078692,0.087170,0.101303,0.123827", \ "0.092895,0.094914,0.098538,0.105164,0.116156,0.133949,0.161466"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.064870,0.068970,0.076558,0.091656,0.121765,0.181636,0.300923", \ "0.064876,0.068985,0.076596,0.091647,0.121754,0.181644,0.300908", \ "0.064932,0.069025,0.076597,0.091669,0.121768,0.181650,0.300880", \ "0.065204,0.069258,0.076712,0.091723,0.121779,0.181625,0.300878", \ "0.074164,0.077426,0.083603,0.096472,0.123494,0.181674,0.300924", \ "0.093296,0.096843,0.103385,0.116048,0.140041,0.189166,0.300978", \ "0.118297,0.121989,0.128860,0.142010,0.167040,0.214818,0.311711"); } } timing () { related_pin : "B1"; when : "!A1 & !A2 & B2 & !C1 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.029264,0.030490,0.032720,0.037078,0.045522,0.061825,0.093455", \ "0.033931,0.035156,0.037390,0.041755,0.050204,0.066512,0.098152", \ "0.051348,0.052528,0.054670,0.058844,0.067116,0.083331,0.114937", \ "0.075104,0.076928,0.080070,0.086228,0.097181,0.115886,0.147486", \ "0.095134,0.097693,0.102067,0.110558,0.126064,0.152343,0.194619", \ "0.108767,0.112171,0.118048,0.129129,0.149723,0.184593,0.240360", \ "0.114411,0.118712,0.126245,0.140389,0.166340,0.210522,0.281174"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.160964,0.167048,0.178275,0.200432,0.244270,0.331300,0.504472", \ "0.162535,0.168764,0.180194,0.202683,0.247076,0.334765,0.508555", \ "0.175317,0.181510,0.192845,0.215233,0.259745,0.347923,0.522552", \ "0.205984,0.212051,0.222959,0.245073,0.289161,0.376900,0.551398", \ "0.252331,0.258563,0.269774,0.291581,0.335446,0.422778,0.596831", \ "0.304771,0.312342,0.325927,0.351832,0.400018,0.488143,0.661796", \ "0.360465,0.369558,0.385746,0.416338,0.472379,0.572081,0.748554"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.020187,0.021099,0.022745,0.026067,0.032696,0.045949,0.072519", \ "0.020062,0.020977,0.022655,0.026002,0.032657,0.045934,0.072524", \ "0.020734,0.021488,0.022890,0.025887,0.032277,0.045798,0.072497", \ "0.032600,0.033430,0.034897,0.037590,0.042573,0.051696,0.073489", \ "0.048876,0.050050,0.052140,0.055853,0.062358,0.073495,0.092123", \ "0.069137,0.070643,0.073507,0.078582,0.087055,0.101168,0.123703", \ "0.093177,0.095158,0.098824,0.105395,0.116297,0.134011,0.161433"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.085020,0.090259,0.099933,0.119103,0.157296,0.233325,0.384495", \ "0.085069,0.090290,0.099953,0.119101,0.157311,0.233340,0.384489", \ "0.085112,0.090329,0.100000,0.119114,0.157326,0.233338,0.384485", \ "0.085166,0.090379,0.100015,0.119124,0.157309,0.233321,0.384493", \ "0.089931,0.094507,0.103055,0.120657,0.157581,0.233305,0.384479", \ "0.109303,0.113694,0.121834,0.137378,0.168118,0.235900,0.384487", \ "0.135366,0.139837,0.148123,0.164241,0.195224,0.254743,0.388059"); } } timing () { related_pin : "B1"; when : "!A1 & !A2 & B2 & C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.029767,0.030990,0.033213,0.037565,0.046000,0.062306,0.093969", \ "0.034433,0.035656,0.037885,0.042240,0.050680,0.066992,0.098655", \ "0.051836,0.053007,0.055125,0.059306,0.067586,0.083814,0.115443", \ "0.075931,0.077723,0.080818,0.086921,0.097807,0.116421,0.147987", \ "0.096389,0.098919,0.103223,0.111644,0.127025,0.153154,0.195287", \ "0.110583,0.113952,0.119809,0.130744,0.151139,0.185796,0.241349", \ "0.116927,0.121165,0.128682,0.142763,0.168361,0.212223,0.282579"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.189634,0.195709,0.206973,0.229320,0.273219,0.360530,0.533909", \ "0.191911,0.198081,0.209440,0.231975,0.276365,0.364124,0.538044", \ "0.204594,0.210746,0.222107,0.244782,0.289286,0.377472,0.552196", \ "0.234839,0.240925,0.251826,0.274121,0.318486,0.406361,0.581099", \ "0.281490,0.287615,0.298736,0.320520,0.364534,0.452146,0.626424", \ "0.339865,0.346890,0.359563,0.384128,0.429908,0.517402,0.691299", \ "0.402518,0.410794,0.425646,0.454070,0.507083,0.603243,0.777958"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.022783,0.023731,0.025468,0.028934,0.035861,0.049634,0.076647", \ "0.022664,0.023622,0.025382,0.028865,0.035822,0.049617,0.076642", \ "0.023168,0.023987,0.025506,0.028669,0.035424,0.049485,0.076628", \ "0.036599,0.037340,0.038715,0.041224,0.046013,0.055252,0.077582", \ "0.056542,0.057448,0.059233,0.062404,0.068151,0.078440,0.096267", \ "0.080952,0.082127,0.084346,0.088498,0.095611,0.108122,0.129092", \ "0.109250,0.110738,0.113489,0.118669,0.127705,0.143144,0.168320"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.098962,0.104279,0.114114,0.133611,0.172156,0.248666,0.400375", \ "0.098968,0.104284,0.114136,0.133628,0.172167,0.248640,0.400371", \ "0.098986,0.104296,0.114146,0.133613,0.172212,0.248600,0.400371", \ "0.099013,0.104317,0.114160,0.133608,0.172190,0.248663,0.400372", \ "0.100857,0.105806,0.115028,0.133938,0.172171,0.248600,0.400389", \ "0.117675,0.122135,0.130084,0.145896,0.178874,0.249554,0.400379", \ "0.142245,0.146871,0.155464,0.172014,0.203651,0.264871,0.402433"); } } timing () { related_pin : "B1"; when : "!A1 & A2 & B2 & !C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.024080,0.025364,0.027690,0.032220,0.040938,0.057610,0.089625", \ "0.028841,0.030106,0.032413,0.036911,0.045588,0.062224,0.094222", \ "0.046543,0.047830,0.050154,0.054442,0.062668,0.078975,0.110799", \ "0.067932,0.069927,0.073381,0.080034,0.091707,0.111301,0.143430", \ "0.085377,0.088175,0.092920,0.102129,0.118622,0.146127,0.189724", \ "0.096369,0.100064,0.106442,0.118326,0.140175,0.176639,0.234121", \ "0.099495,0.104128,0.112216,0.127302,0.154680,0.200775,0.273497"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.141128,0.146820,0.157296,0.177993,0.219032,0.300588,0.462985", \ "0.143261,0.149058,0.159742,0.180752,0.222303,0.304459,0.467414", \ "0.157717,0.163466,0.174018,0.194992,0.236595,0.319191,0.482946", \ "0.189366,0.195077,0.205202,0.225771,0.267102,0.349291,0.512867", \ "0.233321,0.239555,0.250651,0.271320,0.312496,0.394226,0.557380", \ "0.280582,0.287820,0.300886,0.325712,0.372006,0.456537,0.619244", \ "0.331499,0.340067,0.355346,0.384281,0.437375,0.532521,0.701111"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.018732,0.019706,0.021484,0.024967,0.031764,0.045102,0.071547", \ "0.018388,0.019384,0.021183,0.024725,0.031587,0.044992,0.071503", \ "0.020562,0.021189,0.022434,0.025137,0.031159,0.044568,0.071387", \ "0.033373,0.034150,0.035600,0.038211,0.043080,0.051872,0.072660", \ "0.050175,0.051272,0.053389,0.056980,0.063316,0.074185,0.092489", \ "0.070783,0.072277,0.075098,0.080100,0.088370,0.102151,0.124300", \ "0.094986,0.096986,0.100567,0.107122,0.117836,0.135200,0.162199"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.071679,0.076655,0.085968,0.104247,0.140816,0.213796,0.359344", \ "0.071727,0.076691,0.085978,0.104242,0.140804,0.213840,0.359348", \ "0.071802,0.076752,0.086029,0.104256,0.140819,0.213847,0.359339", \ "0.072127,0.077019,0.086181,0.104343,0.140832,0.213826,0.359351", \ "0.079382,0.083623,0.091557,0.107815,0.141756,0.213840,0.359348", \ "0.095626,0.100107,0.108478,0.124483,0.154904,0.218746,0.359356", \ "0.116999,0.121606,0.130210,0.146776,0.178489,0.239191,0.365899"); } } timing () { related_pin : "B1"; when : "!A1 & A2 & B2 & !C1 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.024069,0.025352,0.027677,0.032205,0.040919,0.057580,0.089585", \ "0.028879,0.030144,0.032449,0.036947,0.045621,0.062250,0.094235", \ "0.046711,0.047993,0.050312,0.054591,0.062824,0.079128,0.110939", \ "0.068283,0.070256,0.073710,0.080332,0.091982,0.111534,0.143629", \ "0.085737,0.088545,0.093275,0.102466,0.118947,0.146426,0.189957", \ "0.096408,0.100114,0.106504,0.118423,0.140337,0.176837,0.234328", \ "0.098689,0.103371,0.111539,0.126731,0.154320,0.200602,0.273500"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.175009,0.182069,0.195033,0.220648,0.271293,0.371817,0.571825", \ "0.176177,0.183362,0.196567,0.222574,0.273852,0.375150,0.575857", \ "0.188539,0.195639,0.208765,0.234658,0.286066,0.387901,0.589587", \ "0.218680,0.225717,0.238365,0.263836,0.314841,0.416161,0.617698", \ "0.262853,0.270050,0.283058,0.308229,0.358873,0.459671,0.660651", \ "0.312844,0.321202,0.336275,0.365206,0.419344,0.520376,0.720841", \ "0.367040,0.376761,0.394162,0.427214,0.488350,0.599150,0.800853"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.018732,0.019706,0.021487,0.024967,0.031764,0.045098,0.071552", \ "0.018404,0.019396,0.021201,0.024730,0.031597,0.044995,0.071507", \ "0.020509,0.021150,0.022402,0.025108,0.031157,0.044576,0.071389", \ "0.033197,0.034010,0.035450,0.038070,0.042961,0.051767,0.072620", \ "0.049967,0.051063,0.053185,0.056794,0.063133,0.074030,0.092369", \ "0.070649,0.072201,0.075003,0.079988,0.088229,0.102018,0.124189", \ "0.095212,0.097171,0.100736,0.107289,0.117942,0.135243,0.162172"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.090078,0.096172,0.107507,0.129997,0.174772,0.263993,0.441613", \ "0.090145,0.096225,0.107544,0.130021,0.174730,0.264011,0.441613", \ "0.090250,0.096312,0.107615,0.130049,0.174771,0.263982,0.441614", \ "0.090387,0.096432,0.107701,0.130063,0.174766,0.263992,0.441616", \ "0.094620,0.100074,0.110337,0.131283,0.175071,0.263967,0.441614", \ "0.110969,0.116406,0.126543,0.145357,0.183465,0.265539,0.441626", \ "0.132824,0.138320,0.148525,0.168442,0.206707,0.280651,0.443571"); } } timing () { related_pin : "B1"; when : "!A1 & A2 & B2 & C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.024587,0.025865,0.028183,0.032700,0.041405,0.058067,0.090092", \ "0.029389,0.030648,0.032950,0.037438,0.046105,0.062735,0.094740", \ "0.047246,0.048519,0.050808,0.055046,0.063290,0.079600,0.111448", \ "0.069178,0.071132,0.074545,0.081092,0.092638,0.112085,0.144124", \ "0.087125,0.089885,0.094551,0.103652,0.119972,0.147276,0.190643", \ "0.098396,0.102053,0.108412,0.120206,0.141821,0.178104,0.235336", \ "0.101458,0.106083,0.114215,0.129279,0.156534,0.202416,0.274941"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.208297,0.215333,0.228283,0.254071,0.304873,0.405604,0.605915", \ "0.210273,0.217405,0.230523,0.256548,0.307822,0.409125,0.610010", \ "0.222508,0.229622,0.242715,0.268840,0.320270,0.422093,0.623914", \ "0.252184,0.259220,0.271858,0.297637,0.348821,0.450265,0.652081", \ "0.296634,0.303645,0.316556,0.341822,0.392577,0.493711,0.694957", \ "0.351842,0.359702,0.373935,0.401626,0.453358,0.554241,0.754980", \ "0.412190,0.421134,0.437270,0.468312,0.526719,0.634282,0.834940"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.021498,0.022506,0.024331,0.027937,0.035004,0.048813,0.075685", \ "0.021132,0.022155,0.024022,0.027684,0.034826,0.048712,0.075643", \ "0.022860,0.023572,0.024939,0.027882,0.034311,0.048283,0.075524", \ "0.037665,0.038351,0.039628,0.041987,0.046569,0.055309,0.076752", \ "0.058465,0.059271,0.060938,0.063851,0.069243,0.079134,0.096557", \ "0.083555,0.084610,0.086645,0.090525,0.097216,0.109180,0.129654", \ "0.112583,0.113945,0.116481,0.121333,0.129858,0.144643,0.169164"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.106256,0.112492,0.124088,0.147009,0.192259,0.282097,0.460474", \ "0.106275,0.112502,0.124095,0.146999,0.192373,0.282088,0.460466", \ "0.106308,0.112535,0.124119,0.147019,0.192264,0.282064,0.460480", \ "0.106363,0.112581,0.124152,0.147030,0.192278,0.282093,0.460475", \ "0.107826,0.113786,0.125018,0.147407,0.192309,0.282102,0.460479", \ "0.122329,0.127628,0.137380,0.157011,0.197366,0.282568,0.460467", \ "0.142768,0.148447,0.158980,0.179455,0.218272,0.294350,0.461358"); } } timing () { related_pin : "B1"; when : "A1 & !A2 & B2 & !C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.024591,0.025868,0.028187,0.032709,0.041417,0.058088,0.090130", \ "0.029343,0.030604,0.032905,0.037394,0.046064,0.062702,0.094724", \ "0.047073,0.048348,0.050649,0.054891,0.063127,0.079440,0.111302", \ "0.068853,0.070812,0.074215,0.080781,0.092357,0.111850,0.143925", \ "0.086768,0.089517,0.094191,0.103298,0.119643,0.146982,0.190402", \ "0.098352,0.102004,0.108319,0.120071,0.141686,0.177885,0.235139", \ "0.102250,0.106800,0.114878,0.129816,0.156921,0.202563,0.274920"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.170487,0.176198,0.186722,0.207595,0.249018,0.330928,0.493653", \ "0.173301,0.179108,0.189747,0.210890,0.252518,0.334935,0.498147", \ "0.187670,0.193460,0.204125,0.225223,0.267139,0.349868,0.513820", \ "0.218900,0.224629,0.234866,0.255723,0.297326,0.379806,0.543808", \ "0.264446,0.270219,0.280793,0.301205,0.342508,0.424642,0.588220", \ "0.317332,0.324051,0.336243,0.359936,0.404280,0.486873,0.650024", \ "0.374816,0.382597,0.396560,0.423420,0.473688,0.565616,0.731731"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.021541,0.022552,0.024378,0.027993,0.035083,0.048973,0.076080", \ "0.021165,0.022188,0.024063,0.027730,0.034900,0.048867,0.076038", \ "0.022938,0.023642,0.025015,0.027955,0.034386,0.048430,0.075918", \ "0.037972,0.038656,0.039926,0.042250,0.046819,0.055558,0.077158", \ "0.059317,0.060095,0.061727,0.064549,0.069871,0.079715,0.097136", \ "0.085092,0.086103,0.088065,0.091814,0.098353,0.110191,0.130514", \ "0.114554,0.115865,0.118313,0.123030,0.131360,0.145931,0.170216"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.086083,0.091177,0.100692,0.119356,0.156524,0.230125,0.376340", \ "0.086091,0.091182,0.100676,0.119351,0.156465,0.230086,0.376352", \ "0.086109,0.091197,0.100702,0.119345,0.156518,0.230042,0.376352", \ "0.086195,0.091266,0.100754,0.119362,0.156434,0.230091,0.376351", \ "0.089829,0.094445,0.103121,0.120507,0.156625,0.230040,0.376341", \ "0.105248,0.109810,0.118414,0.134507,0.165846,0.232697,0.376330", \ "0.125322,0.130094,0.139040,0.156058,0.188386,0.249843,0.380796"); } } timing () { related_pin : "B1"; when : "A1 & !A2 & B2 & !C1 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.024580,0.025858,0.028176,0.032695,0.041400,0.058063,0.090088", \ "0.029382,0.030643,0.032943,0.037433,0.046099,0.062731,0.094737", \ "0.047241,0.048513,0.050803,0.055045,0.063285,0.079595,0.111442", \ "0.069173,0.071127,0.074539,0.081088,0.092634,0.112081,0.144120", \ "0.087120,0.089879,0.094540,0.103647,0.119968,0.147272,0.190639", \ "0.098391,0.102046,0.108404,0.120201,0.141816,0.178101,0.235333", \ "0.101453,0.106075,0.114209,0.129276,0.156524,0.202413,0.274937"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.211740,0.218797,0.231774,0.257610,0.308473,0.409342,0.609819", \ "0.213779,0.220913,0.234037,0.260141,0.311599,0.412869,0.613921", \ "0.226028,0.233164,0.246296,0.272451,0.323942,0.425867,0.627834", \ "0.255658,0.262725,0.275386,0.301224,0.352459,0.454033,0.655997", \ "0.300096,0.307152,0.320063,0.345391,0.396273,0.497463,0.698864", \ "0.355765,0.363596,0.377780,0.405385,0.457065,0.557986,0.758894", \ "0.416716,0.425612,0.441653,0.472540,0.530779,0.638072,0.838832"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.021541,0.022555,0.024381,0.027995,0.035085,0.048972,0.076079", \ "0.021175,0.022204,0.024079,0.027741,0.034908,0.048870,0.076037", \ "0.022897,0.023610,0.024984,0.027945,0.034385,0.048438,0.075916", \ "0.037801,0.038481,0.039756,0.042100,0.046682,0.055462,0.077142", \ "0.059074,0.059862,0.061478,0.064329,0.069674,0.079546,0.097006", \ "0.084976,0.085981,0.087946,0.091699,0.098221,0.110037,0.130380", \ "0.114810,0.116110,0.118531,0.123209,0.131481,0.145957,0.170176"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.108026,0.114277,0.125914,0.148884,0.194235,0.284212,0.462781", \ "0.108040,0.114288,0.125919,0.148888,0.194375,0.284196,0.462777", \ "0.108071,0.114314,0.125932,0.148912,0.194239,0.284187,0.462793", \ "0.108119,0.114354,0.125968,0.148911,0.194318,0.284206,0.462778", \ "0.109411,0.115422,0.126746,0.149254,0.194256,0.284205,0.462782", \ "0.123532,0.128830,0.138634,0.158425,0.199010,0.284622,0.462776", \ "0.143962,0.149658,0.160238,0.180716,0.219565,0.296047,0.463578"); } } timing () { related_pin : "B1"; when : "A1 & !A2 & B2 & C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.025095,0.026372,0.028683,0.033186,0.041883,0.058545,0.090599", \ "0.029890,0.031148,0.033444,0.037922,0.046582,0.063214,0.095252", \ "0.047771,0.049026,0.051295,0.055501,0.063755,0.080079,0.111951", \ "0.070085,0.072010,0.075350,0.081825,0.093290,0.112626,0.144620", \ "0.088505,0.091245,0.095839,0.104779,0.120959,0.148107,0.191334", \ "0.100434,0.104021,0.110297,0.121975,0.143325,0.179303,0.236336", \ "0.104265,0.108826,0.116889,0.131704,0.158596,0.204181,0.276332"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.245228,0.252287,0.265261,0.291109,0.342107,0.443232,0.643957", \ "0.247800,0.254913,0.267983,0.294033,0.345422,0.446927,0.648160", \ "0.260173,0.267310,0.280413,0.306481,0.358101,0.460194,0.662183", \ "0.289401,0.296504,0.309146,0.335042,0.386427,0.488259,0.690393", \ "0.333685,0.340738,0.353640,0.379104,0.430104,0.531531,0.733176", \ "0.392582,0.400083,0.413663,0.439826,0.490910,0.592033,0.793116", \ "0.458490,0.466875,0.482076,0.511558,0.567725,0.672166,0.872921"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.026550,0.027495,0.029266,0.032795,0.039814,0.053590,0.080466", \ "0.026139,0.027118,0.028935,0.032531,0.039631,0.053488,0.080426", \ "0.027321,0.028062,0.029497,0.032518,0.039074,0.053058,0.080307", \ "0.044536,0.044993,0.045916,0.047688,0.051542,0.059893,0.081496", \ "0.068294,0.068833,0.070074,0.072156,0.076427,0.085012,0.101324", \ "0.096747,0.097439,0.098915,0.101790,0.106982,0.117134,0.135825", \ "0.129806,0.130723,0.132509,0.136056,0.142649,0.154999,0.177047"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.124607,0.130952,0.142795,0.166142,0.211902,0.302415,0.481699", \ "0.124610,0.130958,0.142798,0.166142,0.211893,0.302391,0.481706", \ "0.124619,0.130965,0.142794,0.166100,0.211822,0.302392,0.481720", \ "0.124636,0.130979,0.142807,0.166099,0.211818,0.302396,0.481715", \ "0.125089,0.131355,0.143088,0.166211,0.211914,0.302392,0.481704", \ "0.134918,0.140485,0.150960,0.171821,0.214299,0.302537,0.481695", \ "0.155380,0.161149,0.171895,0.192597,0.231206,0.310799,0.481982"); } } timing () { related_pin : "B2"; when : "!A1 & !A2 & B1 & !C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.031904,0.033133,0.035362,0.039717,0.048165,0.064474,0.096124", \ "0.037101,0.038328,0.040555,0.044916,0.053356,0.069676,0.101331", \ "0.052569,0.053811,0.056019,0.060316,0.068734,0.085079,0.116796", \ "0.075558,0.077129,0.079922,0.085263,0.095199,0.113150,0.145494", \ "0.099688,0.101852,0.105563,0.112650,0.125609,0.148133,0.186466", \ "0.120796,0.123721,0.128760,0.138101,0.155504,0.184730,0.232137", \ "0.136066,0.139833,0.146405,0.158523,0.181131,0.219021,0.278686"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.147006,0.151728,0.160415,0.177740,0.212014,0.280274,0.415858", \ "0.150286,0.155035,0.163803,0.181197,0.215627,0.283974,0.419822", \ "0.166416,0.171184,0.179972,0.197382,0.231941,0.300500,0.436650", \ "0.199192,0.203918,0.212533,0.229593,0.264056,0.332544,0.468840", \ "0.247321,0.252286,0.261208,0.278266,0.312664,0.381025,0.517170", \ "0.301951,0.307963,0.318761,0.339552,0.378423,0.449121,0.585042", \ "0.360246,0.367472,0.380423,0.405044,0.450628,0.532219,0.674912"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.020129,0.021033,0.022693,0.026027,0.032671,0.045939,0.072515", \ "0.020080,0.020993,0.022661,0.025994,0.032655,0.045927,0.072520", \ "0.020324,0.021185,0.022775,0.025977,0.032518,0.045886,0.072506", \ "0.025918,0.026756,0.028301,0.031387,0.037333,0.048858,0.073214", \ "0.036138,0.037069,0.038733,0.041952,0.048052,0.059802,0.082491", \ "0.050958,0.052098,0.054137,0.057987,0.064613,0.076674,0.099309", \ "0.069560,0.071013,0.073539,0.078432,0.086404,0.099850,0.123033"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.075576,0.079753,0.087422,0.102740,0.133146,0.193492,0.313095", \ "0.075567,0.079747,0.087435,0.102732,0.133128,0.193398,0.313154", \ "0.075584,0.079763,0.087430,0.102736,0.133110,0.193392,0.313099", \ "0.075651,0.079814,0.087481,0.102725,0.133108,0.193377,0.313098", \ "0.080881,0.084492,0.091231,0.105058,0.133590,0.193330,0.313149", \ "0.098962,0.102593,0.109260,0.122273,0.146605,0.198401,0.313090", \ "0.122530,0.126379,0.133442,0.147127,0.172742,0.221093,0.321504"); } } timing () { related_pin : "B2"; when : "!A1 & !A2 & B1 & !C1 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.031897,0.033124,0.035353,0.039706,0.048151,0.064454,0.096089", \ "0.037143,0.038369,0.040600,0.044958,0.053398,0.069708,0.101351", \ "0.052747,0.053984,0.056193,0.060489,0.068905,0.085245,0.116952", \ "0.075866,0.077438,0.080217,0.085560,0.095477,0.113402,0.145721", \ "0.100113,0.102241,0.105957,0.112998,0.125951,0.148437,0.186743", \ "0.121170,0.124071,0.129105,0.138459,0.155854,0.185056,0.232433", \ "0.136069,0.139858,0.146449,0.158604,0.181301,0.219231,0.278910"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.186332,0.192376,0.203459,0.225600,0.269468,0.356483,0.529750", \ "0.188860,0.194936,0.206107,0.228387,0.272403,0.359679,0.533157", \ "0.203277,0.209347,0.220536,0.242848,0.287070,0.374563,0.548479", \ "0.234285,0.240368,0.251387,0.273340,0.317532,0.404854,0.578901", \ "0.281225,0.287281,0.298415,0.320141,0.364043,0.451390,0.625203", \ "0.339431,0.346462,0.359140,0.383684,0.429610,0.516910,0.690550", \ "0.401973,0.410263,0.425136,0.453603,0.506627,0.602773,0.777461"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.020128,0.021033,0.022694,0.026026,0.032671,0.045938,0.072517", \ "0.020081,0.020992,0.022664,0.025999,0.032650,0.045926,0.072513", \ "0.020313,0.021180,0.022766,0.025971,0.032513,0.045886,0.072509", \ "0.025842,0.026686,0.028235,0.031320,0.037267,0.048813,0.073195", \ "0.035960,0.036914,0.038590,0.041808,0.047916,0.059703,0.082417", \ "0.050781,0.051924,0.053955,0.057798,0.064436,0.076520,0.099209", \ "0.069488,0.070934,0.073455,0.078344,0.086275,0.099711,0.122905"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.098980,0.104295,0.114105,0.133582,0.172205,0.248615,0.400388", \ "0.098980,0.104295,0.114100,0.133578,0.172149,0.248611,0.400383", \ "0.099000,0.104311,0.114102,0.133591,0.172231,0.248624,0.400396", \ "0.099013,0.104325,0.114125,0.133560,0.172277,0.248626,0.400391", \ "0.100928,0.105874,0.115080,0.133941,0.172198,0.248597,0.400404", \ "0.117410,0.121927,0.130014,0.145979,0.179005,0.249642,0.400379", \ "0.141844,0.146498,0.155014,0.171739,0.203438,0.264972,0.402498"); } } timing () { related_pin : "B2"; when : "!A1 & !A2 & B1 & C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.032400,0.033622,0.035844,0.040192,0.048627,0.064937,0.096601", \ "0.037648,0.038871,0.041093,0.045441,0.053879,0.070189,0.101857", \ "0.053252,0.054475,0.056672,0.060963,0.069385,0.085728,0.117457", \ "0.076516,0.078083,0.080858,0.086158,0.096023,0.113916,0.146223", \ "0.101075,0.103173,0.106842,0.113845,0.126697,0.149093,0.187332", \ "0.122571,0.125436,0.130370,0.139633,0.156901,0.185936,0.233152", \ "0.137997,0.141765,0.148314,0.160285,0.182778,0.220462,0.279912"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.214955,0.221001,0.232137,0.254397,0.298342,0.385673,0.559154", \ "0.217710,0.223793,0.234983,0.257300,0.301467,0.388925,0.562604", \ "0.232229,0.238333,0.249550,0.271912,0.316176,0.403965,0.577935", \ "0.263140,0.269227,0.280264,0.302297,0.346555,0.434228,0.608340", \ "0.310029,0.316100,0.327222,0.349048,0.393142,0.480694,0.654689", \ "0.372261,0.378911,0.390961,0.414321,0.458692,0.546143,0.720008", \ "0.440682,0.448402,0.462311,0.489135,0.539863,0.633061,0.806870"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.022723,0.023674,0.025411,0.028884,0.035832,0.049620,0.076645", \ "0.022680,0.023639,0.025375,0.028863,0.035813,0.049611,0.076640", \ "0.022860,0.023766,0.025442,0.028807,0.035671,0.049565,0.076637", \ "0.029081,0.029924,0.031489,0.034576,0.040623,0.052449,0.077312", \ "0.040904,0.041752,0.043346,0.046416,0.052378,0.064045,0.086621", \ "0.058586,0.059512,0.061232,0.064571,0.070539,0.081923,0.103978", \ "0.080644,0.081734,0.083748,0.087770,0.094461,0.106508,0.128448"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.113119,0.118495,0.128445,0.148163,0.187028,0.263958,0.416278", \ "0.113117,0.118495,0.128447,0.148123,0.187045,0.263966,0.416301", \ "0.113116,0.118499,0.128447,0.148143,0.187004,0.264012,0.416291", \ "0.113124,0.118502,0.128455,0.148137,0.187042,0.263952,0.416299", \ "0.113604,0.118889,0.128703,0.148269,0.187037,0.263952,0.416296", \ "0.126217,0.130691,0.139049,0.156075,0.190934,0.264143,0.416287", \ "0.150102,0.154812,0.163451,0.180409,0.212253,0.276145,0.417316"); } } timing () { related_pin : "B2"; when : "!A1 & A2 & B1 & !C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.026795,0.028071,0.030387,0.034900,0.043596,0.060250,0.092261", \ "0.032034,0.033304,0.035611,0.040109,0.048786,0.065420,0.097419", \ "0.047584,0.048885,0.051215,0.055668,0.064210,0.080769,0.112775", \ "0.069508,0.071202,0.074192,0.079848,0.090193,0.108676,0.141455", \ "0.091655,0.093986,0.098073,0.105730,0.119471,0.142849,0.181962", \ "0.109961,0.113135,0.118643,0.128901,0.147473,0.178124,0.226818", \ "0.121759,0.125953,0.133202,0.146337,0.170653,0.210533,0.272183"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.164742,0.170389,0.180772,0.201559,0.242595,0.324163,0.486627", \ "0.167749,0.173452,0.183901,0.204806,0.245956,0.327813,0.490453", \ "0.183646,0.189351,0.199858,0.220723,0.262093,0.344178,0.507185", \ "0.215670,0.221343,0.231637,0.252163,0.293390,0.375494,0.538566", \ "0.261323,0.267111,0.277607,0.298009,0.339068,0.420895,0.583848", \ "0.313529,0.320297,0.332488,0.356275,0.400728,0.483382,0.646138", \ "0.370300,0.378136,0.392231,0.419256,0.469753,0.561897,0.728046"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.018564,0.019543,0.021328,0.024838,0.031670,0.045038,0.071521", \ "0.018390,0.019377,0.021167,0.024690,0.031551,0.044958,0.071490", \ "0.019216,0.020056,0.021623,0.024816,0.031350,0.044759,0.071431", \ "0.025554,0.026390,0.027901,0.030897,0.036766,0.048231,0.072232", \ "0.036605,0.037513,0.039117,0.042200,0.048095,0.059533,0.081930", \ "0.052066,0.053166,0.055145,0.058862,0.065258,0.076931,0.099052", \ "0.071190,0.072596,0.075075,0.079837,0.087571,0.100617,0.123215"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.084677,0.089757,0.099170,0.117873,0.154885,0.228408,0.374446", \ "0.084692,0.089765,0.099176,0.117870,0.154864,0.228412,0.374457", \ "0.084708,0.089777,0.099182,0.117839,0.154824,0.228409,0.374447", \ "0.084797,0.089850,0.099234,0.117832,0.154837,0.228329,0.374456", \ "0.088825,0.093397,0.101941,0.119234,0.155073,0.228323,0.374453", \ "0.103998,0.108588,0.117028,0.133479,0.164752,0.231246,0.374436", \ "0.123914,0.128712,0.137505,0.154757,0.187099,0.248688,0.379175"); } } timing () { related_pin : "B2"; when : "!A1 & A2 & B1 & !C1 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.026785,0.028061,0.030376,0.034887,0.043579,0.060224,0.092217", \ "0.032072,0.033342,0.035648,0.040147,0.048820,0.065447,0.097429", \ "0.047753,0.049054,0.051380,0.055832,0.064373,0.080929,0.112920", \ "0.069822,0.071502,0.074485,0.080130,0.090460,0.108923,0.141674", \ "0.092049,0.094367,0.098447,0.106092,0.119809,0.143152,0.182219", \ "0.110280,0.113509,0.118962,0.129212,0.147809,0.178435,0.227089", \ "0.121774,0.125962,0.133237,0.146435,0.170745,0.210737,0.272398"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.204604,0.211575,0.224374,0.249909,0.300667,0.401054,0.601201", \ "0.206858,0.213887,0.226780,0.252480,0.303452,0.404104,0.604464", \ "0.221031,0.228061,0.240963,0.266717,0.317764,0.418838,0.619690", \ "0.251595,0.258604,0.271340,0.296679,0.347630,0.448695,0.649598", \ "0.296294,0.303320,0.316166,0.341337,0.392017,0.492832,0.693536", \ "0.351372,0.359232,0.373468,0.401172,0.453012,0.553751,0.754174", \ "0.411627,0.420587,0.436711,0.467814,0.526238,0.633834,0.834373"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.018564,0.019542,0.021329,0.024835,0.031670,0.045037,0.071519", \ "0.018401,0.019388,0.021181,0.024701,0.031552,0.044961,0.071487", \ "0.019214,0.020055,0.021620,0.024814,0.031355,0.044765,0.071438", \ "0.025491,0.026314,0.027823,0.030836,0.036711,0.048185,0.072218", \ "0.036442,0.037353,0.038960,0.042057,0.047963,0.059429,0.081861", \ "0.051866,0.052976,0.054949,0.058674,0.065086,0.076777,0.098941", \ "0.071084,0.072500,0.074959,0.079723,0.087465,0.100492,0.123108"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.106286,0.112513,0.124002,0.146942,0.192346,0.282082,0.460488", \ "0.106300,0.112528,0.124013,0.146949,0.192378,0.282093,0.460484", \ "0.106328,0.112548,0.124029,0.146954,0.192273,0.282095,0.460491", \ "0.106371,0.112585,0.124053,0.146970,0.192272,0.282102,0.460493", \ "0.107906,0.113808,0.124926,0.147441,0.192337,0.282091,0.460478", \ "0.122094,0.127517,0.137355,0.157125,0.197457,0.282580,0.460484", \ "0.142324,0.148031,0.158479,0.179093,0.218102,0.294451,0.461408"); } } timing () { related_pin : "B2"; when : "!A1 & A2 & B1 & C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.027299,0.028571,0.030878,0.035381,0.044066,0.060709,0.092732", \ "0.032583,0.033849,0.036149,0.040639,0.049304,0.065931,0.097939", \ "0.048283,0.049575,0.051887,0.056310,0.064851,0.081413,0.113428", \ "0.070529,0.072200,0.075155,0.080741,0.091026,0.109442,0.142183", \ "0.093103,0.095411,0.099432,0.106975,0.120561,0.143797,0.182834", \ "0.111843,0.114998,0.120403,0.130507,0.148924,0.179351,0.227843", \ "0.123938,0.128045,0.135230,0.148290,0.172376,0.212025,0.273419"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.237791,0.244794,0.257629,0.283238,0.334150,0.434873,0.635247", \ "0.240321,0.247357,0.260262,0.285973,0.337029,0.437964,0.638574", \ "0.254604,0.261650,0.274602,0.300427,0.351539,0.452822,0.653787", \ "0.285046,0.292077,0.304809,0.330285,0.381382,0.482607,0.683688", \ "0.329711,0.336737,0.349588,0.374841,0.425706,0.526751,0.727675", \ "0.388299,0.395805,0.409460,0.435807,0.486760,0.587664,0.788237", \ "0.453643,0.462075,0.477329,0.506970,0.563239,0.667942,0.868353"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.021306,0.022316,0.024176,0.027800,0.034903,0.048752,0.075660", \ "0.021128,0.022145,0.023997,0.027650,0.034784,0.048675,0.075624", \ "0.021775,0.022661,0.024320,0.027687,0.034551,0.048477,0.075570", \ "0.028929,0.029742,0.031226,0.034221,0.040164,0.051829,0.076336", \ "0.041850,0.042635,0.044080,0.046931,0.052578,0.063851,0.086094", \ "0.060473,0.061300,0.062848,0.065911,0.071450,0.082312,0.103779", \ "0.083250,0.084235,0.086075,0.089781,0.096026,0.107469,0.128715"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.122806,0.129139,0.140828,0.164099,0.209884,0.300263,0.479365", \ "0.122812,0.129144,0.140829,0.164102,0.209901,0.300267,0.479366", \ "0.122823,0.129150,0.140830,0.164102,0.209821,0.300272,0.479358", \ "0.122837,0.129164,0.140845,0.164122,0.209906,0.300263,0.479364", \ "0.123344,0.129587,0.141151,0.164279,0.209903,0.300253,0.479361", \ "0.133683,0.139226,0.149545,0.170339,0.212554,0.300434,0.479358", \ "0.153748,0.159520,0.170100,0.190938,0.229825,0.309080,0.479690"); } } timing () { related_pin : "B2"; when : "A1 & !A2 & B1 & !C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.027301,0.028574,0.030883,0.035386,0.044076,0.060730,0.092769", \ "0.032538,0.033804,0.036104,0.040596,0.049263,0.065898,0.097922", \ "0.048110,0.049403,0.051714,0.056141,0.064680,0.081247,0.113280", \ "0.070226,0.071901,0.074851,0.080455,0.090754,0.109189,0.141959", \ "0.092703,0.095015,0.099047,0.106590,0.120216,0.143487,0.182570", \ "0.111493,0.114644,0.120036,0.130172,0.148574,0.179035,0.227565", \ "0.123912,0.128040,0.135174,0.148191,0.172231,0.211837,0.273199"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.194167,0.199848,0.210307,0.231119,0.272434,0.354444,0.517347", \ "0.197389,0.203115,0.213612,0.234553,0.275974,0.358154,0.521213", \ "0.213401,0.219147,0.229683,0.250691,0.292213,0.374637,0.538008", \ "0.245272,0.250986,0.261327,0.282012,0.323525,0.405890,0.569420", \ "0.291163,0.296898,0.307399,0.327847,0.369189,0.451316,0.614655", \ "0.348148,0.354552,0.366150,0.388862,0.431672,0.513748,0.676846", \ "0.410444,0.417744,0.430919,0.456478,0.504777,0.594197,0.758704"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.021352,0.022364,0.024225,0.027856,0.034983,0.048912,0.076057", \ "0.021158,0.022186,0.024037,0.027701,0.034857,0.048831,0.076019", \ "0.021820,0.022700,0.024357,0.027744,0.034620,0.048628,0.075965", \ "0.029097,0.029914,0.031388,0.034382,0.040323,0.052032,0.076749", \ "0.042258,0.043033,0.044460,0.047300,0.052931,0.064240,0.086601", \ "0.061367,0.062158,0.063660,0.066657,0.072148,0.082982,0.104476", \ "0.084698,0.085648,0.087399,0.091020,0.097126,0.108439,0.129599"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.099309,0.104479,0.114088,0.133045,0.170439,0.244550,0.391486", \ "0.099309,0.104481,0.114089,0.133070,0.170436,0.244571,0.391491", \ "0.099309,0.104488,0.114086,0.133083,0.170445,0.244601,0.391516", \ "0.099334,0.104506,0.114095,0.133055,0.170500,0.244621,0.391514", \ "0.100872,0.105745,0.114924,0.133453,0.170600,0.244554,0.391487", \ "0.114472,0.119088,0.127403,0.143817,0.176741,0.245913,0.391481", \ "0.133731,0.138579,0.147507,0.164999,0.197607,0.260263,0.394545"); } } timing () { related_pin : "B2"; when : "A1 & !A2 & B1 & !C1 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.027292,0.028564,0.030873,0.035375,0.044059,0.060706,0.092725", \ "0.032576,0.033844,0.036143,0.040634,0.049298,0.065926,0.097935", \ "0.048277,0.049570,0.051881,0.056305,0.064846,0.081409,0.113424", \ "0.070523,0.072189,0.075148,0.080736,0.091021,0.109438,0.142179", \ "0.093097,0.095405,0.099416,0.106970,0.120556,0.143799,0.182831", \ "0.111835,0.114992,0.120386,0.130502,0.148921,0.179345,0.227839", \ "0.123930,0.128037,0.135231,0.148284,0.172372,0.212021,0.273415"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.241316,0.248321,0.261198,0.286855,0.337817,0.438675,0.639221", \ "0.243847,0.250894,0.263835,0.289587,0.340692,0.441764,0.642552", \ "0.258155,0.265227,0.278185,0.304028,0.355232,0.456619,0.657815", \ "0.288584,0.295633,0.308395,0.333899,0.385076,0.486422,0.687758", \ "0.333247,0.340274,0.353141,0.378446,0.429442,0.530558,0.731657", \ "0.392107,0.399604,0.413202,0.439450,0.490449,0.591470,0.792218", \ "0.457976,0.466370,0.481560,0.511075,0.567238,0.671736,0.872312"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.021357,0.022364,0.024218,0.027856,0.034981,0.048910,0.076052", \ "0.021169,0.022192,0.024049,0.027708,0.034862,0.048833,0.076017", \ "0.021816,0.022703,0.024372,0.027740,0.034630,0.048635,0.075964", \ "0.029005,0.029820,0.031307,0.034304,0.040263,0.051986,0.076728", \ "0.042070,0.042849,0.044294,0.047126,0.052787,0.064147,0.086529", \ "0.061143,0.061939,0.063441,0.066451,0.071949,0.082823,0.104362", \ "0.084598,0.085538,0.087292,0.090889,0.096977,0.108301,0.129471"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.124616,0.130964,0.142684,0.166020,0.211894,0.302421,0.481725", \ "0.124619,0.130969,0.142687,0.166021,0.211909,0.302422,0.481728", \ "0.124624,0.130973,0.142689,0.166020,0.211829,0.302402,0.481728", \ "0.124639,0.130986,0.142700,0.166026,0.211912,0.302420,0.481712", \ "0.125096,0.131366,0.142975,0.166174,0.211908,0.302402,0.481724", \ "0.135008,0.140587,0.150978,0.171904,0.214325,0.302548,0.481715", \ "0.155056,0.160837,0.171429,0.192285,0.231247,0.310888,0.482021"); } } timing () { related_pin : "B2"; when : "A1 & !A2 & B1 & C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.027806,0.029074,0.031373,0.035865,0.044541,0.061191,0.093233", \ "0.033087,0.034352,0.036645,0.041122,0.049780,0.066411,0.098445", \ "0.048806,0.050088,0.052383,0.056778,0.065323,0.081894,0.113937", \ "0.071232,0.072883,0.075805,0.081348,0.091586,0.109958,0.142690", \ "0.094144,0.096425,0.100362,0.107824,0.121330,0.144459,0.183433", \ "0.113378,0.116506,0.121834,0.131772,0.149997,0.180258,0.228594", \ "0.126086,0.130166,0.137258,0.150084,0.173947,0.213298,0.274429"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.274813,0.281854,0.294745,0.320380,0.371389,0.472575,0.673345", \ "0.277528,0.284584,0.297510,0.323232,0.374411,0.475698,0.676693", \ "0.291992,0.299057,0.312037,0.337843,0.389129,0.490655,0.692017", \ "0.322325,0.329386,0.342154,0.367686,0.418928,0.520449,0.721888", \ "0.366881,0.373931,0.386806,0.412135,0.463215,0.564554,0.765864", \ "0.427290,0.434407,0.447432,0.473213,0.524241,0.625350,0.826348", \ "0.497625,0.505644,0.520216,0.548713,0.603171,0.705620,0.906342"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.026339,0.027295,0.029086,0.032649,0.039707,0.053528,0.080440", \ "0.026136,0.027103,0.028905,0.032489,0.039587,0.053449,0.080406", \ "0.026530,0.027404,0.029065,0.032440,0.039324,0.053251,0.080354", \ "0.034907,0.035575,0.036857,0.039547,0.045123,0.056519,0.081098", \ "0.049599,0.050189,0.051322,0.053668,0.058631,0.069208,0.090934", \ "0.070291,0.070844,0.071991,0.074350,0.078903,0.088678,0.109262", \ "0.095910,0.096566,0.097847,0.100630,0.105447,0.115246,0.135060"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.141465,0.147890,0.159765,0.183389,0.229612,0.320691,0.500670", \ "0.141467,0.147890,0.159766,0.183384,0.229583,0.320699,0.500668", \ "0.141466,0.147893,0.159768,0.183434,0.229527,0.320699,0.500665", \ "0.141473,0.147897,0.159768,0.183380,0.229526,0.320678,0.500668", \ "0.141638,0.148032,0.159863,0.183427,0.229527,0.320690,0.500663", \ "0.147946,0.153797,0.164709,0.186613,0.230529,0.320717,0.500659", \ "0.167286,0.173094,0.183765,0.204498,0.244042,0.326511,0.500769"); } } timing () { related_pin : "C1"; when : "!A1 & !A2 & !B1 & !B2 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.031138,0.032560,0.035142,0.040121,0.049621,0.067532,0.101252", \ "0.035789,0.037191,0.039751,0.044702,0.054157,0.072025,0.105716", \ "0.054270,0.055460,0.057750,0.062288,0.071271,0.088751,0.122208", \ "0.083266,0.085010,0.087970,0.093898,0.104484,0.122691,0.154863", \ "0.110358,0.112720,0.116720,0.124564,0.139121,0.164071,0.204798", \ "0.132570,0.135620,0.140887,0.150917,0.169768,0.202311,0.255353", \ "0.148104,0.151903,0.158522,0.171166,0.194651,0.235294,0.301767"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.165331,0.170228,0.179175,0.196818,0.231707,0.300849,0.438339", \ "0.168690,0.173661,0.182772,0.200704,0.236017,0.305673,0.443650", \ "0.185357,0.190276,0.199336,0.217194,0.252583,0.322614,0.461274", \ "0.218690,0.223555,0.232199,0.249881,0.284997,0.354686,0.493287", \ "0.266337,0.271307,0.280149,0.297494,0.332468,0.401848,0.540073", \ "0.319757,0.325552,0.335990,0.355653,0.393789,0.464706,0.602593", \ "0.374163,0.380922,0.393081,0.416059,0.459428,0.539063,0.682523"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.026028,0.027046,0.028913,0.032587,0.039724,0.053498,0.080346", \ "0.025546,0.026599,0.028518,0.032255,0.039478,0.053345,0.080266", \ "0.024498,0.025415,0.027140,0.030664,0.037985,0.052584,0.080001", \ "0.036193,0.036986,0.038461,0.041106,0.046017,0.055706,0.079433", \ "0.052765,0.053820,0.055873,0.059450,0.065741,0.076600,0.094999", \ "0.072851,0.074354,0.077020,0.081910,0.090109,0.103848,0.126063", \ "0.096476,0.098379,0.101791,0.108082,0.118587,0.135944,0.163102"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.068051,0.072208,0.079908,0.095175,0.125658,0.186396,0.307135", \ "0.068146,0.072284,0.079932,0.095175,0.125676,0.186397,0.307136", \ "0.068235,0.072372,0.080020,0.095232,0.125694,0.186402,0.307140", \ "0.068315,0.072443,0.080064,0.095274,0.125692,0.186386,0.307140", \ "0.070930,0.074746,0.081778,0.096329,0.126069,0.186426,0.307157", \ "0.083536,0.087345,0.094336,0.108099,0.134429,0.189474,0.307120", \ "0.100237,0.104259,0.111658,0.126104,0.154016,0.206848,0.313378"); } } timing () { related_pin : "C1"; when : "!A1 & !A2 & !B1 & B2 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.029445,0.030830,0.033349,0.038239,0.047615,0.065386,0.098990", \ "0.034170,0.035540,0.038028,0.042874,0.052183,0.069887,0.103431", \ "0.052757,0.053938,0.056142,0.060564,0.069378,0.086643,0.119899", \ "0.080449,0.082221,0.085253,0.091297,0.102066,0.120520,0.152618", \ "0.105983,0.108403,0.112520,0.120575,0.135463,0.160846,0.202090", \ "0.126317,0.129480,0.134908,0.145262,0.164591,0.197842,0.251630", \ "0.139630,0.143570,0.150437,0.163494,0.187638,0.229241,0.296824"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.192880,0.198971,0.210246,0.232367,0.276219,0.363275,0.536444", \ "0.195651,0.201883,0.213333,0.235825,0.280207,0.367901,0.541689", \ "0.211535,0.217676,0.229051,0.251464,0.295945,0.384108,0.558762", \ "0.243458,0.249531,0.260489,0.282625,0.326737,0.414472,0.589074", \ "0.287821,0.293923,0.304932,0.326556,0.370431,0.457767,0.631855", \ "0.338549,0.345352,0.357700,0.381197,0.426952,0.514436,0.688121", \ "0.390547,0.398192,0.412083,0.438579,0.489200,0.583832,0.759300"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.024270,0.025316,0.027203,0.030927,0.038144,0.052056,0.079047", \ "0.023797,0.024866,0.026779,0.030562,0.037848,0.051846,0.078932", \ "0.023456,0.024315,0.025949,0.029346,0.036503,0.051070,0.078604", \ "0.035659,0.036472,0.037937,0.040598,0.045531,0.055006,0.078286", \ "0.052408,0.053501,0.055560,0.059155,0.065463,0.076347,0.094741", \ "0.072827,0.074257,0.076973,0.081883,0.090110,0.103831,0.126015", \ "0.096805,0.098704,0.102193,0.108470,0.119008,0.136299,0.163351"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.085027,0.090258,0.099930,0.119087,0.157323,0.233348,0.384486", \ "0.085072,0.090281,0.099957,0.119112,0.157317,0.233336,0.384483", \ "0.085109,0.090326,0.099996,0.119135,0.157319,0.233334,0.384489", \ "0.085136,0.090351,0.100023,0.119138,0.157320,0.233359,0.384507", \ "0.086532,0.091526,0.100830,0.119553,0.157383,0.233335,0.384489", \ "0.097535,0.102361,0.111061,0.128046,0.162473,0.234263,0.384479", \ "0.112573,0.117559,0.126737,0.144749,0.179645,0.246418,0.386882"); } } timing () { related_pin : "C1"; when : "!A1 & !A2 & B1 & !B2 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.030028,0.031410,0.033917,0.038793,0.048159,0.065924,0.099542", \ "0.034751,0.036107,0.038591,0.043425,0.052723,0.070420,0.103982", \ "0.053261,0.054424,0.056639,0.061071,0.069893,0.087168,0.120446", \ "0.081279,0.083024,0.086011,0.091996,0.102696,0.121058,0.153154", \ "0.107232,0.109631,0.113669,0.121644,0.136410,0.161656,0.202766", \ "0.128078,0.131210,0.136617,0.146826,0.165987,0.199018,0.252596", \ "0.142031,0.145934,0.152791,0.165749,0.189621,0.230901,0.298196"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.221567,0.227648,0.238874,0.261227,0.305163,0.392414,0.565859", \ "0.225019,0.231203,0.242550,0.265188,0.309468,0.397226,0.571170", \ "0.240786,0.246945,0.258337,0.280963,0.325412,0.413649,0.588377", \ "0.272303,0.278423,0.289395,0.311678,0.356090,0.443966,0.618713", \ "0.316643,0.322722,0.333745,0.355559,0.399530,0.487130,0.661487", \ "0.370406,0.376963,0.388890,0.411773,0.456289,0.543686,0.717665", \ "0.426379,0.433646,0.446916,0.472481,0.521597,0.614397,0.788803"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.028064,0.029115,0.031035,0.034811,0.042175,0.056317,0.083440", \ "0.027537,0.028615,0.030574,0.034413,0.041859,0.056102,0.083323", \ "0.026752,0.027683,0.029432,0.033009,0.040424,0.055306,0.082993", \ "0.040138,0.040853,0.042207,0.044622,0.049305,0.059018,0.082640", \ "0.060276,0.061141,0.062844,0.065860,0.071381,0.081387,0.098944", \ "0.084492,0.085592,0.087707,0.091682,0.098580,0.110745,0.131396", \ "0.112358,0.113785,0.116419,0.121372,0.130136,0.145272,0.170154"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.098962,0.104279,0.114119,0.133597,0.172155,0.248627,0.400387", \ "0.098971,0.104289,0.114130,0.133605,0.172161,0.248623,0.400383", \ "0.098994,0.104309,0.114144,0.133606,0.172162,0.248621,0.400384", \ "0.099001,0.104315,0.114138,0.133602,0.172235,0.248603,0.400395", \ "0.099510,0.104733,0.114457,0.133713,0.172162,0.248607,0.400393", \ "0.108319,0.113059,0.121838,0.139511,0.175252,0.248931,0.400381", \ "0.123361,0.128436,0.137843,0.155976,0.191044,0.258719,0.401805"); } } timing () { related_pin : "C1"; when : "!A1 & A2 & !B1 & !B2 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.023371,0.024757,0.027284,0.032225,0.041764,0.059933,0.094258", \ "0.028310,0.029664,0.032144,0.037014,0.046461,0.064532,0.098775", \ "0.047701,0.049001,0.051355,0.055703,0.064368,0.081700,0.115436", \ "0.073555,0.075466,0.078787,0.085277,0.096746,0.116091,0.148650", \ "0.097499,0.100131,0.104530,0.113207,0.128964,0.155496,0.197982", \ "0.116410,0.119797,0.125593,0.136574,0.156968,0.191570,0.246855", \ "0.128390,0.132577,0.139862,0.153597,0.178901,0.222056,0.291368"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.179641,0.185482,0.196209,0.217192,0.258701,0.340952,0.504526", \ "0.182587,0.188540,0.199459,0.220772,0.262789,0.345644,0.509783", \ "0.198775,0.204666,0.215482,0.236742,0.278846,0.362132,0.527060", \ "0.231837,0.237674,0.248071,0.269111,0.310854,0.393736,0.558626", \ "0.278933,0.284831,0.295409,0.316081,0.357589,0.440106,0.604523", \ "0.332087,0.338728,0.350793,0.373525,0.417494,0.500550,0.664525", \ "0.386104,0.393665,0.407378,0.433340,0.482177,0.572923,0.739625"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.020998,0.022201,0.024349,0.028530,0.036452,0.051277,0.079136", \ "0.020600,0.021810,0.023978,0.028184,0.036148,0.051032,0.078980", \ "0.022898,0.023652,0.025070,0.028217,0.035102,0.049987,0.078432", \ "0.037057,0.037764,0.039177,0.041767,0.046589,0.055608,0.078230", \ "0.054839,0.055883,0.057867,0.061364,0.067455,0.077979,0.095977", \ "0.076216,0.077611,0.080213,0.084981,0.092857,0.106078,0.127653", \ "0.101010,0.102847,0.106205,0.112238,0.122406,0.139070,0.165337"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.074730,0.079709,0.089035,0.107338,0.144098,0.217590,0.364064", \ "0.074859,0.079808,0.089106,0.107366,0.144123,0.217570,0.364056", \ "0.075048,0.079969,0.089219,0.107443,0.144140,0.217576,0.364058", \ "0.075133,0.080053,0.089343,0.107500,0.144160,0.217563,0.364080", \ "0.077238,0.081898,0.090707,0.108355,0.144482,0.217633,0.364110", \ "0.088505,0.093102,0.101660,0.117916,0.150650,0.219254,0.364060", \ "0.103207,0.108026,0.117070,0.134367,0.168196,0.232851,0.367389"); } } timing () { related_pin : "C1"; when : "!A1 & A2 & !B1 & B2 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.022798,0.024151,0.026621,0.031465,0.040837,0.058763,0.092794", \ "0.027756,0.029078,0.031501,0.036274,0.045544,0.063360,0.097288", \ "0.046842,0.048157,0.050513,0.054867,0.063391,0.080494,0.113915", \ "0.071570,0.073521,0.076938,0.083500,0.095071,0.114584,0.147091", \ "0.094088,0.096782,0.101290,0.110148,0.126160,0.153024,0.195881", \ "0.111181,0.114669,0.120639,0.131892,0.152739,0.187905,0.243837", \ "0.120977,0.125305,0.132827,0.146957,0.172898,0.216916,0.287205"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.206272,0.213332,0.226326,0.251947,0.302598,0.403116,0.603148", \ "0.208624,0.215826,0.229022,0.255066,0.306330,0.407619,0.608345", \ "0.224017,0.231130,0.244223,0.270169,0.321500,0.423341,0.625043", \ "0.255666,0.262714,0.275371,0.301027,0.351944,0.453275,0.654917", \ "0.299602,0.306652,0.319417,0.344495,0.395098,0.495988,0.697047", \ "0.349978,0.357679,0.371710,0.398471,0.450242,0.550962,0.751546", \ "0.401438,0.409956,0.425472,0.455094,0.511705,0.618332,0.819302"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.020109,0.021282,0.023377,0.027489,0.035307,0.050013,0.077816", \ "0.019738,0.020916,0.023025,0.027143,0.034992,0.049758,0.077631", \ "0.022347,0.023054,0.024431,0.027443,0.034099,0.048755,0.077080", \ "0.036395,0.037174,0.038592,0.041203,0.046035,0.054974,0.077195", \ "0.054290,0.055337,0.057341,0.060845,0.066972,0.077535,0.095539", \ "0.075862,0.077256,0.079892,0.084652,0.092551,0.105801,0.127383", \ "0.100999,0.102871,0.106229,0.112281,0.122445,0.139084,0.165292"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.090076,0.096171,0.107536,0.129983,0.174760,0.263979,0.441614", \ "0.090149,0.096232,0.107566,0.130000,0.174748,0.263985,0.441617", \ "0.090253,0.096319,0.107640,0.130037,0.174739,0.263979,0.441619", \ "0.090327,0.096382,0.107689,0.130051,0.174762,0.263984,0.441620", \ "0.091554,0.097419,0.108448,0.130412,0.174797,0.263963,0.441616", \ "0.101450,0.107012,0.117150,0.137394,0.178574,0.264411,0.441599", \ "0.114768,0.120632,0.131491,0.152755,0.193994,0.273785,0.442608"); } } timing () { related_pin : "C1"; when : "!A1 & A2 & B1 & !B2 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.023346,0.024700,0.027163,0.032000,0.041367,0.059296,0.093347", \ "0.028292,0.029614,0.032032,0.036805,0.046070,0.063889,0.097841", \ "0.047403,0.048704,0.051034,0.055344,0.063887,0.081011,0.114464", \ "0.072511,0.074444,0.077789,0.084278,0.095753,0.115151,0.147629", \ "0.095499,0.098133,0.102563,0.111327,0.127191,0.153881,0.196580", \ "0.113172,0.116586,0.122533,0.133647,0.154249,0.189165,0.244845", \ "0.123703,0.127954,0.135449,0.149499,0.175076,0.218705,0.288631"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.239581,0.246632,0.259608,0.285341,0.336345,0.436903,0.637221", \ "0.242738,0.249863,0.262994,0.288992,0.340365,0.441603,0.642497", \ "0.257970,0.265077,0.278185,0.304268,0.355898,0.457546,0.659353", \ "0.289191,0.296230,0.308869,0.334752,0.385949,0.487446,0.689229", \ "0.333029,0.340040,0.352799,0.378109,0.428889,0.530002,0.731325", \ "0.386190,0.393627,0.407233,0.433063,0.484039,0.584914,0.785740", \ "0.441406,0.449526,0.464369,0.493107,0.548219,0.652781,0.853420"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.023792,0.025002,0.027179,0.031395,0.039420,0.054388,0.082276", \ "0.023334,0.024554,0.026746,0.030996,0.039069,0.054109,0.082092", \ "0.025300,0.026103,0.027617,0.030918,0.037994,0.053057,0.081535", \ "0.041489,0.042137,0.043346,0.045605,0.050046,0.058972,0.081562", \ "0.063243,0.063986,0.065489,0.068239,0.073318,0.082796,0.099834", \ "0.088816,0.089789,0.091658,0.095248,0.101572,0.113002,0.132878", \ "0.118068,0.119333,0.121669,0.126149,0.134226,0.148414,0.172240"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.106256,0.112489,0.124109,0.147012,0.192429,0.282088,0.460481", \ "0.106278,0.112508,0.124122,0.147018,0.192342,0.282098,0.460484", \ "0.106313,0.112538,0.124151,0.147010,0.192430,0.282071,0.460482", \ "0.106343,0.112562,0.124166,0.147045,0.192378,0.282118,0.460492", \ "0.106797,0.112938,0.124443,0.147117,0.192275,0.282096,0.460482", \ "0.114122,0.119807,0.130352,0.151466,0.194210,0.282228,0.460478", \ "0.127781,0.133775,0.144850,0.166419,0.207629,0.289273,0.460913"); } } timing () { related_pin : "C1"; when : "A1 & !A2 & !B1 & !B2 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.023924,0.025307,0.027829,0.032766,0.042295,0.060466,0.094811", \ "0.028847,0.030202,0.032680,0.037550,0.046989,0.065062,0.099327", \ "0.048249,0.049544,0.051864,0.056184,0.064866,0.082215,0.115981", \ "0.074434,0.076336,0.079613,0.086032,0.097400,0.116649,0.149177", \ "0.098867,0.101451,0.105788,0.114364,0.129974,0.156345,0.198677", \ "0.118333,0.121669,0.127442,0.138286,0.158450,0.192803,0.247861", \ "0.131052,0.135145,0.142408,0.156069,0.181022,0.223811,0.292784"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.209587,0.215382,0.226112,0.247216,0.288881,0.371452,0.535396", \ "0.213238,0.219097,0.229935,0.251274,0.293292,0.376340,0.540725", \ "0.229326,0.235187,0.246028,0.267396,0.309571,0.393076,0.558160", \ "0.261993,0.267791,0.278187,0.299384,0.341379,0.424536,0.589669", \ "0.309239,0.315047,0.325550,0.346307,0.387940,0.470807,0.635572", \ "0.365986,0.372298,0.383837,0.405894,0.448324,0.531133,0.695519", \ "0.424602,0.431661,0.444529,0.469391,0.516528,0.605110,0.770535"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.025134,0.026357,0.028563,0.032831,0.040932,0.056039,0.084146", \ "0.024635,0.025879,0.028113,0.032429,0.040595,0.055789,0.083989", \ "0.026207,0.027014,0.028637,0.032041,0.039337,0.054680,0.083427", \ "0.042355,0.042975,0.044168,0.046410,0.050816,0.059948,0.083147", \ "0.064477,0.065191,0.066626,0.069292,0.074284,0.083709,0.100754", \ "0.090487,0.091405,0.093195,0.096697,0.102852,0.114139,0.133889", \ "0.119975,0.121180,0.123440,0.127793,0.135669,0.149642,0.173275"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.088883,0.094017,0.103585,0.122358,0.159764,0.233946,0.381181", \ "0.088920,0.094046,0.103609,0.122357,0.159752,0.233996,0.381179", \ "0.088995,0.094106,0.103658,0.122393,0.159746,0.234009,0.381167", \ "0.089039,0.094149,0.103683,0.122458,0.159758,0.233973,0.381180", \ "0.089950,0.094938,0.104330,0.122732,0.159824,0.233927,0.381168", \ "0.099360,0.103967,0.112503,0.129459,0.163688,0.234634,0.381137", \ "0.113881,0.118863,0.128178,0.145841,0.180070,0.245562,0.383236"); } } timing () { related_pin : "C1"; when : "A1 & !A2 & !B1 & B2 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.023337,0.024690,0.027153,0.031990,0.041358,0.059287,0.093339", \ "0.028283,0.029605,0.032024,0.036793,0.046060,0.063879,0.097833", \ "0.047395,0.048694,0.051029,0.055336,0.063880,0.081002,0.114456", \ "0.072499,0.074433,0.077777,0.084269,0.095744,0.115143,0.147622", \ "0.095488,0.098120,0.102554,0.111315,0.127181,0.153872,0.196578", \ "0.113160,0.116572,0.122519,0.133636,0.154237,0.189156,0.244838", \ "0.123692,0.127941,0.135462,0.149492,0.175067,0.218697,0.288623"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.243038,0.250087,0.263084,0.288874,0.339963,0.440623,0.641125", \ "0.246236,0.253387,0.266537,0.292592,0.343987,0.445350,0.646404", \ "0.261492,0.268626,0.281762,0.307864,0.359579,0.461329,0.663272", \ "0.292666,0.299737,0.312398,0.338323,0.389622,0.491215,0.693134", \ "0.336483,0.343526,0.356284,0.381638,0.432536,0.533763,0.735237", \ "0.389876,0.397301,0.410846,0.436643,0.487648,0.588644,0.789633", \ "0.445471,0.453567,0.468360,0.497037,0.552038,0.656525,0.857296"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.023868,0.025079,0.027260,0.031492,0.039552,0.054638,0.082752", \ "0.023402,0.024626,0.026823,0.031092,0.039197,0.054356,0.082566", \ "0.025347,0.026164,0.027686,0.030995,0.038109,0.053292,0.082005", \ "0.041663,0.042309,0.043507,0.045750,0.050188,0.059182,0.082023", \ "0.063933,0.064649,0.066104,0.068787,0.073800,0.083252,0.100319", \ "0.090273,0.091193,0.092990,0.096452,0.102619,0.113878,0.133617", \ "0.120274,0.121470,0.123700,0.128024,0.135843,0.149725,0.173255"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.108025,0.114278,0.125929,0.148891,0.194402,0.284168,0.462780", \ "0.108037,0.114287,0.125944,0.148901,0.194288,0.284189,0.462784", \ "0.108074,0.114314,0.125965,0.148893,0.194404,0.284185,0.462783", \ "0.108097,0.114335,0.125983,0.148906,0.194292,0.284212,0.462779", \ "0.108505,0.114674,0.126240,0.148988,0.194250,0.284201,0.462780", \ "0.115550,0.121263,0.131882,0.153105,0.195986,0.284311,0.462775", \ "0.129254,0.135262,0.146401,0.167947,0.209142,0.291104,0.463174"); } } timing () { related_pin : "C1"; when : "A1 & !A2 & B1 & !B2 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.023886,0.025236,0.027696,0.032527,0.041890,0.059819,0.093890", \ "0.028818,0.030139,0.032562,0.037319,0.046589,0.064409,0.098385", \ "0.047952,0.049241,0.051531,0.055814,0.064371,0.081518,0.115000", \ "0.073414,0.075317,0.078613,0.085018,0.096406,0.115694,0.148154", \ "0.096873,0.099494,0.103888,0.112519,0.128180,0.154715,0.197257", \ "0.115154,0.118546,0.124407,0.135403,0.155707,0.190397,0.245815", \ "0.126487,0.130632,0.138096,0.151896,0.177150,0.220422,0.290017"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.276514,0.283579,0.296557,0.322370,0.373438,0.474540,0.675289", \ "0.280242,0.287372,0.300463,0.326467,0.377882,0.479402,0.680616", \ "0.295583,0.302727,0.315860,0.341982,0.393580,0.495660,0.697645", \ "0.326409,0.333516,0.346221,0.372175,0.423607,0.525466,0.727534", \ "0.370046,0.377102,0.389872,0.415354,0.466455,0.567903,0.769587", \ "0.424790,0.431942,0.445001,0.470560,0.521466,0.622691,0.823898", \ "0.483762,0.491600,0.505900,0.533863,0.587747,0.690590,0.891510"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.030560,0.031647,0.033643,0.037559,0.045181,0.059744,0.087383", \ "0.030005,0.031112,0.033141,0.037113,0.044806,0.059460,0.087195", \ "0.031189,0.031967,0.033483,0.036700,0.043581,0.058372,0.086630", \ "0.048797,0.049199,0.050037,0.051718,0.055383,0.064006,0.086594", \ "0.073116,0.073604,0.074689,0.076635,0.080619,0.088795,0.104675", \ "0.101813,0.102455,0.103797,0.106446,0.111314,0.120949,0.139065", \ "0.134784,0.135619,0.137270,0.140582,0.146810,0.158641,0.180073"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.124607,0.130957,0.142813,0.166094,0.211853,0.302417,0.481733", \ "0.124608,0.130961,0.142817,0.166140,0.211888,0.302421,0.481735", \ "0.124619,0.130968,0.142816,0.166128,0.211868,0.302444,0.481721", \ "0.124629,0.130976,0.142829,0.166151,0.211930,0.302479,0.481734", \ "0.124773,0.131095,0.142917,0.166171,0.211873,0.302393,0.481735", \ "0.129336,0.135267,0.146416,0.168318,0.212536,0.302435,0.481729", \ "0.143109,0.149153,0.160345,0.182080,0.223227,0.307256,0.481879"); } } timing () { related_pin : "C2"; when : "!A1 & !A2 & !B1 & !B2 & C1"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.033852,0.035267,0.037835,0.042801,0.052286,0.070176,0.103890", \ "0.038976,0.040384,0.042943,0.047891,0.057350,0.075224,0.108916", \ "0.055079,0.056399,0.058801,0.063546,0.072805,0.090539,0.124181", \ "0.081312,0.082892,0.085716,0.091152,0.101244,0.119618,0.153016", \ "0.110679,0.112691,0.116185,0.122949,0.135464,0.157596,0.195775", \ "0.139095,0.141712,0.146248,0.154741,0.170855,0.198478,0.244402", \ "0.163149,0.166509,0.172323,0.183144,0.203569,0.238517,0.294994"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.185378,0.190172,0.199039,0.216632,0.251469,0.320682,0.458219", \ "0.189446,0.194304,0.203233,0.220922,0.255906,0.325320,0.463008", \ "0.207377,0.212222,0.221172,0.238914,0.274026,0.343661,0.481642", \ "0.241038,0.245891,0.254625,0.272137,0.307179,0.376748,0.514849", \ "0.289172,0.294041,0.302872,0.320025,0.354951,0.424384,0.562407", \ "0.346133,0.351625,0.361594,0.380585,0.417511,0.487408,0.625279", \ "0.404909,0.411214,0.422654,0.444653,0.486402,0.563917,0.705362"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.025829,0.026864,0.028748,0.032451,0.039614,0.053423,0.080314", \ "0.025571,0.026631,0.028514,0.032246,0.039449,0.053308,0.080255", \ "0.024911,0.025919,0.027741,0.031410,0.038686,0.052921,0.080114", \ "0.030236,0.031126,0.032738,0.036012,0.042205,0.054318,0.079886", \ "0.039729,0.040706,0.042422,0.045756,0.052143,0.064375,0.087533", \ "0.053789,0.054912,0.056913,0.060768,0.067515,0.079954,0.103362", \ "0.071679,0.073077,0.075516,0.080234,0.088165,0.101769,0.125664"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.078750,0.082993,0.090794,0.106319,0.137189,0.198342,0.319502", \ "0.078788,0.083013,0.090811,0.106332,0.137185,0.198377,0.319502", \ "0.078808,0.083034,0.090828,0.106359,0.137194,0.198372,0.319502", \ "0.078819,0.083059,0.090845,0.106349,0.137155,0.198318,0.319497", \ "0.080053,0.084111,0.091652,0.106816,0.137295,0.198310,0.319499", \ "0.091267,0.095171,0.102247,0.116048,0.143386,0.200105,0.319487", \ "0.107511,0.111647,0.119245,0.133962,0.162113,0.215189,0.324223"); } } timing () { related_pin : "C2"; when : "!A1 & !A2 & !B1 & B2 & C1"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.032204,0.033587,0.036093,0.040961,0.050310,0.068058,0.101642", \ "0.037399,0.038772,0.041259,0.046095,0.055402,0.073095,0.106641", \ "0.053495,0.054799,0.057140,0.061769,0.070858,0.088392,0.121853", \ "0.079025,0.080628,0.083447,0.088903,0.099018,0.117398,0.150685", \ "0.107305,0.109393,0.112970,0.119853,0.132521,0.154826,0.193167", \ "0.134209,0.136891,0.141580,0.150349,0.166813,0.194880,0.241253", \ "0.156293,0.159743,0.165775,0.176982,0.197987,0.233715,0.291037"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.218309,0.224347,0.235440,0.257587,0.301450,0.388437,0.561727", \ "0.222008,0.228080,0.239271,0.261614,0.305558,0.392810,0.566276", \ "0.239464,0.245538,0.256762,0.279139,0.323253,0.410777,0.584653", \ "0.271773,0.277840,0.288838,0.310942,0.355024,0.442528,0.616473", \ "0.316364,0.322410,0.333499,0.355152,0.399037,0.486393,0.660235", \ "0.370078,0.376631,0.388554,0.411432,0.455990,0.543275,0.716908", \ "0.425965,0.433241,0.446465,0.472084,0.521209,0.613926,0.788280"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.024106,0.025153,0.027044,0.030786,0.038030,0.051969,0.078996", \ "0.023815,0.024864,0.026766,0.030527,0.037799,0.051795,0.078894", \ "0.023396,0.024388,0.026196,0.029821,0.037072,0.051373,0.078708", \ "0.029147,0.030050,0.031661,0.034899,0.041105,0.053149,0.078611", \ "0.039098,0.040026,0.041738,0.045055,0.051407,0.063526,0.086650", \ "0.053494,0.054589,0.056634,0.060440,0.067169,0.079512,0.102721", \ "0.071684,0.073108,0.075558,0.080296,0.088214,0.101728,0.125398"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.098984,0.104300,0.114108,0.133552,0.172243,0.248603,0.400399", \ "0.098992,0.104303,0.114119,0.133593,0.172203,0.248597,0.400371", \ "0.098994,0.104304,0.114129,0.133572,0.172194,0.248624,0.400384", \ "0.099007,0.104316,0.114135,0.133559,0.172202,0.248640,0.400385", \ "0.099512,0.104729,0.114415,0.133703,0.172163,0.248589,0.400384", \ "0.108282,0.113061,0.121839,0.139565,0.175271,0.248938,0.400361", \ "0.123017,0.128104,0.137439,0.155723,0.190802,0.258751,0.401829"); } } timing () { related_pin : "C2"; when : "!A1 & !A2 & B1 & !B2 & C1"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.032789,0.034164,0.036658,0.041515,0.050851,0.068593,0.102191", \ "0.037978,0.039343,0.041819,0.046647,0.055940,0.073631,0.107189", \ "0.054040,0.055334,0.057668,0.062298,0.071386,0.088923,0.122402", \ "0.079711,0.081303,0.084125,0.089527,0.099604,0.117930,0.151227", \ "0.108307,0.110337,0.113867,0.120679,0.133271,0.155490,0.193780", \ "0.135564,0.138232,0.142822,0.151514,0.167845,0.195774,0.242021", \ "0.158140,0.161579,0.167525,0.178579,0.199415,0.234925,0.292021"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.246903,0.252961,0.264120,0.286295,0.330296,0.417613,0.591120", \ "0.250811,0.256912,0.268101,0.290428,0.334572,0.422040,0.595719", \ "0.268399,0.274507,0.285721,0.308109,0.352357,0.440100,0.614114", \ "0.300602,0.306706,0.317700,0.339904,0.384113,0.471840,0.645988", \ "0.345138,0.351218,0.362308,0.384041,0.428118,0.515715,0.689711", \ "0.400879,0.407243,0.418798,0.440997,0.485128,0.572584,0.746404", \ "0.460255,0.467257,0.480029,0.504927,0.552850,0.643842,0.817743"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.027876,0.028932,0.030862,0.034655,0.042045,0.056228,0.083390", \ "0.027542,0.028610,0.030548,0.034369,0.041803,0.056048,0.083287", \ "0.026928,0.027952,0.029827,0.033570,0.041030,0.055616,0.083102", \ "0.033143,0.034025,0.035642,0.038820,0.045015,0.057273,0.082981", \ "0.044636,0.045487,0.047102,0.050208,0.056280,0.068182,0.091011", \ "0.061471,0.062367,0.064099,0.067410,0.073460,0.085080,0.107614", \ "0.082618,0.083687,0.085651,0.089597,0.096325,0.108531,0.130993"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.113110,0.118494,0.128467,0.148137,0.187010,0.263958,0.416289", \ "0.113112,0.118501,0.128450,0.148128,0.187043,0.263954,0.416289", \ "0.113121,0.118505,0.128454,0.148129,0.187006,0.263960,0.416280", \ "0.113114,0.118502,0.128466,0.148123,0.187026,0.263971,0.416289", \ "0.113288,0.118639,0.128550,0.148164,0.186990,0.263947,0.416284", \ "0.119487,0.124415,0.133553,0.151814,0.188671,0.264055,0.416287", \ "0.134465,0.139571,0.148952,0.167270,0.202307,0.271592,0.417001"); } } timing () { related_pin : "C2"; when : "!A1 & A2 & !B1 & !B2 & C1"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.026162,0.027539,0.030051,0.034970,0.044477,0.062615,0.096919", \ "0.031462,0.032827,0.035319,0.040203,0.049662,0.067742,0.101988", \ "0.047873,0.049238,0.051683,0.056375,0.065490,0.083267,0.117325", \ "0.072369,0.074111,0.077146,0.082889,0.093476,0.112497,0.146401", \ "0.099266,0.101529,0.105456,0.112876,0.126286,0.149477,0.188809", \ "0.124534,0.127451,0.132529,0.142017,0.159534,0.188900,0.236552", \ "0.144832,0.148608,0.155088,0.167127,0.189416,0.226789,0.285810"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.203795,0.209543,0.220087,0.241032,0.282466,0.364716,0.528343", \ "0.207632,0.213396,0.224012,0.245105,0.286699,0.369179,0.532996", \ "0.225253,0.231034,0.241681,0.262870,0.304558,0.387289,0.551483", \ "0.258686,0.264451,0.274913,0.295812,0.337428,0.420148,0.584404", \ "0.306168,0.311939,0.322501,0.343001,0.384521,0.467035,0.631205", \ "0.362561,0.368890,0.380407,0.402528,0.445062,0.527658,0.691625", \ "0.420677,0.427775,0.440658,0.465662,0.512892,0.601541,0.766881"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.020883,0.022072,0.024234,0.028417,0.036351,0.051189,0.079083", \ "0.020639,0.021841,0.023987,0.028173,0.036126,0.050994,0.078942", \ "0.021593,0.022561,0.024378,0.028078,0.035539,0.050399,0.078614", \ "0.029004,0.029866,0.031463,0.034637,0.040892,0.052989,0.078505", \ "0.040389,0.041258,0.042905,0.046075,0.052203,0.064078,0.087111", \ "0.055857,0.056920,0.058867,0.062514,0.068929,0.080844,0.103580", \ "0.074892,0.076214,0.078585,0.083150,0.090724,0.103715,0.126711"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.087537,0.092643,0.102124,0.120865,0.158137,0.232238,0.379273", \ "0.087580,0.092680,0.102146,0.120881,0.158158,0.232251,0.379247", \ "0.087628,0.092720,0.102182,0.120921,0.158156,0.232199,0.379272", \ "0.087659,0.092749,0.102208,0.120924,0.158166,0.232235,0.379261", \ "0.088654,0.093618,0.102882,0.121318,0.158238,0.232239,0.379271", \ "0.098145,0.102818,0.111354,0.128309,0.162361,0.232982,0.379268", \ "0.112433,0.117419,0.126600,0.144391,0.178605,0.244273,0.381504"); } } timing () { related_pin : "C2"; when : "!A1 & A2 & !B1 & B2 & C1"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.025603,0.026948,0.029406,0.034223,0.043566,0.061458,0.095462", \ "0.030935,0.032270,0.034704,0.039483,0.048768,0.066581,0.100508", \ "0.047208,0.048552,0.050965,0.055595,0.064552,0.082067,0.115799", \ "0.071070,0.072803,0.075841,0.081585,0.092144,0.111098,0.144824", \ "0.096952,0.099238,0.103196,0.110679,0.124253,0.147558,0.186931", \ "0.120686,0.123682,0.128857,0.138592,0.156401,0.186134,0.234106", \ "0.139066,0.142933,0.149647,0.161996,0.184832,0.222878,0.282582"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.235927,0.242894,0.255713,0.281299,0.331926,0.432385,0.632493", \ "0.239340,0.246369,0.259280,0.285027,0.335880,0.436584,0.636939", \ "0.256491,0.263531,0.276461,0.302208,0.353307,0.454322,0.655120", \ "0.288586,0.295593,0.308302,0.333880,0.384766,0.485821,0.686702", \ "0.332710,0.339714,0.352533,0.377583,0.428339,0.529156,0.729915", \ "0.385835,0.393266,0.406831,0.432772,0.483694,0.584404,0.784906", \ "0.440975,0.449098,0.463885,0.492678,0.547770,0.652383,0.852865"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.020028,0.021189,0.023300,0.027397,0.035206,0.049929,0.077756", \ "0.019798,0.020961,0.023052,0.027150,0.034961,0.049709,0.077584", \ "0.020812,0.021742,0.023496,0.027093,0.034409,0.049107,0.077240", \ "0.028173,0.029040,0.030615,0.033776,0.039960,0.051972,0.077283", \ "0.039704,0.040613,0.042240,0.045420,0.051470,0.063251,0.086180", \ "0.055384,0.056469,0.058387,0.062062,0.068464,0.080291,0.102851", \ "0.074673,0.076047,0.078393,0.082979,0.090548,0.103475,0.126302"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.106286,0.112516,0.124016,0.146987,0.192293,0.282081,0.460469", \ "0.106303,0.112528,0.124026,0.146989,0.192310,0.282079,0.460471", \ "0.106324,0.112548,0.124040,0.146960,0.192318,0.282097,0.460471", \ "0.106344,0.112565,0.124049,0.147006,0.192252,0.282104,0.460477", \ "0.106801,0.112944,0.124325,0.147084,0.192304,0.282068,0.460471", \ "0.114153,0.119860,0.130396,0.151521,0.194238,0.282184,0.460462", \ "0.127411,0.133418,0.144432,0.166107,0.207534,0.289294,0.460932"); } } timing () { related_pin : "C2"; when : "!A1 & A2 & B1 & !B2 & C1"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.026147,0.027494,0.029948,0.034758,0.044099,0.061990,0.096016", \ "0.031476,0.032808,0.035239,0.040013,0.049294,0.067111,0.101059", \ "0.047767,0.049105,0.051498,0.056101,0.065058,0.082592,0.116349", \ "0.071838,0.073542,0.076545,0.082225,0.092743,0.111646,0.145369", \ "0.098028,0.100273,0.104182,0.111607,0.125045,0.148237,0.187550", \ "0.122205,0.125193,0.130254,0.139867,0.157525,0.187078,0.234883", \ "0.141163,0.144964,0.151589,0.163792,0.186373,0.224158,0.283614"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.269099,0.276092,0.288948,0.314599,0.365410,0.466158,0.666556", \ "0.272775,0.279800,0.292717,0.318451,0.369468,0.470417,0.671015", \ "0.290055,0.297115,0.310056,0.335863,0.387004,0.488275,0.689260", \ "0.322031,0.329066,0.341767,0.367418,0.418523,0.519757,0.720853", \ "0.366087,0.373113,0.385928,0.411092,0.462036,0.563080,0.764083", \ "0.420876,0.428050,0.441122,0.466615,0.517411,0.618318,0.819037", \ "0.479376,0.487217,0.501522,0.529575,0.583493,0.686406,0.886971"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.023682,0.024887,0.027061,0.031282,0.039312,0.054295,0.082216", \ "0.023391,0.024592,0.026768,0.030990,0.039030,0.054056,0.082044", \ "0.024056,0.025062,0.026936,0.030743,0.038375,0.053428,0.081695", \ "0.032381,0.033225,0.034770,0.037834,0.044004,0.056120,0.081699", \ "0.045862,0.046604,0.048043,0.050897,0.056586,0.068044,0.090610", \ "0.064338,0.065116,0.066632,0.069606,0.075107,0.086034,0.107825", \ "0.086815,0.087756,0.089500,0.093020,0.099167,0.110519,0.131995"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.122809,0.129139,0.140848,0.164127,0.209848,0.300264,0.479365", \ "0.122815,0.129144,0.140850,0.164137,0.209908,0.300263,0.479362", \ "0.122820,0.129152,0.140850,0.164105,0.209824,0.300254,0.479358", \ "0.122830,0.129157,0.140852,0.164085,0.209878,0.300251,0.479361", \ "0.122990,0.129291,0.140947,0.164118,0.209884,0.300256,0.479368", \ "0.127859,0.133761,0.144730,0.166641,0.210674,0.300275,0.479349", \ "0.141274,0.147316,0.158418,0.180262,0.221644,0.305360,0.479536"); } } timing () { related_pin : "C2"; when : "A1 & !A2 & !B1 & !B2 & C1"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.026710,0.028085,0.030594,0.035505,0.045008,0.063145,0.097467", \ "0.032005,0.033369,0.035858,0.040737,0.050189,0.068272,0.102535", \ "0.048431,0.049791,0.052218,0.056880,0.066005,0.083792,0.117872", \ "0.073139,0.074832,0.077834,0.083525,0.094070,0.113043,0.146939", \ "0.100364,0.102571,0.106412,0.113755,0.127071,0.150157,0.189424", \ "0.126011,0.128903,0.133863,0.143262,0.160628,0.189810,0.237315", \ "0.146856,0.150584,0.156982,0.168875,0.190919,0.228040,0.286823"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.233608,0.239351,0.249943,0.271008,0.312625,0.395242,0.559273", \ "0.237656,0.243444,0.254060,0.275228,0.317028,0.399747,0.563968", \ "0.255396,0.261200,0.271842,0.293084,0.335007,0.417964,0.582465", \ "0.288713,0.294504,0.304937,0.325962,0.367802,0.450800,0.615429", \ "0.336199,0.341997,0.352547,0.373134,0.414863,0.497727,0.662208", \ "0.395111,0.401220,0.412350,0.433662,0.475580,0.558295,0.722602", \ "0.457222,0.463983,0.476286,0.500362,0.546325,0.633189,0.797828"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.024987,0.026212,0.028424,0.032698,0.040817,0.055951,0.084089", \ "0.024675,0.025905,0.028125,0.032420,0.040560,0.055743,0.083947", \ "0.025240,0.026278,0.028202,0.032099,0.039859,0.055114,0.083611", \ "0.033569,0.034400,0.035938,0.039028,0.045226,0.057499,0.083459", \ "0.046967,0.047704,0.049153,0.051980,0.057726,0.069298,0.092070", \ "0.065548,0.066301,0.067785,0.070698,0.076191,0.087212,0.109212", \ "0.088237,0.089123,0.090815,0.094242,0.100291,0.111623,0.133196"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.102096,0.107317,0.117014,0.136146,0.173855,0.248576,0.396450", \ "0.102105,0.107332,0.117031,0.136158,0.173922,0.248575,0.396451", \ "0.102122,0.107348,0.117041,0.136169,0.173929,0.248607,0.396442", \ "0.102142,0.107357,0.117044,0.136181,0.173872,0.248591,0.396419", \ "0.102556,0.107722,0.117335,0.136357,0.173931,0.248660,0.396434", \ "0.109555,0.114338,0.123171,0.140748,0.176223,0.248907,0.396441", \ "0.124168,0.129207,0.138462,0.156484,0.190766,0.257624,0.397740"); } } timing () { related_pin : "C2"; when : "A1 & !A2 & !B1 & B2 & C1"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.026137,0.027484,0.029938,0.034749,0.044089,0.061980,0.096007", \ "0.031467,0.032799,0.035229,0.040005,0.049284,0.067103,0.101052", \ "0.047758,0.049096,0.051489,0.056091,0.065049,0.082584,0.116341", \ "0.071827,0.073520,0.076534,0.082215,0.092734,0.111638,0.145362", \ "0.098015,0.100261,0.104170,0.111596,0.125035,0.148227,0.187543", \ "0.122190,0.125179,0.130234,0.139854,0.157514,0.187068,0.234876", \ "0.141147,0.144948,0.151579,0.163779,0.186361,0.224148,0.283606"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.272630,0.279643,0.292516,0.318179,0.369066,0.469960,0.670529", \ "0.276331,0.283377,0.296314,0.322053,0.373135,0.474225,0.674992", \ "0.293623,0.300681,0.313650,0.339504,0.390695,0.492091,0.693237", \ "0.325589,0.332630,0.345349,0.371039,0.422245,0.523576,0.724834", \ "0.369629,0.376655,0.389514,0.414714,0.465736,0.566882,0.768053", \ "0.424503,0.431656,0.444727,0.470224,0.521057,0.622093,0.822990", \ "0.483340,0.491179,0.505464,0.533440,0.587318,0.690179,0.890900"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.023753,0.024962,0.027139,0.031378,0.039443,0.054545,0.082691", \ "0.023460,0.024664,0.026849,0.031083,0.039161,0.054303,0.082518", \ "0.024113,0.025126,0.027008,0.030825,0.038497,0.053668,0.082166", \ "0.032500,0.033330,0.034880,0.037949,0.044140,0.056344,0.082164", \ "0.046160,0.046891,0.048321,0.051160,0.056862,0.068395,0.091097", \ "0.065081,0.065833,0.067305,0.070213,0.075669,0.086602,0.108448", \ "0.088177,0.089069,0.090738,0.094151,0.100152,0.111377,0.132776"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.124611,0.130961,0.142699,0.166041,0.211826,0.302403,0.481715", \ "0.124619,0.130964,0.142700,0.166038,0.211833,0.302403,0.481714", \ "0.124627,0.130971,0.142709,0.165999,0.211826,0.302392,0.481708", \ "0.124634,0.130975,0.142702,0.166044,0.211886,0.302403,0.481715", \ "0.124779,0.131096,0.142789,0.166057,0.211885,0.302389,0.481707", \ "0.129404,0.135333,0.146358,0.168394,0.212582,0.302414,0.481703", \ "0.142806,0.148857,0.159967,0.181823,0.223261,0.307309,0.481857"); } } timing () { related_pin : "C2"; when : "A1 & !A2 & B1 & !B2 & C1"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.026684,0.028028,0.030477,0.035285,0.044617,0.062514,0.096558", \ "0.032007,0.033339,0.035768,0.040536,0.049810,0.067632,0.101605", \ "0.048313,0.049639,0.052016,0.056597,0.065564,0.083107,0.116891", \ "0.072567,0.074252,0.077213,0.082858,0.093326,0.112187,0.145905", \ "0.099087,0.101296,0.105144,0.112474,0.125803,0.148899,0.188155", \ "0.123712,0.126653,0.131632,0.141107,0.158606,0.187984,0.235645", \ "0.143253,0.147004,0.153592,0.165548,0.187923,0.225422,0.284618"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.306123,0.313145,0.326032,0.351749,0.402709,0.503859,0.704653", \ "0.310003,0.317049,0.329981,0.355763,0.406881,0.508187,0.709130", \ "0.327423,0.334502,0.347489,0.373262,0.424561,0.526105,0.727427", \ "0.359310,0.366368,0.379130,0.404788,0.456023,0.557595,0.759046", \ "0.403270,0.410322,0.423151,0.448404,0.499505,0.600886,0.802256", \ "0.458477,0.465546,0.478494,0.503908,0.554913,0.656079,0.857174", \ "0.520554,0.528181,0.542106,0.569477,0.622453,0.724130,0.925021"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.030423,0.031508,0.033497,0.037424,0.045067,0.059654,0.087322", \ "0.030048,0.031145,0.033153,0.037093,0.044761,0.059403,0.087147", \ "0.030316,0.031270,0.033052,0.036677,0.044030,0.058754,0.086793", \ "0.039284,0.039964,0.041233,0.043924,0.049550,0.061298,0.086763", \ "0.054030,0.054584,0.055712,0.058050,0.063014,0.073684,0.095649", \ "0.074250,0.074792,0.075915,0.078216,0.082753,0.092594,0.113471", \ "0.099285,0.099891,0.101124,0.103770,0.108571,0.118352,0.138426"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.141462,0.147890,0.159791,0.183413,0.229655,0.320676,0.500666", \ "0.141463,0.147892,0.159792,0.183394,0.229684,0.320686,0.500668", \ "0.141469,0.147892,0.159784,0.183395,0.229661,0.320687,0.500667", \ "0.141468,0.147895,0.159786,0.183426,0.229653,0.320722,0.500664", \ "0.141522,0.147937,0.159813,0.183400,0.229511,0.320679,0.500667", \ "0.144176,0.150304,0.161694,0.184456,0.229942,0.320685,0.500667", \ "0.157057,0.163118,0.174297,0.195851,0.238041,0.323985,0.500716"); } } internal_power () { related_pin : "A1"; when : "A2 & !B1 & !B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.553365,0.544290,0.535462,0.507274,0.480587,0.460338,0.454508", \ "0.506120,0.500684,0.493874,0.489588,0.470848,0.471097,0.476148", \ "0.433642,0.430148,0.436048,0.433612,0.447314,0.464690,0.486269", \ "0.548115,0.537623,0.531393,0.517943,0.486932,0.475328,0.498330", \ "0.794300,0.778512,0.756549,0.737072,0.684742,0.626864,0.558049", \ "1.197468,1.181359,1.154968,1.107805,1.032737,0.916124,0.780858", \ "1.774218,1.759043,1.720799,1.664834,1.543492,1.375733,1.155324"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("4.466792,4.477652,4.487092,4.502758,4.548570,4.572729,4.590124", \ "4.319378,4.334489,4.357558,4.405274,4.410894,4.522202,4.562094", \ "4.202519,4.212774,4.223684,4.249228,4.293295,4.349225,4.475457", \ "4.310700,4.313011,4.311217,4.317591,4.300951,4.360356,4.421038", \ "4.632506,4.625759,4.605334,4.585476,4.524486,4.506200,4.489084", \ "4.975481,4.988814,5.000271,5.049857,4.953040,4.865554,4.741222", \ "5.582196,5.576115,5.573567,5.537248,5.535622,5.459886,5.196940"); } } internal_power () { related_pin : "A1"; when : "A2 & !B1 & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.622483,0.613552,0.605449,0.577586,0.547318,0.500061,0.466143", \ "0.579046,0.573314,0.567264,0.552219,0.537019,0.507787,0.486768", \ "0.501434,0.495953,0.500744,0.496516,0.498751,0.500189,0.505968", \ "0.594455,0.591900,0.577472,0.566675,0.535935,0.521350,0.531156", \ "0.813457,0.799178,0.786231,0.765714,0.720912,0.665390,0.603747", \ "1.173666,1.160503,1.139300,1.099511,1.039179,0.942918,0.815979", \ "1.690285,1.671307,1.644391,1.593192,1.501983,1.358906,1.163746"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("4.358318,4.370598,4.394823,4.416580,4.440586,4.465664,4.484488", \ "4.210800,4.227181,4.258694,4.294027,4.366902,4.417387,4.458847", \ "4.096954,4.107961,4.122015,4.148650,4.206285,4.263708,4.377760", \ "4.200711,4.204342,4.199914,4.221801,4.226701,4.258403,4.286664", \ "4.500760,4.494776,4.489085,4.459436,4.453616,4.388161,4.374917", \ "4.911865,4.933628,4.953639,4.892385,4.821626,4.748216,4.633009", \ "5.428048,5.428784,5.428056,5.438421,5.422927,5.246164,5.056153"); } } internal_power () { related_pin : "A1"; when : "A2 & !B1 & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.588550,0.587115,0.576674,0.557636,0.530135,0.491341,0.457626", \ "0.547038,0.544225,0.539568,0.529328,0.519822,0.495299,0.484513", \ "0.472936,0.480255,0.485123,0.486758,0.495506,0.498818,0.505444", \ "0.564080,0.559588,0.553092,0.535951,0.514261,0.512966,0.528617", \ "0.794617,0.786091,0.774913,0.751788,0.706301,0.650163,0.599028", \ "1.174536,1.159039,1.138742,1.098551,1.025566,0.928092,0.808020", \ "1.716586,1.701310,1.665772,1.605789,1.503918,1.350688,1.161580"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("5.578746,5.591601,5.606631,5.628494,5.635145,5.722422,5.762969", \ "5.458990,5.474678,5.497635,5.528410,5.581061,5.681245,5.763067", \ "5.326961,5.339100,5.351092,5.393981,5.445358,5.532730,5.612425", \ "5.387838,5.396474,5.401277,5.421397,5.421494,5.509728,5.570838", \ "5.648407,5.649169,5.647515,5.646433,5.635652,5.637538,5.651616", \ "6.098875,6.091803,6.075124,6.059386,5.974908,5.938743,5.902491", \ "6.562435,6.573475,6.586126,6.610309,6.582234,6.465322,6.318735"); } } internal_power () { related_pin : "A1"; when : "A2 & !B1 & B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.580261,0.568848,0.557694,0.537138,0.504460,0.470201,0.455620", \ "0.539949,0.537992,0.528052,0.516606,0.504452,0.488584,0.486877", \ "0.472831,0.473837,0.476490,0.484654,0.492068,0.501706,0.515424", \ "0.584876,0.582839,0.566250,0.559238,0.529666,0.518735,0.537689", \ "0.810902,0.795728,0.781461,0.763744,0.720457,0.662083,0.599868", \ "1.176939,1.162874,1.133705,1.101291,1.035159,0.932752,0.810024", \ "1.700979,1.681018,1.651734,1.596513,1.502300,1.354119,1.156746"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("4.515369,4.518563,4.535480,4.553862,4.544217,4.568712,4.594166", \ "4.359416,4.375445,4.400030,4.432615,4.472417,4.522126,4.582690", \ "4.236226,4.247191,4.263874,4.287984,4.313877,4.371363,4.442376", \ "4.345006,4.341002,4.341337,4.337716,4.340336,4.356725,4.404129", \ "4.630944,4.626105,4.617886,4.594090,4.559210,4.494291,4.491502", \ "5.023227,5.048193,5.075041,5.009742,4.915584,4.851147,4.744213", \ "5.526637,5.521882,5.526527,5.545821,5.534299,5.386633,5.162021"); } } internal_power () { related_pin : "A1"; when : "A2 & !B1 & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.630944,0.621451,0.610864,0.585782,0.551127,0.504315,0.468405", \ "0.594716,0.589105,0.583807,0.568345,0.547923,0.522348,0.495660", \ "0.525221,0.524286,0.529787,0.535061,0.528856,0.529711,0.531811", \ "0.623982,0.622443,0.613411,0.598868,0.568682,0.554730,0.563889", \ "0.828000,0.819870,0.808870,0.791962,0.746132,0.694198,0.631593", \ "1.161402,1.149537,1.128264,1.095536,1.042998,0.955709,0.839785", \ "1.634422,1.616870,1.590875,1.551156,1.471034,1.344519,1.164880"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("4.443032,4.448590,4.466563,4.486367,4.506416,4.527241,4.541791", \ "4.288350,4.305043,4.326914,4.350088,4.398379,4.462685,4.519298", \ "4.166739,4.178052,4.188242,4.213657,4.249929,4.302048,4.402513", \ "4.267190,4.265544,4.266547,4.264303,4.273849,4.291865,4.327790", \ "4.545648,4.535917,4.522969,4.491416,4.458452,4.436312,4.380117", \ "4.992350,4.976205,4.952549,4.916072,4.846895,4.746365,4.639417", \ "5.437616,5.440104,5.454148,5.488140,5.392699,5.220276,5.058422"); } } internal_power () { related_pin : "A1"; when : "A2 & !B1 & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.597529,0.596231,0.585658,0.566016,0.538146,0.493176,0.464627", \ "0.563513,0.560667,0.558925,0.553448,0.533924,0.508553,0.495702", \ "0.503227,0.511113,0.515357,0.520233,0.517294,0.526562,0.530953", \ "0.593436,0.590931,0.585053,0.571727,0.551345,0.551063,0.565327", \ "0.809821,0.802303,0.786620,0.773899,0.732673,0.679997,0.631837", \ "1.161433,1.147454,1.130149,1.095349,1.029608,0.946438,0.830999", \ "1.659236,1.645126,1.616782,1.564038,1.473934,1.334584,1.159548"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("5.658678,5.671272,5.687886,5.701015,5.726086,5.761847,5.800959", \ "5.546306,5.561927,5.585844,5.608364,5.668362,5.722858,5.775051", \ "5.408057,5.414533,5.437641,5.458037,5.485595,5.588325,5.662716", \ "5.463304,5.465960,5.465669,5.485433,5.494338,5.531544,5.629196", \ "5.700520,5.697025,5.699744,5.682917,5.660364,5.662200,5.674628", \ "6.109792,6.106210,6.094112,6.065086,6.031879,5.961377,5.884454", \ "6.603161,6.618921,6.649495,6.636392,6.554025,6.421623,6.297470"); } } internal_power () { related_pin : "A1"; when : "A2 & B1 & !B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.543469,0.540888,0.527723,0.513012,0.490326,0.462371,0.449073", \ "0.507027,0.504730,0.506160,0.501516,0.488922,0.478910,0.485696", \ "0.450530,0.458659,0.460019,0.463577,0.480527,0.497724,0.516593", \ "0.553857,0.550794,0.542291,0.532165,0.507670,0.516733,0.532146", \ "0.790624,0.781027,0.768027,0.746542,0.701145,0.645933,0.597572", \ "1.174756,1.159534,1.136359,1.095754,1.020831,0.923068,0.802609", \ "1.724680,1.708283,1.671496,1.608452,1.500275,1.345435,1.151206"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("5.716884,5.728724,5.743103,5.763364,5.786654,5.827319,5.873112", \ "5.598098,5.612936,5.635490,5.664194,5.727464,5.787336,5.852381", \ "5.458045,5.470800,5.492432,5.502893,5.572726,5.642178,5.728745", \ "5.521246,5.526719,5.525543,5.528072,5.577197,5.619260,5.689550", \ "5.777111,5.770339,5.766545,5.753458,5.738669,5.743244,5.725360", \ "6.213896,6.209760,6.190372,6.152360,6.120778,6.058040,5.964804", \ "6.662787,6.671220,6.691431,6.726207,6.702212,6.558455,6.373874"); } } internal_power () { related_pin : "A1"; when : "A2 & B1 & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.597529,0.596231,0.585658,0.566016,0.538146,0.493176,0.464627", \ "0.563513,0.560667,0.558925,0.553448,0.533924,0.508553,0.495702", \ "0.503227,0.511113,0.515357,0.520233,0.517294,0.526562,0.530953", \ "0.593436,0.590931,0.585053,0.571727,0.551345,0.551063,0.565327", \ "0.809821,0.802303,0.786620,0.773899,0.732673,0.679997,0.631837", \ "1.161433,1.147454,1.130149,1.095349,1.029608,0.946438,0.830999", \ "1.659236,1.645126,1.616782,1.564038,1.473934,1.334584,1.159548"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("5.658678,5.671272,5.687886,5.701015,5.726086,5.761847,5.800959", \ "5.546306,5.561927,5.585844,5.608364,5.668362,5.722858,5.775051", \ "5.408057,5.414533,5.437641,5.458037,5.485595,5.588325,5.662716", \ "5.463304,5.465960,5.465669,5.485433,5.494338,5.531544,5.629196", \ "5.700520,5.697025,5.699744,5.682917,5.660364,5.662200,5.674628", \ "6.109792,6.106210,6.094112,6.065086,6.031879,5.961377,5.884454", \ "6.603161,6.618921,6.649495,6.636392,6.554025,6.421623,6.297470"); } } internal_power () { related_pin : "A1"; when : "A2 & B1 & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.574292,0.571577,0.563226,0.545265,0.516908,0.489446,0.463907", \ "0.541617,0.539820,0.541295,0.532519,0.517197,0.504422,0.492376", \ "0.489150,0.495447,0.496192,0.503358,0.511389,0.520635,0.531714", \ "0.564935,0.562001,0.559363,0.545833,0.535309,0.542599,0.559207", \ "0.798829,0.788948,0.775895,0.755436,0.720964,0.672578,0.628106", \ "1.156315,1.144147,1.117888,1.078238,1.021450,0.933640,0.822576", \ "1.669047,1.652648,1.625045,1.558628,1.471633,1.334530,1.155285"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("6.882400,6.888877,6.907564,6.938381,6.948892,7.038800,7.092829", \ "6.789454,6.804861,6.824621,6.861419,6.902170,6.964789,7.075236", \ "6.647004,6.654677,6.674623,6.699431,6.762403,6.836873,6.966836", \ "6.672176,6.676720,6.681583,6.707825,6.719606,6.814517,6.890842", \ "6.878073,6.879049,6.885401,6.875545,6.869563,6.893762,6.930921", \ "7.262784,7.257123,7.253134,7.240575,7.231684,7.182693,7.175566", \ "7.804700,7.823841,7.816837,7.782659,7.727895,7.634708,7.540262"); } } internal_power () { related_pin : "A2"; when : "A1 & !B1 & !B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.544154,0.534386,0.524504,0.508511,0.484226,0.461695,0.455583", \ "0.504394,0.499280,0.488552,0.484578,0.476423,0.472478,0.481003", \ "0.396559,0.402241,0.406775,0.418430,0.429383,0.459364,0.487065", \ "0.405785,0.406120,0.406188,0.410658,0.405344,0.416012,0.456542", \ "0.493135,0.493833,0.492388,0.489597,0.481432,0.477506,0.454946", \ "0.709627,0.704078,0.694416,0.677419,0.648266,0.619829,0.572828", \ "1.083602,1.070915,1.052057,1.015824,0.956609,0.878370,0.780991"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("5.683945,5.692885,5.704454,5.713018,5.753184,5.793824,5.827906", \ "5.575718,5.587367,5.597192,5.628110,5.679136,5.736193,5.780838", \ "5.473429,5.483888,5.504753,5.536385,5.588899,5.602934,5.726150", \ "5.565885,5.572255,5.585638,5.608531,5.582827,5.662768,5.704807", \ "5.853531,5.853210,5.848131,5.841224,5.830835,5.789010,5.805137", \ "6.235626,6.254265,6.277157,6.297157,6.235613,6.149739,6.099642", \ "6.765829,6.775666,6.782921,6.799617,6.821332,6.762203,6.573812"); } } internal_power () { related_pin : "A2"; when : "A1 & !B1 & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.613817,0.604436,0.595685,0.576586,0.543407,0.498359,0.465447", \ "0.576835,0.571054,0.561081,0.550287,0.532969,0.506832,0.488204", \ "0.467386,0.470096,0.479491,0.478574,0.483773,0.496020,0.504344", \ "0.467750,0.461596,0.468154,0.466286,0.457071,0.462571,0.489620", \ "0.526816,0.528879,0.523147,0.532063,0.525014,0.519049,0.502009", \ "0.715512,0.715566,0.705806,0.693746,0.676316,0.655853,0.617511", \ "1.046368,1.042837,1.024069,0.996088,0.952184,0.891777,0.811427"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("5.603398,5.613934,5.632131,5.651649,5.660458,5.714238,5.749956", \ "5.491901,5.505129,5.520785,5.547352,5.589848,5.659454,5.705500", \ "5.392645,5.409300,5.424713,5.440415,5.506381,5.545781,5.656222", \ "5.489286,5.487970,5.495615,5.512840,5.515357,5.555069,5.597649", \ "5.753572,5.746554,5.753330,5.752528,5.753242,5.699752,5.701976", \ "6.200587,6.186325,6.181732,6.158551,6.101850,6.063259,5.966951", \ "6.661011,6.669317,6.686067,6.710634,6.690323,6.595513,6.445928"); } } internal_power () { related_pin : "A2"; when : "A1 & !B1 & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.588428,0.581659,0.571475,0.553845,0.525138,0.487786,0.461941", \ "0.546673,0.543927,0.538710,0.531723,0.515241,0.499978,0.480179", \ "0.444689,0.453746,0.460543,0.465479,0.470853,0.486771,0.498136", \ "0.434944,0.437447,0.439628,0.440255,0.436961,0.458795,0.488255", \ "0.517588,0.514816,0.513278,0.519031,0.519530,0.508208,0.499003", \ "0.714380,0.712609,0.700134,0.682020,0.670168,0.646155,0.608070", \ "1.062248,1.056160,1.037178,1.003611,0.958563,0.887578,0.810253"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("6.811708,6.823539,6.834319,6.861204,6.877905,6.973930,7.031000", \ "6.713190,6.726733,6.748474,6.768592,6.815592,6.871832,6.987884", \ "6.611875,6.625138,6.645231,6.678938,6.748428,6.811609,6.940365", \ "6.680253,6.690892,6.697288,6.722113,6.768711,6.816300,6.880979", \ "6.917999,6.924645,6.928066,6.919537,6.930757,6.951490,6.980721", \ "7.334649,7.333861,7.327001,7.333701,7.314243,7.267644,7.239038", \ "7.836913,7.847061,7.874415,7.920750,7.848236,7.773585,7.659343"); } } internal_power () { related_pin : "A2"; when : "A1 & !B1 & B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.569974,0.559946,0.552135,0.531957,0.502291,0.464252,0.456473", \ "0.537207,0.531552,0.522160,0.513625,0.499724,0.489871,0.488948", \ "0.439377,0.445928,0.450817,0.461000,0.467924,0.492314,0.514545", \ "0.450523,0.448642,0.453461,0.449787,0.451185,0.458882,0.496080", \ "0.519375,0.521629,0.518065,0.524456,0.520623,0.521138,0.500977", \ "0.715936,0.712372,0.705637,0.686681,0.672161,0.653471,0.614666", \ "1.053402,1.042755,1.029941,1.003505,0.953550,0.890200,0.808181"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("5.749203,5.754679,5.766772,5.771624,5.800866,5.818379,5.859936", \ "5.630277,5.642733,5.655370,5.668969,5.732248,5.764991,5.817951", \ "5.532388,5.543699,5.560782,5.585653,5.607287,5.654588,5.772079", \ "5.619494,5.618399,5.631369,5.634703,5.660410,5.664480,5.716023", \ "5.874361,5.877268,5.871694,5.866090,5.846620,5.851010,5.819375", \ "6.316679,6.305362,6.303045,6.263025,6.234197,6.163588,6.079475", \ "6.762442,6.773031,6.793077,6.814816,6.807368,6.688142,6.500757"); } } internal_power () { related_pin : "A2"; when : "A1 & !B1 & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.622635,0.613291,0.609150,0.584255,0.551513,0.505630,0.470104", \ "0.592617,0.586680,0.579511,0.566291,0.544765,0.520782,0.500000", \ "0.494515,0.503989,0.505930,0.512874,0.519944,0.523341,0.529568", \ "0.497599,0.499202,0.499007,0.500007,0.490687,0.497266,0.522525", \ "0.551056,0.554451,0.556572,0.562342,0.557298,0.558332,0.537698", \ "0.725237,0.723443,0.721765,0.713187,0.695885,0.685041,0.651590", \ "1.032501,1.023443,1.013083,0.988744,0.953427,0.904178,0.839847"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("5.690333,5.700833,5.711963,5.718493,5.737618,5.755154,5.826657", \ "5.577883,5.591365,5.608125,5.621948,5.670049,5.703333,5.743804", \ "5.480096,5.485069,5.501338,5.528256,5.554739,5.604050,5.700324", \ "5.560500,5.561753,5.572094,5.575722,5.570800,5.618621,5.658749", \ "5.810942,5.808121,5.807124,5.799667,5.757037,5.767403,5.769537", \ "6.219072,6.216277,6.210152,6.181801,6.131029,6.084223,5.993444", \ "6.709719,6.727398,6.752904,6.743403,6.672902,6.545929,6.416548"); } } internal_power () { related_pin : "A2"; when : "A1 & !B1 & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.597629,0.590776,0.581052,0.563833,0.533449,0.494033,0.467273", \ "0.565194,0.559967,0.556939,0.551745,0.534400,0.512641,0.495841", \ "0.479746,0.482106,0.486758,0.494256,0.499577,0.513704,0.524250", \ "0.465276,0.468770,0.468940,0.476093,0.472292,0.497509,0.521301", \ "0.542392,0.540509,0.539973,0.546226,0.552532,0.543971,0.535568", \ "0.729726,0.723444,0.717094,0.710630,0.689948,0.675209,0.645210", \ "1.047412,1.040193,1.021059,0.998892,0.951658,0.899902,0.834200"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("6.908263,6.913122,6.930420,6.947925,6.986788,7.031734,7.081842", \ "6.808922,6.816962,6.831018,6.862396,6.887938,6.981979,7.042110", \ "6.709755,6.716137,6.737097,6.760466,6.819476,6.887736,6.959085", \ "6.765225,6.768926,6.781912,6.786072,6.811711,6.857187,6.958783", \ "6.981200,6.989194,6.986303,6.998971,6.977398,6.996191,7.022875", \ "7.374639,7.369840,7.366471,7.366179,7.347691,7.296603,7.282396", \ "7.916602,7.936908,7.926067,7.907121,7.849067,7.758029,7.657502"); } } internal_power () { related_pin : "A2"; when : "A1 & B1 & !B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.542685,0.535901,0.528370,0.511229,0.489741,0.462324,0.450808", \ "0.507252,0.505290,0.507188,0.498838,0.486151,0.485827,0.485589", \ "0.425018,0.428156,0.436666,0.445017,0.457730,0.484794,0.514107", \ "0.419149,0.423090,0.427977,0.428293,0.430064,0.456580,0.499709", \ "0.510953,0.510838,0.508410,0.510524,0.515030,0.505577,0.493871", \ "0.714560,0.712209,0.699763,0.685503,0.670742,0.643264,0.608176", \ "1.068339,1.059339,1.042052,1.006268,0.950854,0.885389,0.806865"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("6.946218,6.948689,6.967575,6.978330,7.028962,7.077574,7.148289", \ "6.849138,6.853365,6.878285,6.909945,6.919988,7.027260,7.100011", \ "6.740867,6.753658,6.777499,6.799284,6.855060,6.921040,7.006275", \ "6.801225,6.811354,6.819080,6.842565,6.879513,6.926364,7.000135", \ "7.033935,7.040835,7.047215,7.037731,7.034973,7.057926,7.103568", \ "7.451171,7.452025,7.444835,7.443452,7.414757,7.356101,7.353087", \ "7.937691,7.949833,7.980746,8.011718,7.934650,7.870019,7.776420"); } } internal_power () { related_pin : "A2"; when : "A1 & B1 & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.597629,0.590776,0.581052,0.563833,0.533449,0.494033,0.467273", \ "0.565194,0.559967,0.556939,0.551745,0.534400,0.512641,0.495841", \ "0.479746,0.482106,0.486758,0.494256,0.499577,0.513704,0.524250", \ "0.465276,0.468770,0.468940,0.476093,0.472292,0.497509,0.521301", \ "0.542392,0.540509,0.539973,0.546226,0.552532,0.543971,0.535568", \ "0.729726,0.723444,0.717094,0.710630,0.689948,0.675209,0.645210", \ "1.047412,1.040193,1.021059,0.998892,0.951658,0.899902,0.834200"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("6.908263,6.913122,6.930420,6.947925,6.986788,7.031734,7.081842", \ "6.808922,6.816962,6.831018,6.862396,6.887938,6.981979,7.042110", \ "6.709755,6.716137,6.737097,6.760466,6.819476,6.887736,6.959085", \ "6.765225,6.768926,6.781912,6.786072,6.811711,6.857187,6.958783", \ "6.981200,6.989194,6.986303,6.998971,6.977398,6.996191,7.022875", \ "7.374639,7.369840,7.366471,7.366179,7.347691,7.296603,7.282396", \ "7.916602,7.936908,7.926067,7.907121,7.849067,7.758029,7.657502"); } } internal_power () { related_pin : "A2"; when : "A1 & B1 & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.573671,0.567922,0.560701,0.545153,0.516446,0.490387,0.462620", \ "0.542517,0.540524,0.541491,0.532062,0.517280,0.504240,0.493946", \ "0.458975,0.467041,0.473790,0.482877,0.494005,0.509253,0.523172", \ "0.441653,0.444591,0.446031,0.449783,0.462516,0.492953,0.522712", \ "0.529988,0.528752,0.528795,0.530808,0.539254,0.533751,0.528178", \ "0.724893,0.719638,0.709712,0.700180,0.685262,0.672448,0.636232", \ "1.055862,1.046010,1.027860,0.999838,0.955897,0.896561,0.828792"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("8.122668,8.128806,8.150133,8.167068,8.211545,8.269717,8.381601", \ "8.032295,8.046853,8.061818,8.090515,8.156365,8.223161,8.342929", \ "7.935433,7.950138,7.971836,8.003963,8.058031,8.131612,8.262234", \ "7.970431,7.983047,8.000526,8.023640,8.038140,8.139323,8.219182", \ "8.171794,8.182056,8.191643,8.204792,8.189952,8.269033,8.320371", \ "8.541381,8.540318,8.548927,8.554896,8.550922,8.521354,8.532996", \ "9.091801,9.085269,9.079107,9.073191,9.035717,8.972958,8.944116"); } } internal_power () { related_pin : "B1"; when : "!A1 & !A2 & B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("1.683028,1.688627,1.716593,1.737363,1.795885,1.870655,1.945845", \ "1.675779,1.681590,1.706082,1.727710,1.780775,1.864197,1.939346", \ "1.630020,1.641898,1.667900,1.687628,1.758452,1.834629,1.922337", \ "1.732519,1.734117,1.732915,1.722597,1.760744,1.815988,1.913325", \ "1.972307,1.972282,1.966421,1.944081,1.955746,1.926827,1.958658", \ "2.338341,2.325716,2.325756,2.304275,2.270114,2.216556,2.148858", \ "2.851987,2.842239,2.830196,2.800885,2.749650,2.657588,2.525928"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("7.137009,7.140391,7.147337,7.155853,7.189266,7.199232,7.195062", \ "7.036894,7.047136,7.056702,7.090992,7.077616,7.161330,7.172734", \ "6.892549,6.897399,6.912562,6.929373,6.941613,7.038893,7.073434", \ "6.841651,6.846520,6.842405,6.836390,6.889244,6.919046,6.950531", \ "6.843825,6.844945,6.849239,6.847839,6.858438,6.877021,6.895890", \ "6.922654,6.924363,6.923926,6.893494,6.891037,6.887768,6.944521", \ "6.964194,6.973198,7.002215,7.047814,7.099294,7.072120,7.025707"); } } internal_power () { related_pin : "B1"; when : "!A1 & !A2 & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("1.699288,1.703523,1.728727,1.738839,1.799080,1.866379,1.946300", \ "1.699765,1.704429,1.724321,1.746287,1.794712,1.875891,1.950711", \ "1.684751,1.689329,1.709890,1.732464,1.803715,1.872013,1.968969", \ "1.787604,1.789815,1.788624,1.780874,1.817608,1.873260,1.966270", \ "2.023470,2.016269,2.017288,2.011019,2.006353,1.987996,1.997862", \ "2.340169,2.337001,2.331171,2.321816,2.295193,2.261343,2.191899", \ "2.809528,2.809290,2.799067,2.775271,2.733127,2.654002,2.541325"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("7.076124,7.081813,7.092310,7.092439,7.117021,7.142377,7.138886", \ "6.975470,6.984417,7.000271,7.006517,7.039368,7.063343,7.122004", \ "6.833575,6.845773,6.857763,6.866052,6.878249,6.961288,7.043215", \ "6.777314,6.783066,6.774297,6.791453,6.790164,6.863047,6.895316", \ "6.770489,6.774527,6.769338,6.751089,6.773375,6.789895,6.856213", \ "6.824727,6.826807,6.820907,6.827530,6.813956,6.812482,6.862581", \ "6.945551,6.959784,6.996444,6.989849,6.966801,6.937333,6.903354"); } } internal_power () { related_pin : "B1"; when : "!A1 & !A2 & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("1.756131,1.761517,1.772362,1.779132,1.836402,1.891028,1.962874", \ "1.757735,1.765954,1.775278,1.781049,1.833985,1.896438,1.967338", \ "1.740996,1.745317,1.761061,1.783186,1.826289,1.900179,1.975795", \ "1.802171,1.804414,1.801411,1.811086,1.832203,1.896612,1.982832", \ "2.035228,2.037433,2.033752,2.021262,2.012698,1.982254,2.015752", \ "2.370039,2.362254,2.349087,2.338072,2.307573,2.257101,2.193991", \ "2.845046,2.833547,2.816608,2.793328,2.743407,2.661049,2.546015"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("8.292757,8.298851,8.303051,8.306638,8.320681,8.387150,8.400926", \ "8.211906,8.220135,8.239138,8.262530,8.279631,8.358443,8.385959", \ "8.069086,8.077006,8.088499,8.124789,8.171586,8.215661,8.310781", \ "8.001046,8.007409,8.001687,8.024589,8.032891,8.117023,8.213516", \ "7.980046,7.986040,7.995655,7.990728,8.009710,8.039476,8.124221", \ "8.027802,8.030889,8.036931,8.035728,8.043423,8.059129,8.128999", \ "8.194318,8.199153,8.201500,8.178575,8.186282,8.174426,8.167021"); } } internal_power () { related_pin : "B1"; when : "!A1 & A2 & B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("1.381454,1.392015,1.417598,1.480620,1.550922,1.660875,1.758617", \ "1.372153,1.391282,1.407629,1.464933,1.549122,1.640970,1.743153", \ "1.347110,1.354221,1.373492,1.431934,1.506413,1.596360,1.701260", \ "1.500298,1.497476,1.485379,1.494084,1.517619,1.579531,1.689653", \ "1.752586,1.750736,1.743088,1.743548,1.732255,1.713033,1.728967", \ "2.128088,2.121783,2.105142,2.085825,2.058735,2.008886,1.934055", \ "2.639164,2.633976,2.620574,2.593393,2.530872,2.438035,2.300113"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("6.615408,6.617267,6.622825,6.641303,6.663353,6.686132,6.659725", \ "6.507867,6.521699,6.533511,6.548348,6.608647,6.649253,6.689524", \ "6.368265,6.371554,6.395027,6.394189,6.464564,6.480891,6.594557", \ "6.308139,6.318898,6.322239,6.314160,6.331330,6.373303,6.482982", \ "6.313385,6.313953,6.314776,6.323380,6.328842,6.358747,6.406823", \ "6.382349,6.384145,6.392199,6.393282,6.361886,6.373971,6.400955", \ "6.402035,6.419153,6.454354,6.512674,6.530914,6.517763,6.506091"); } } internal_power () { related_pin : "B1"; when : "!A1 & A2 & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("1.402643,1.411207,1.442010,1.474904,1.555319,1.660827,1.759437", \ "1.400216,1.414931,1.432895,1.478851,1.547324,1.652719,1.750673", \ "1.397190,1.403836,1.436414,1.466666,1.548533,1.633084,1.747671", \ "1.549923,1.550745,1.556819,1.551856,1.574505,1.634682,1.742419", \ "1.802431,1.801185,1.797838,1.794087,1.788323,1.766169,1.792160", \ "2.131317,2.125287,2.125838,2.112981,2.083239,2.042686,1.977868", \ "2.606049,2.594641,2.582692,2.562351,2.516938,2.438582,2.318387"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("6.538502,6.550152,6.562854,6.562263,6.569266,6.586217,6.597391", \ "6.438665,6.446561,6.468623,6.477730,6.524033,6.554444,6.580923", \ "6.293520,6.309427,6.322207,6.340793,6.363562,6.451230,6.501599", \ "6.242814,6.246862,6.247481,6.258881,6.280165,6.317715,6.407004", \ "6.234539,6.237624,6.239830,6.230615,6.239986,6.265611,6.342289", \ "6.284244,6.285903,6.286624,6.288059,6.270488,6.281371,6.299772", \ "6.382450,6.407467,6.443830,6.445140,6.422983,6.406121,6.395436"); } } internal_power () { related_pin : "B1"; when : "!A1 & A2 & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("1.482919,1.503870,1.518174,1.545867,1.604316,1.669755,1.778139", \ "1.480430,1.487458,1.516333,1.544802,1.594085,1.684967,1.776905", \ "1.469720,1.475528,1.503016,1.536728,1.578172,1.665554,1.760011", \ "1.576057,1.577521,1.571649,1.575094,1.610536,1.671996,1.760637", \ "1.822397,1.823037,1.818788,1.808337,1.788164,1.772809,1.791972", \ "2.165803,2.155289,2.144976,2.117931,2.095327,2.049068,1.978908", \ "2.643233,2.634896,2.619348,2.582732,2.526702,2.444861,2.321956"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("7.758416,7.763291,7.771604,7.787711,7.830384,7.859577,7.891922", \ "7.679749,7.686146,7.707947,7.718691,7.750748,7.831671,7.877554", \ "7.531705,7.545525,7.561450,7.596939,7.639231,7.695465,7.802043", \ "7.462575,7.474693,7.471817,7.498596,7.550410,7.600100,7.667398", \ "7.449172,7.452759,7.458673,7.455918,7.504942,7.546982,7.601377", \ "7.490320,7.489442,7.495505,7.504828,7.529715,7.559395,7.598853", \ "7.641111,7.646619,7.646905,7.644906,7.634460,7.636377,7.651460"); } } internal_power () { related_pin : "B1"; when : "A1 & !A2 & B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("1.489550,1.497318,1.504394,1.555220,1.594662,1.687327,1.778921", \ "1.463544,1.485759,1.494579,1.513565,1.598003,1.668466,1.762785", \ "1.427391,1.433322,1.459891,1.490352,1.531066,1.622148,1.725396", \ "1.520001,1.521695,1.516871,1.518668,1.548242,1.612164,1.704881", \ "1.785926,1.781071,1.769538,1.762162,1.746403,1.719290,1.750723", \ "2.155166,2.143872,2.130012,2.103943,2.075502,2.008928,1.939249", \ "2.679762,2.671407,2.653087,2.607992,2.543517,2.446818,2.306354"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("7.934774,7.937405,7.948933,7.957466,7.994608,8.032175,8.074629", \ "7.857889,7.871394,7.881812,7.912025,7.948634,7.999564,8.055715", \ "7.708214,7.721360,7.746689,7.778057,7.824636,7.887120,7.964619", \ "7.643701,7.646521,7.648154,7.682547,7.719040,7.776087,7.856030", \ "7.634926,7.636774,7.651053,7.649362,7.663038,7.709730,7.829499", \ "7.689359,7.698810,7.703034,7.724925,7.740133,7.770730,7.822645", \ "7.758589,7.783267,7.821105,7.888809,7.851126,7.855217,7.871275"); } } internal_power () { related_pin : "B1"; when : "A1 & !A2 & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("1.501399,1.507895,1.524789,1.552244,1.598141,1.687331,1.780709", \ "1.497071,1.505530,1.523685,1.551829,1.599237,1.685219,1.766030", \ "1.473354,1.492480,1.512298,1.535266,1.597655,1.666903,1.763098", \ "1.574326,1.575965,1.578235,1.580878,1.606581,1.675708,1.758904", \ "1.826183,1.819200,1.813403,1.810635,1.795371,1.772041,1.804136", \ "2.165674,2.161123,2.152538,2.125227,2.099963,2.053432,1.982366", \ "2.646686,2.632508,2.619453,2.584798,2.529235,2.447784,2.324686"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("7.882319,7.887486,7.901039,7.919921,7.956189,7.987788,8.021405", \ "7.804374,7.814057,7.827658,7.852458,7.879552,7.960249,8.007200", \ "7.657893,7.671871,7.694757,7.709809,7.767592,7.824213,7.932053", \ "7.587833,7.600168,7.606832,7.629231,7.679000,7.729310,7.807352", \ "7.573996,7.578397,7.585530,7.596759,7.633819,7.675979,7.731793", \ "7.611241,7.618626,7.627245,7.627152,7.657839,7.688129,7.729253", \ "7.769943,7.771570,7.774821,7.774188,7.760739,7.764626,7.781589"); } } internal_power () { related_pin : "B1"; when : "A1 & !A2 & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("1.551012,1.556256,1.573950,1.598439,1.639435,1.692544,1.796001", \ "1.548236,1.553650,1.564597,1.580428,1.640409,1.708456,1.784076", \ "1.532314,1.537332,1.543724,1.563264,1.619124,1.690303,1.771453", \ "1.590786,1.592032,1.587542,1.606920,1.637439,1.676136,1.774255", \ "1.841554,1.836575,1.828079,1.817832,1.795324,1.777184,1.810496", \ "2.182629,2.175160,2.166556,2.145197,2.107746,2.049340,1.984061", \ "2.665157,2.656447,2.640395,2.600637,2.538802,2.450286,2.322566"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("9.096410,9.102557,9.115880,9.152770,9.178528,9.223131,9.318472", \ "9.039046,9.046540,9.068500,9.097435,9.146705,9.200355,9.305981", \ "8.895986,8.911002,8.926994,8.970081,9.002856,9.110477,9.192534", \ "8.817104,8.824386,8.828198,8.855947,8.909974,8.974370,9.101449", \ "8.792574,8.798281,8.809965,8.828831,8.863868,8.918393,9.034803", \ "8.823225,8.827508,8.842112,8.847332,8.899929,8.929356,9.031178", \ "8.971270,8.974415,8.982136,8.969874,8.976212,9.039121,9.086834"); } } internal_power () { related_pin : "B2"; when : "!A1 & !A2 & B1 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("1.673303,1.694756,1.706387,1.734225,1.785934,1.859833,1.941357", \ "1.675679,1.681558,1.704619,1.744042,1.786265,1.860292,1.944728", \ "1.625079,1.647149,1.653932,1.696244,1.747123,1.825762,1.929724", \ "1.667065,1.662283,1.669751,1.682556,1.700999,1.792574,1.887413", \ "1.785585,1.785918,1.792497,1.803224,1.814098,1.831911,1.879222", \ "1.985927,1.985783,1.985188,1.967304,1.989574,1.992072,1.984548", \ "2.303439,2.300122,2.291588,2.279581,2.257909,2.238222,2.205141"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("8.218369,8.230736,8.238574,8.241984,8.239547,8.289383,8.325869", \ "8.155272,8.158751,8.165644,8.190344,8.188422,8.210583,8.287771", \ "8.066394,8.076359,8.079747,8.091986,8.105327,8.194800,8.221086", \ "8.028055,8.031243,8.033561,8.050762,8.077584,8.107770,8.200646", \ "8.027257,8.029588,8.041586,8.041774,8.055078,8.080238,8.169859", \ "8.089358,8.091808,8.098038,8.092562,8.088404,8.155059,8.165136", \ "8.140269,8.161928,8.188493,8.233409,8.281527,8.271525,8.249097"); } } internal_power () { related_pin : "B2"; when : "!A1 & !A2 & B1 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("1.688376,1.708199,1.713136,1.748993,1.789441,1.861046,1.944104", \ "1.698223,1.702983,1.718921,1.748930,1.800494,1.872404,1.956620", \ "1.670903,1.692519,1.710167,1.747846,1.785155,1.861773,1.968267", \ "1.720877,1.728246,1.729635,1.744477,1.767988,1.851333,1.940321", \ "1.830628,1.833162,1.840052,1.841894,1.874687,1.888448,1.935973", \ "2.013564,2.015055,2.018366,2.019132,2.028796,2.037968,2.037969", \ "2.304829,2.302504,2.297549,2.288058,2.273669,2.263545,2.242721"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("8.172491,8.182650,8.183354,8.200547,8.223038,8.237109,8.248191", \ "8.106672,8.112435,8.120769,8.131133,8.132309,8.198193,8.214734", \ "8.026415,8.032419,8.040050,8.062326,8.070738,8.141998,8.168940", \ "7.980423,7.985916,7.991401,7.987582,8.008617,8.079353,8.109341", \ "7.974201,7.978919,7.982755,7.974289,7.998006,8.055503,8.094916", \ "8.019384,8.023269,8.028870,8.026355,8.036739,8.047929,8.111483", \ "8.166868,8.173344,8.180908,8.174482,8.177100,8.166162,8.155095"); } } internal_power () { related_pin : "B2"; when : "!A1 & !A2 & B1 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("1.747729,1.763961,1.772607,1.789308,1.832123,1.889570,1.960568", \ "1.757869,1.761859,1.774973,1.787743,1.826124,1.897128,1.971107", \ "1.732719,1.749667,1.763680,1.783199,1.821160,1.889330,1.975271", \ "1.747969,1.745524,1.752442,1.755685,1.788454,1.870935,1.954528", \ "1.854823,1.857413,1.859257,1.870841,1.883248,1.894992,1.946279", \ "2.040645,2.042657,2.036899,2.034452,2.044724,2.046312,2.043318", \ "2.331304,2.330381,2.321979,2.303122,2.292948,2.273981,2.245237"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("9.385711,9.391461,9.397184,9.422965,9.453073,9.489412,9.512966", \ "9.326039,9.332735,9.344432,9.360471,9.367207,9.444342,9.480451", \ "9.250355,9.257154,9.270235,9.278142,9.306846,9.392645,9.435859", \ "9.201406,9.209678,9.212544,9.215800,9.244714,9.329609,9.377217", \ "9.185328,9.199652,9.202015,9.199045,9.236550,9.277530,9.363949", \ "9.226406,9.231402,9.236893,9.234837,9.268197,9.296386,9.380292", \ "9.380769,9.376231,9.385460,9.396086,9.403521,9.408482,9.470855"); } } internal_power () { related_pin : "B2"; when : "!A1 & A2 & B1 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("1.390731,1.400992,1.437132,1.464769,1.557656,1.650967,1.766426", \ "1.371531,1.382078,1.422535,1.471139,1.551127,1.644404,1.746136", \ "1.322095,1.350217,1.368389,1.421993,1.484526,1.602064,1.709006", \ "1.407217,1.419583,1.422841,1.435948,1.454848,1.553300,1.660300", \ "1.557840,1.557957,1.563570,1.580266,1.601159,1.615884,1.659814", \ "1.774182,1.772862,1.771989,1.761770,1.778651,1.783110,1.774890", \ "2.094468,2.096883,2.085208,2.073732,2.059230,2.030596,1.998316"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("7.699876,7.700350,7.715914,7.720192,7.714077,7.744050,7.806227", \ "7.628199,7.638964,7.649291,7.668627,7.663732,7.701092,7.744110", \ "7.541704,7.552806,7.566119,7.575546,7.584641,7.678845,7.733547", \ "7.505591,7.511679,7.514909,7.528920,7.559375,7.620022,7.663985", \ "7.497744,7.507571,7.511926,7.509205,7.527922,7.556543,7.611045", \ "7.555088,7.556878,7.564739,7.585659,7.599318,7.624348,7.667855", \ "7.595801,7.620333,7.656912,7.738538,7.753727,7.712042,7.722488"); } } internal_power () { related_pin : "B2"; when : "!A1 & A2 & B1 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("1.409671,1.418181,1.451006,1.481037,1.561905,1.651852,1.761363", \ "1.404025,1.407808,1.428757,1.480866,1.565219,1.657691,1.757619", \ "1.376277,1.397438,1.418082,1.468300,1.526415,1.637778,1.747374", \ "1.460201,1.469282,1.483839,1.487835,1.512978,1.607353,1.715033", \ "1.600634,1.612789,1.616566,1.628305,1.652114,1.670709,1.715518", \ "1.800187,1.799413,1.801866,1.793492,1.815804,1.828450,1.826802", \ "2.095043,2.098228,2.095405,2.083303,2.073496,2.055912,2.036849"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("7.642605,7.645764,7.655797,7.671044,7.695866,7.717444,7.745215", \ "7.570398,7.581995,7.594228,7.604099,7.649039,7.678970,7.712321", \ "7.490546,7.495477,7.513771,7.525510,7.544413,7.581632,7.666542", \ "7.450011,7.454271,7.460867,7.466054,7.511086,7.524865,7.612289", \ "7.435680,7.446409,7.457413,7.448494,7.492821,7.529067,7.571430", \ "7.479388,7.482365,7.493924,7.508897,7.523190,7.549370,7.581336", \ "7.615625,7.622919,7.629404,7.636978,7.626609,7.628948,7.639328"); } } internal_power () { related_pin : "B2"; when : "!A1 & A2 & B1 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("1.489000,1.495793,1.506181,1.534276,1.585773,1.677920,1.772163", \ "1.479345,1.500851,1.513337,1.529236,1.588478,1.681778,1.776093", \ "1.465985,1.472635,1.497405,1.519063,1.568192,1.667746,1.765776", \ "1.502948,1.506805,1.511335,1.505331,1.560264,1.637551,1.734210", \ "1.636895,1.639808,1.634847,1.640309,1.668964,1.679376,1.725807", \ "1.826862,1.828012,1.828465,1.827137,1.832501,1.837912,1.831990", \ "2.133517,2.130916,2.122895,2.110713,2.096458,2.066475,2.041372"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("8.852478,8.857053,8.874932,8.890702,8.915791,8.952595,9.000810", \ "8.793573,8.799135,8.812720,8.830982,8.873192,8.915512,8.968829", \ "8.710596,8.723722,8.738992,8.769451,8.811128,8.863181,8.924416", \ "8.667720,8.673250,8.687243,8.693174,8.753614,8.804882,8.870449", \ "8.651704,8.663888,8.672224,8.693205,8.721364,8.769613,8.830657", \ "8.685170,8.696318,8.707821,8.721173,8.748347,8.789580,8.840184", \ "8.825846,8.829742,8.841555,8.853907,8.842306,8.862400,8.937696"); } } internal_power () { related_pin : "B2"; when : "A1 & !A2 & B1 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("1.481885,1.503918,1.523821,1.541050,1.586482,1.674697,1.781583", \ "1.478905,1.486509,1.507818,1.537451,1.599164,1.658373,1.761654", \ "1.425834,1.432810,1.461212,1.485909,1.550268,1.618355,1.724528", \ "1.445609,1.453006,1.457044,1.456746,1.501489,1.574017,1.685806", \ "1.591042,1.592508,1.596095,1.602141,1.611781,1.622279,1.674510", \ "1.804225,1.804327,1.801885,1.785984,1.787379,1.788309,1.781676", \ "2.134360,2.130635,2.123193,2.092989,2.082925,2.046121,2.003839"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("9.016651,9.019703,9.042680,9.043528,9.089320,9.137008,9.199107", \ "8.952264,8.964315,8.974244,9.001739,9.043081,9.095848,9.162123", \ "8.870211,8.882751,8.907583,8.931101,8.968795,9.028314,9.103062", \ "8.830347,8.837691,8.855111,8.867823,8.896296,8.954813,9.085637", \ "8.820658,8.832366,8.842805,8.862128,8.902930,8.956275,9.032687", \ "8.870800,8.881451,8.890575,8.916705,8.952874,9.002200,9.036952", \ "8.967700,8.998209,9.037666,9.072858,9.078366,9.102465,9.141214"); } } internal_power () { related_pin : "B2"; when : "A1 & !A2 & B1 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("1.493428,1.513701,1.530814,1.556755,1.615541,1.676895,1.780729", \ "1.498151,1.505020,1.524653,1.551214,1.612452,1.669871,1.772956", \ "1.470057,1.480354,1.496416,1.531819,1.589620,1.654209,1.762616", \ "1.508342,1.506176,1.512829,1.512068,1.559393,1.642453,1.742980", \ "1.635481,1.646094,1.647206,1.656305,1.663197,1.678989,1.729955", \ "1.831517,1.832577,1.832970,1.818534,1.836908,1.832997,1.828250", \ "2.135550,2.132917,2.123732,2.113529,2.098389,2.071497,2.038166"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("8.975965,8.981256,8.994115,9.012054,9.042099,9.080303,9.171467", \ "8.917869,8.923613,8.942139,8.972916,8.999636,9.043458,9.139547", \ "8.835553,8.848893,8.861062,8.893671,8.938215,8.991041,9.053839", \ "8.792493,8.798319,8.812447,8.820516,8.881166,8.933239,9.000581", \ "8.776472,8.788880,8.801984,8.806333,8.849540,8.897898,9.001854", \ "8.809679,8.821025,8.834495,8.840397,8.875097,8.918216,9.012273", \ "8.950696,8.954645,8.967603,8.981927,8.969683,8.990239,9.066908"); } } internal_power () { related_pin : "B2"; when : "A1 & !A2 & B1 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("1.556209,1.561264,1.569439,1.595483,1.639218,1.702478,1.786150", \ "1.553391,1.554279,1.569048,1.577581,1.646086,1.699615,1.790019", \ "1.519504,1.535997,1.550439,1.554582,1.618637,1.675733,1.779760", \ "1.524941,1.526264,1.528011,1.545672,1.586033,1.654961,1.743496", \ "1.660614,1.663219,1.662039,1.666096,1.673037,1.686344,1.740559", \ "1.852724,1.854158,1.855518,1.848237,1.841800,1.839341,1.836629", \ "2.161675,2.154330,2.146981,2.129715,2.104687,2.080735,2.040788"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("10.189420,10.195550,10.211840,10.248120,10.264760,10.359130,10.429620", \ "10.135520,10.142340,10.166890,10.195310,10.224160,10.323710,10.398100", \ "10.064680,10.072020,10.091190,10.131900,10.167550,10.233040,10.356200", \ "10.013380,10.020340,10.034420,10.064310,10.108410,10.175650,10.302570", \ "10.003610,10.010230,10.027230,10.039640,10.077390,10.181420,10.263490", \ "10.025860,10.038790,10.054380,10.077390,10.118150,10.200930,10.273310", \ "10.157680,10.162200,10.175560,10.194870,10.227700,10.268580,10.326050"); } } internal_power () { related_pin : "C1"; when : "!A1 & !A2 & !B1 & !B2 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("2.379052,2.414947,2.443597,2.515437,2.627838,2.828854,3.037337", \ "2.348854,2.363854,2.415160,2.476413,2.591502,2.791587,2.997346", \ "2.293583,2.327266,2.353761,2.418817,2.567272,2.740321,2.939614", \ "2.421312,2.431674,2.447874,2.479920,2.584536,2.712199,2.921405", \ "2.757092,2.767746,2.772182,2.787901,2.816662,2.836254,2.960406", \ "3.163832,3.170829,3.172065,3.155545,3.180377,3.181680,3.183116", \ "3.701471,3.703540,3.693999,3.690434,3.677016,3.642217,3.575634"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("9.405324,9.419211,9.427280,9.433238,9.468568,9.470578,9.504001", \ "9.307466,9.314606,9.333151,9.366273,9.404357,9.423043,9.471418", \ "9.165032,9.170620,9.190846,9.205413,9.252831,9.338318,9.411595", \ "9.107234,9.120353,9.114181,9.104903,9.123817,9.202410,9.273338", \ "9.086641,9.099329,9.087989,9.099113,9.086221,9.167580,9.216328", \ "9.106840,9.111134,9.106187,9.086210,9.121701,9.126383,9.174286", \ "9.055765,9.079532,9.112427,9.183953,9.148999,9.153290,9.226221"); } } internal_power () { related_pin : "C1"; when : "!A1 & !A2 & !B1 & B2 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("2.171684,2.211504,2.259593,2.316902,2.480725,2.679500,2.902849", \ "2.139556,2.169167,2.219197,2.280968,2.427633,2.628415,2.857139", \ "2.088230,2.124112,2.168596,2.223547,2.362113,2.570799,2.796243", \ "2.269242,2.268075,2.272713,2.312268,2.413716,2.555839,2.753831", \ "2.601516,2.611396,2.622649,2.628074,2.654856,2.697225,2.817221", \ "3.011872,3.017605,3.018016,3.007707,3.030074,3.036029,3.029616", \ "3.535311,3.536166,3.535016,3.522809,3.516461,3.469252,3.414142"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("8.923196,8.929568,8.940756,8.945232,8.965898,8.974850,8.970081", \ "8.821324,8.830457,8.839487,8.852656,8.905431,8.930780,8.990430", \ "8.679355,8.686856,8.705049,8.703963,8.761374,8.799004,8.880726", \ "8.626362,8.632110,8.632261,8.623879,8.658813,8.686123,8.766947", \ "8.608988,8.613538,8.610850,8.589940,8.618671,8.627305,8.695671", \ "8.612621,8.617372,8.611555,8.604697,8.598303,8.655568,8.668097", \ "8.621953,8.644012,8.674163,8.667260,8.660718,8.663545,8.660692"); } } internal_power () { related_pin : "C1"; when : "!A1 & !A2 & B1 & !B2 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("2.323396,2.355888,2.393677,2.453247,2.565914,2.739117,2.939277", \ "2.288207,2.301541,2.338281,2.416591,2.512842,2.686717,2.894682", \ "2.230113,2.259424,2.298902,2.327780,2.452030,2.614203,2.819591", \ "2.332107,2.346223,2.365434,2.405322,2.470642,2.594085,2.790182", \ "2.672768,2.672450,2.676775,2.676570,2.702299,2.722913,2.849859", \ "3.079091,3.076479,3.075283,3.070242,3.052964,3.048423,3.043313", \ "3.606987,3.600504,3.587513,3.574938,3.543495,3.504055,3.430933"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("10.140330,10.146420,10.155840,10.160790,10.196550,10.217310,10.280100", \ "10.057800,10.068150,10.086310,10.111950,10.144440,10.178190,10.253510", \ "9.913965,9.929849,9.939074,9.968341,10.006050,10.052520,10.147750", \ "9.851144,9.857460,9.853090,9.858083,9.901786,9.938469,10.034320", \ "9.821355,9.826545,9.833705,9.827751,9.847137,9.897642,9.964288", \ "9.825501,9.830632,9.838937,9.832332,9.831847,9.903338,9.935371", \ "9.881509,9.888996,9.891360,9.880443,9.894514,9.910272,9.976953"); } } internal_power () { related_pin : "C1"; when : "!A1 & A2 & !B1 & !B2 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("1.765248,1.809812,1.862755,1.965943,2.120759,2.356708,2.671782", \ "1.744069,1.764495,1.834082,1.935056,2.085812,2.326983,2.628032", \ "1.734233,1.772324,1.814250,1.893537,2.040091,2.291155,2.556842", \ "1.980280,1.987554,2.000711,2.039527,2.125500,2.295796,2.551872", \ "2.357432,2.366012,2.362188,2.391528,2.407221,2.469782,2.610404", \ "2.795462,2.799572,2.789544,2.794473,2.811904,2.820693,2.836788", \ "3.351879,3.340944,3.335898,3.336766,3.313305,3.286591,3.230455"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("8.848891,8.861119,8.868916,8.893744,8.899217,8.923170,8.940212", \ "8.743619,8.759039,8.781200,8.797714,8.837443,8.877938,8.961919", \ "8.606017,8.619870,8.628210,8.647241,8.687818,8.790907,8.847817", \ "8.551309,8.562830,8.561830,8.559699,8.618963,8.663293,8.718938", \ "8.537842,8.539489,8.540298,8.538109,8.540652,8.623623,8.667854", \ "8.539361,8.551428,8.558656,8.560562,8.543493,8.619758,8.655971", \ "8.491218,8.518340,8.568292,8.627115,8.620802,8.643593,8.660533"); } } internal_power () { related_pin : "C1"; when : "!A1 & A2 & !B1 & B2 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("1.633239,1.675038,1.733757,1.829537,1.993895,2.263581,2.563313", \ "1.581549,1.627158,1.673845,1.793358,1.962571,2.229500,2.508533", \ "1.596221,1.634253,1.683433,1.778808,1.933406,2.161244,2.455606", \ "1.866786,1.875330,1.889977,1.916234,2.013498,2.168690,2.414635", \ "2.247666,2.255117,2.262783,2.278568,2.303731,2.341767,2.489695", \ "2.671719,2.674875,2.676842,2.676255,2.689609,2.695138,2.709711", \ "3.212416,3.210011,3.206687,3.205194,3.189091,3.156928,3.098678"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("8.361960,8.370203,8.372073,8.395994,8.418676,8.437083,8.450117", \ "8.254247,8.269155,8.284297,8.317124,8.359409,8.395530,8.424163", \ "8.116658,8.122384,8.143825,8.157094,8.180044,8.267967,8.318598", \ "8.064947,8.069527,8.064763,8.083312,8.121026,8.159100,8.208699", \ "8.044890,8.047732,8.053579,8.035319,8.075865,8.105402,8.142623", \ "8.043687,8.053766,8.057302,8.040618,8.038698,8.059938,8.129047", \ "8.056230,8.080819,8.113689,8.111814,8.083552,8.093655,8.109639"); } } internal_power () { related_pin : "C1"; when : "!A1 & A2 & B1 & !B2 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("1.805880,1.844583,1.886743,1.980024,2.089580,2.322493,2.595999", \ "1.778414,1.796028,1.855322,1.911649,2.087950,2.301263,2.540147", \ "1.774348,1.787736,1.825864,1.901157,2.030804,2.231839,2.480704", \ "1.946012,1.955647,1.966726,1.997016,2.096994,2.239729,2.457159", \ "2.320896,2.327467,2.327556,2.333777,2.355734,2.387826,2.524704", \ "2.748564,2.753330,2.751540,2.739879,2.734760,2.725675,2.725805", \ "3.290130,3.289386,3.273310,3.266127,3.229956,3.183959,3.116823"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("9.575442,9.580346,9.590537,9.610685,9.642241,9.669833,9.702213", \ "9.494226,9.508403,9.522650,9.537088,9.594132,9.633039,9.677533", \ "9.354271,9.364588,9.381302,9.409369,9.457989,9.527431,9.618375", \ "9.285497,9.297785,9.300787,9.325021,9.354201,9.401830,9.509203", \ "9.260482,9.265095,9.269332,9.280024,9.304370,9.346240,9.402159", \ "9.260005,9.263947,9.267290,9.278025,9.301897,9.339855,9.386943", \ "9.314399,9.320735,9.327332,9.337776,9.347397,9.371916,9.410039"); } } internal_power () { related_pin : "C1"; when : "A1 & !A2 & !B1 & !B2 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("1.970003,1.986921,2.034683,2.106517,2.240442,2.445039,2.703232", \ "1.924971,1.961141,1.990178,2.090132,2.200283,2.426767,2.668791", \ "1.922158,1.932799,1.989769,2.044084,2.182718,2.373531,2.594290", \ "2.077585,2.074168,2.100357,2.123415,2.222518,2.355158,2.588651", \ "2.443663,2.452983,2.442801,2.452942,2.477468,2.495098,2.632558", \ "2.880497,2.876750,2.865796,2.871516,2.861483,2.860545,2.855660", \ "3.433546,3.425999,3.418225,3.405673,3.360171,3.321734,3.244954"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("10.182300,10.194580,10.199980,10.211730,10.277450,10.315840,10.353900", \ "10.107090,10.112580,10.130790,10.164600,10.224130,10.276970,10.326530", \ "9.958836,9.973100,9.998429,10.034080,10.081830,10.147580,10.267860", \ "9.893076,9.905811,9.904771,9.935967,9.960780,10.065500,10.137700", \ "9.866247,9.878140,9.879694,9.896438,9.925192,9.975409,10.089000", \ "9.872937,9.875942,9.887592,9.891117,9.926794,9.969954,10.074390", \ "9.891090,9.911437,9.952446,9.959384,9.959831,9.991461,10.081190"); } } internal_power () { related_pin : "C1"; when : "A1 & !A2 & !B1 & B2 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("1.840953,1.855843,1.908223,1.995979,2.131598,2.348770,2.609155", \ "1.789817,1.826956,1.858829,1.962090,2.087951,2.301657,2.538376", \ "1.784017,1.816401,1.856366,1.927595,2.023970,2.237457,2.494216", \ "1.962003,1.962609,1.979241,1.994743,2.104640,2.240809,2.459564", \ "2.333508,2.337875,2.335055,2.348941,2.348303,2.382579,2.522768", \ "2.762816,2.758453,2.748830,2.753538,2.744635,2.735458,2.732927", \ "3.299046,3.298499,3.282283,3.271936,3.240269,3.190302,3.122311"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("9.699184,9.704295,9.717620,9.739135,9.768940,9.820296,9.831831", \ "9.619954,9.633832,9.649929,9.667417,9.721329,9.761488,9.849187", \ "9.482825,9.487173,9.510944,9.541321,9.585980,9.640326,9.748381", \ "9.410651,9.423183,9.420492,9.433174,9.481489,9.531018,9.641955", \ "9.385316,9.389926,9.404214,9.392813,9.432288,9.475452,9.574484", \ "9.384938,9.389087,9.394892,9.396256,9.429146,9.469591,9.517557", \ "9.440991,9.447215,9.451846,9.463253,9.473703,9.501037,9.540823"); } } internal_power () { related_pin : "C1"; when : "A1 & !A2 & B1 & !B2 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("1.965497,1.997813,2.028469,2.070128,2.220721,2.411398,2.635504", \ "1.934361,1.949097,1.985527,2.052736,2.182161,2.368551,2.578144", \ "1.899995,1.927564,1.963991,2.010807,2.110275,2.292499,2.525752", \ "2.023675,2.040792,2.048474,2.066688,2.157249,2.296166,2.493616", \ "2.387832,2.386636,2.390044,2.386957,2.395109,2.416413,2.555904", \ "2.810775,2.807217,2.797038,2.788699,2.768193,2.761765,2.747101", \ "3.353564,3.344990,3.335908,3.307370,3.266986,3.203045,3.129767"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("10.912770,10.926140,10.944380,10.970710,10.988420,11.032910,11.128240", \ "10.854970,10.868560,10.878980,10.911240,10.946480,11.040160,11.105320", \ "10.716850,10.724750,10.745100,10.776520,10.818730,10.925360,11.007880", \ "10.640480,10.647140,10.653100,10.683390,10.714140,10.816000,10.900690", \ "10.604440,10.617480,10.625560,10.644960,10.663770,10.758650,10.835190", \ "10.603140,10.612140,10.617290,10.633930,10.658480,10.744800,10.819570", \ "10.652390,10.658200,10.667470,10.677820,10.700090,10.741260,10.842530"); } } internal_power () { related_pin : "C2"; when : "!A1 & !A2 & !B1 & !B2 & C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("2.389505,2.404547,2.456255,2.512926,2.640977,2.825804,3.048337", \ "2.347190,2.362427,2.408161,2.477182,2.587660,2.795278,3.001112", \ "2.293517,2.328482,2.367530,2.417666,2.559865,2.733851,2.947071", \ "2.367502,2.367995,2.373580,2.440341,2.542183,2.701984,2.905428", \ "2.576623,2.585278,2.600347,2.610141,2.687553,2.757359,2.911193", \ "2.836561,2.846266,2.857074,2.850761,2.898608,2.962364,3.026705", \ "3.187710,3.195155,3.197934,3.204499,3.215397,3.244138,3.265336"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("10.500920,10.503070,10.518690,10.513750,10.518080,10.585590,10.639760", \ "10.429360,10.443120,10.452170,10.460040,10.460690,10.531880,10.595580", \ "10.341650,10.355820,10.365980,10.365410,10.411730,10.493980,10.494870", \ "10.304970,10.318660,10.314280,10.320050,10.367750,10.391450,10.496140", \ "10.286850,10.303010,10.306500,10.302830,10.341020,10.360660,10.424840", \ "10.302190,10.306010,10.308540,10.312820,10.323870,10.353160,10.395960", \ "10.285250,10.301200,10.346940,10.382020,10.352950,10.424580,10.471340"); } } internal_power () { related_pin : "C2"; when : "!A1 & !A2 & !B1 & B2 & C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("2.196083,2.224055,2.251777,2.344403,2.467887,2.677388,2.904708", \ "2.151108,2.177880,2.205612,2.297555,2.447816,2.628462,2.853033", \ "2.081266,2.118790,2.169025,2.223753,2.378709,2.565137,2.781954", \ "2.186864,2.188186,2.210895,2.256449,2.343103,2.528598,2.748959", \ "2.426050,2.432453,2.439637,2.474442,2.526887,2.591265,2.753394", \ "2.694850,2.702444,2.713651,2.718900,2.748354,2.810362,2.876809", \ "3.038417,3.044368,3.049314,3.057648,3.074030,3.098056,3.126858"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("10.020820,10.025310,10.030800,10.044710,10.056830,10.084760,10.129190", \ "9.952590,9.958436,9.974448,9.970782,10.000090,10.018870,10.083590", \ "9.871101,9.877334,9.890845,9.906776,9.906998,9.981044,10.006730", \ "9.830403,9.836092,9.846980,9.847906,9.875251,9.904200,9.980123", \ "9.814818,9.820105,9.831296,9.811644,9.852430,9.860626,9.935119", \ "9.820620,9.825878,9.833814,9.818691,9.825043,9.847066,9.918730", \ "9.858534,9.866139,9.876561,9.887328,9.887065,9.902858,9.966610"); } } internal_power () { related_pin : "C2"; when : "!A1 & !A2 & B1 & !B2 & C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("2.334478,2.348435,2.398560,2.456748,2.571353,2.714851,2.941015", \ "2.288012,2.301854,2.336398,2.416777,2.514039,2.688426,2.891071", \ "2.238916,2.258051,2.288293,2.351960,2.446485,2.614208,2.819029", \ "2.267361,2.269443,2.302302,2.350543,2.425241,2.574955,2.784479", \ "2.493963,2.504904,2.517599,2.534161,2.560580,2.623536,2.780238", \ "2.764390,2.762597,2.766838,2.779380,2.795482,2.838859,2.892646", \ "3.105480,3.111980,3.109106,3.101569,3.102582,3.126785,3.140810"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("11.233240,11.239100,11.249170,11.256950,11.284530,11.342420,11.392860", \ "11.171120,11.177840,11.190280,11.212100,11.232580,11.299930,11.348120", \ "11.093840,11.101060,11.119820,11.120760,11.143040,11.228690,11.322050", \ "11.051530,11.058360,11.058590,11.065450,11.112750,11.161800,11.247860", \ "11.034920,11.041410,11.047720,11.044540,11.078790,11.108980,11.203500", \ "11.033760,11.039950,11.046420,11.057850,11.062170,11.144630,11.187360", \ "11.082890,11.082490,11.099120,11.113520,11.125630,11.150920,11.236170"); } } internal_power () { related_pin : "C2"; when : "!A1 & A2 & !B1 & !B2 & C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("1.779346,1.799906,1.869206,1.949018,2.131057,2.385706,2.664430", \ "1.741296,1.763398,1.832764,1.931734,2.094863,2.347036,2.631545", \ "1.715310,1.728281,1.786021,1.867629,2.055647,2.286612,2.573829", \ "1.865181,1.882127,1.908925,1.924077,2.062832,2.255873,2.509589", \ "2.138714,2.158933,2.173644,2.201884,2.253673,2.355198,2.522245", \ "2.452927,2.459183,2.468854,2.469388,2.524607,2.597040,2.671745", \ "2.823896,2.827781,2.839150,2.841546,2.864567,2.886628,2.924593"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("9.947312,9.949144,9.966922,9.966958,9.997821,10.030010,10.062330", \ "9.877703,9.880705,9.898820,9.914427,9.957292,9.978474,10.067050", \ "9.792566,9.795921,9.821177,9.827147,9.890914,9.937154,9.986618", \ "9.748791,9.762715,9.763223,9.783460,9.799150,9.845993,9.947214", \ "9.737155,9.739613,9.755793,9.754114,9.779796,9.822052,9.921793", \ "9.735452,9.747421,9.759736,9.767387,9.786253,9.824708,9.870646", \ "9.735706,9.755980,9.807215,9.839459,9.816548,9.885706,9.933063"); } } internal_power () { related_pin : "C2"; when : "!A1 & A2 & !B1 & B2 & C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("1.645404,1.666654,1.742901,1.825098,1.997769,2.274437,2.562933", \ "1.604872,1.632342,1.702237,1.793236,1.970949,2.204981,2.507537", \ "1.571071,1.588485,1.646900,1.740580,1.902124,2.154235,2.438479", \ "1.745421,1.762415,1.782069,1.811113,1.937442,2.134591,2.406939", \ "2.037181,2.042537,2.057003,2.101587,2.150246,2.245027,2.412448", \ "2.340058,2.345987,2.359240,2.380378,2.415459,2.475452,2.561226", \ "2.714851,2.714552,2.716524,2.720148,2.752883,2.770003,2.815623"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("9.460313,9.470737,9.475524,9.489905,9.503950,9.528798,9.556977", \ "9.393290,9.397958,9.410066,9.418255,9.449911,9.480492,9.513644", \ "9.306532,9.318511,9.334976,9.354772,9.363138,9.438620,9.483352", \ "9.266039,9.277444,9.277694,9.300352,9.327353,9.367078,9.412674", \ "9.254547,9.258556,9.268296,9.271420,9.293598,9.328653,9.372771", \ "9.255786,9.259775,9.264216,9.272547,9.295668,9.329523,9.370130", \ "9.293198,9.300530,9.313495,9.327329,9.340062,9.364676,9.400125"); } } internal_power () { related_pin : "C2"; when : "!A1 & A2 & B1 & !B2 & C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("1.827239,1.837609,1.900429,1.949494,2.102068,2.332958,2.606290", \ "1.777700,1.815397,1.847783,1.915212,2.071223,2.302254,2.551430", \ "1.736221,1.770628,1.811680,1.877465,1.999651,2.231103,2.481869", \ "1.852537,1.853699,1.875335,1.915105,2.025924,2.200666,2.429185", \ "2.122313,2.128112,2.135804,2.150147,2.216884,2.282422,2.451831", \ "2.422965,2.429786,2.424685,2.429629,2.465287,2.519844,2.582216", \ "2.787216,2.791051,2.793620,2.787737,2.799015,2.811141,2.831587"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("10.670270,10.680780,10.689230,10.702890,10.724320,10.763380,10.853550", \ "10.608540,10.614020,10.632390,10.658480,10.673820,10.757010,10.811310", \ "10.532810,10.538870,10.554080,10.571700,10.626410,10.678980,10.741030", \ "10.490590,10.496240,10.507610,10.519930,10.556520,10.605730,10.713300", \ "10.471190,10.476500,10.493440,10.485310,10.522040,10.568970,10.673930", \ "10.466120,10.478260,10.486450,10.507280,10.522710,10.571360,10.671840", \ "10.515520,10.522010,10.536060,10.554000,10.567270,10.605170,10.659440"); } } internal_power () { related_pin : "C2"; when : "A1 & !A2 & !B1 & !B2 & C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("1.981489,1.998145,2.039077,2.085450,2.248734,2.452633,2.717741", \ "1.942838,1.959267,2.011655,2.057047,2.206561,2.405036,2.671209", \ "1.905182,1.919136,1.967404,2.030824,2.146230,2.364068,2.612224", \ "1.978354,1.995574,2.009246,2.058068,2.152959,2.342148,2.573904", \ "2.242853,2.249241,2.254955,2.293292,2.317343,2.388928,2.563378", \ "2.540382,2.545251,2.542225,2.559710,2.578313,2.636305,2.702187", \ "2.911352,2.914415,2.915782,2.910624,2.906473,2.928626,2.948870"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("11.267600,11.279500,11.289870,11.310050,11.327180,11.423130,11.478680", \ "11.204640,11.217530,11.231650,11.267020,11.322680,11.373430,11.433130", \ "11.123930,11.137260,11.163240,11.175530,11.229960,11.286850,11.405030", \ "11.087180,11.100140,11.109430,11.114630,11.183670,11.243510,11.367160", \ "11.065580,11.083350,11.101750,11.109160,11.118080,11.223240,11.292170", \ "11.067350,11.079860,11.098650,11.110950,11.139530,11.225400,11.291660", \ "11.120190,11.127280,11.150440,11.180490,11.205150,11.247840,11.305510"); } } internal_power () { related_pin : "C2"; when : "A1 & !A2 & !B1 & B2 & C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("1.851357,1.868817,1.897720,1.995867,2.125731,2.347055,2.602846", \ "1.809306,1.826324,1.865936,1.961897,2.097430,2.301704,2.547934", \ "1.766712,1.780997,1.813349,1.902037,2.040181,2.234178,2.490046", \ "1.857479,1.872260,1.887371,1.923989,2.033260,2.209120,2.445005", \ "2.137391,2.143008,2.144125,2.178012,2.210103,2.277297,2.448435", \ "2.433342,2.430105,2.437334,2.444599,2.478883,2.524959,2.578793", \ "2.793835,2.797525,2.797751,2.798437,2.791835,2.813526,2.838704"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("10.793020,10.804830,10.821000,10.826430,10.849990,10.931610,10.982620", \ "10.733190,10.746140,10.761000,10.782720,10.798680,10.884920,10.940210", \ "10.657650,10.663940,10.678440,10.698070,10.753270,10.807060,10.912040", \ "10.615340,10.621910,10.634590,10.649410,10.684060,10.734170,10.842580", \ "10.595980,10.601490,10.614450,10.619380,10.649940,10.697450,10.803370", \ "10.591070,10.603420,10.613330,10.632220,10.654120,10.699960,10.801550", \ "10.641780,10.648320,10.661150,10.680620,10.694570,10.733890,10.789210"); } } internal_power () { related_pin : "C2"; when : "A1 & !A2 & B1 & !B2 & C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("1.977074,1.992232,2.035328,2.101628,2.232110,2.386344,2.645272", \ "1.934060,1.948670,1.985428,2.055235,2.136387,2.369032,2.598900", \ "1.886403,1.898422,1.930485,1.969584,2.081092,2.287229,2.518263", \ "1.938292,1.947307,1.958262,2.021480,2.107297,2.263669,2.483429", \ "2.204535,2.199174,2.207257,2.213636,2.251190,2.311409,2.484846", \ "2.491558,2.488798,2.487917,2.489809,2.504502,2.539893,2.598864", \ "2.856628,2.853574,2.847238,2.840333,2.836851,2.839573,2.853353"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("12.005430,12.018800,12.037240,12.071460,12.113490,12.168870,12.239980", \ "11.949910,11.963980,11.977760,12.013630,12.064530,12.123440,12.240100", \ "11.878700,11.893300,11.906840,11.951890,11.984090,12.088820,12.171660", \ "11.835740,11.842820,11.853090,11.875330,11.912480,12.017280,12.102010", \ "11.816380,11.822910,11.843890,11.856690,11.915550,11.980880,12.064760", \ "11.811630,11.825330,11.837740,11.854350,11.883860,11.984160,12.062580", \ "11.853990,11.867790,11.884640,11.895450,11.921630,12.018120,12.093110"); } } } } /****************************************************************************************** Module : AOI222_X4 Cell Description : Combinational cell (AOI222_X4) with drive strength X4 *******************************************************************************************/ cell (AOI222_X4) { drive_strength : 4; area : 3.724000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 87.508529; leakage_power () { when : "!A1 & !A2 & !B1 & !B2 & !C1 & !C2"; value : 76.093100; } leakage_power () { when : "!A1 & !A2 & !B1 & !B2 & !C1 & C2"; value : 90.341532; } leakage_power () { when : "!A1 & !A2 & !B1 & !B2 & C1 & !C2"; value : 80.640989; } leakage_power () { when : "!A1 & !A2 & !B1 & !B2 & C1 & C2"; value : 73.394843; } leakage_power () { when : "!A1 & !A2 & !B1 & B2 & !C1 & !C2"; value : 90.341532; } leakage_power () { when : "!A1 & !A2 & !B1 & B2 & !C1 & C2"; value : 104.583980; } leakage_power () { when : "!A1 & !A2 & !B1 & B2 & C1 & !C2"; value : 94.889518; } leakage_power () { when : "!A1 & !A2 & !B1 & B2 & C1 & C2"; value : 78.360864; } leakage_power () { when : "!A1 & !A2 & B1 & !B2 & !C1 & !C2"; value : 80.640989; } leakage_power () { when : "!A1 & !A2 & B1 & !B2 & !C1 & C2"; value : 94.889518; } leakage_power () { when : "!A1 & !A2 & B1 & !B2 & C1 & !C2"; value : 85.191729; } leakage_power () { when : "!A1 & !A2 & B1 & !B2 & C1 & C2"; value : 78.364607; } leakage_power () { when : "!A1 & !A2 & B1 & B2 & !C1 & !C2"; value : 73.691899; } leakage_power () { when : "!A1 & !A2 & B1 & B2 & !C1 & C2"; value : 78.760538; } leakage_power () { when : "!A1 & !A2 & B1 & B2 & C1 & !C2"; value : 78.764357; } leakage_power () { when : "!A1 & !A2 & B1 & B2 & C1 & C2"; value : 80.544781; } leakage_power () { when : "!A1 & A2 & !B1 & !B2 & !C1 & !C2"; value : 90.341532; } leakage_power () { when : "!A1 & A2 & !B1 & !B2 & !C1 & C2"; value : 104.584075; } leakage_power () { when : "!A1 & A2 & !B1 & !B2 & C1 & !C2"; value : 94.889517; } leakage_power () { when : "!A1 & A2 & !B1 & !B2 & C1 & C2"; value : 78.400032; } leakage_power () { when : "!A1 & A2 & !B1 & B2 & !C1 & !C2"; value : 104.584056; } leakage_power () { when : "!A1 & A2 & !B1 & B2 & !C1 & C2"; value : 118.817953; } leakage_power () { when : "!A1 & A2 & !B1 & B2 & C1 & !C2"; value : 109.131092; } leakage_power () { when : "!A1 & A2 & !B1 & B2 & C1 & C2"; value : 83.399863; } leakage_power () { when : "!A1 & A2 & B1 & !B2 & !C1 & !C2"; value : 94.889612; } leakage_power () { when : "!A1 & A2 & B1 & !B2 & !C1 & C2"; value : 109.131102; } leakage_power () { when : "!A1 & A2 & B1 & !B2 & C1 & !C2"; value : 99.440259; } leakage_power () { when : "!A1 & A2 & B1 & !B2 & C1 & C2"; value : 83.403701; } leakage_power () { when : "!A1 & A2 & B1 & B2 & !C1 & !C2"; value : 78.592160; } leakage_power () { when : "!A1 & A2 & B1 & B2 & !C1 & C2"; value : 83.660895; } leakage_power () { when : "!A1 & A2 & B1 & B2 & C1 & !C2"; value : 83.664724; } leakage_power () { when : "!A1 & A2 & B1 & B2 & C1 & C2"; value : 85.644352; } leakage_power () { when : "A1 & !A2 & !B1 & !B2 & !C1 & !C2"; value : 80.641083; } leakage_power () { when : "A1 & !A2 & !B1 & !B2 & !C1 & C2"; value : 94.889516; } leakage_power () { when : "A1 & !A2 & !B1 & !B2 & C1 & !C2"; value : 85.191729; } leakage_power () { when : "A1 & !A2 & !B1 & !B2 & C1 & C2"; value : 78.403766; } leakage_power () { when : "A1 & !A2 & !B1 & B2 & !C1 & !C2"; value : 94.889611; } leakage_power () { when : "A1 & !A2 & !B1 & B2 & !C1 & C2"; value : 109.131101; } leakage_power () { when : "A1 & !A2 & !B1 & B2 & C1 & !C2"; value : 99.440258; } leakage_power () { when : "A1 & !A2 & !B1 & B2 & C1 & C2"; value : 83.403711; } leakage_power () { when : "A1 & !A2 & B1 & !B2 & !C1 & !C2"; value : 85.191729; } leakage_power () { when : "A1 & !A2 & B1 & !B2 & !C1 & C2"; value : 99.440258; } leakage_power () { when : "A1 & !A2 & B1 & !B2 & C1 & !C2"; value : 89.745606; } leakage_power () { when : "A1 & !A2 & B1 & !B2 & C1 & C2"; value : 83.406552; } leakage_power () { when : "A1 & !A2 & B1 & B2 & !C1 & !C2"; value : 78.595894; } leakage_power () { when : "A1 & !A2 & B1 & B2 & !C1 & C2"; value : 83.664628; } leakage_power () { when : "A1 & !A2 & B1 & B2 & C1 & !C2"; value : 83.667535; } leakage_power () { when : "A1 & !A2 & B1 & B2 & C1 & C2"; value : 85.646034; } leakage_power () { when : "A1 & A2 & !B1 & !B2 & !C1 & !C2"; value : 76.424926; } leakage_power () { when : "A1 & A2 & !B1 & !B2 & !C1 & C2"; value : 81.490905; } leakage_power () { when : "A1 & A2 & !B1 & !B2 & C1 & !C2"; value : 81.494277; } leakage_power () { when : "A1 & A2 & !B1 & !B2 & C1 & C2"; value : 80.629065; } leakage_power () { when : "A1 & A2 & !B1 & B2 & !C1 & !C2"; value : 81.491000; } leakage_power () { when : "A1 & A2 & !B1 & B2 & !C1 & C2"; value : 86.556979; } leakage_power () { when : "A1 & A2 & !B1 & B2 & C1 & !C2"; value : 86.560352; } leakage_power () { when : "A1 & A2 & !B1 & B2 & C1 & C2"; value : 85.721654; } leakage_power () { when : "A1 & A2 & B1 & !B2 & !C1 & !C2"; value : 81.494277; } leakage_power () { when : "A1 & A2 & B1 & !B2 & !C1 & C2"; value : 86.560352; } leakage_power () { when : "A1 & A2 & B1 & !B2 & C1 & !C2"; value : 86.562746; } leakage_power () { when : "A1 & A2 & B1 & !B2 & C1 & C2"; value : 85.723241; } leakage_power () { when : "A1 & A2 & B1 & B2 & !C1 & !C2"; value : 80.652520; } leakage_power () { when : "A1 & A2 & B1 & B2 & !C1 & C2"; value : 85.722490; } leakage_power () { when : "A1 & A2 & B1 & B2 & C1 & !C2"; value : 85.724171; } leakage_power () { when : "A1 & A2 & B1 & B2 & C1 & C2"; value : 90.318200; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.551971; fall_capacitance : 1.342290; rise_capacitance : 1.551971; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.638572; fall_capacitance : 1.391842; rise_capacitance : 1.638572; } pin (B1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.561625; fall_capacitance : 1.451734; rise_capacitance : 1.561625; } pin (B2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.633399; fall_capacitance : 1.466147; rise_capacitance : 1.633399; } pin (C1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.549506; fall_capacitance : 1.505351; rise_capacitance : 1.549506; } pin (C2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.615440; fall_capacitance : 1.508247; rise_capacitance : 1.615440; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 242.253700; function : "!(!(!(((A1 & A2) | (B1 & B2)) | (C1 & C2))))"; timing () { related_pin : "A1"; when : "A2 & !B1 & !B2 & !C1 & !C2"; sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.113559,0.123880,0.131738,0.144408,0.165521,0.202999,0.274189", \ "0.118189,0.128510,0.136366,0.149039,0.170150,0.207631,0.278824", \ "0.134879,0.145198,0.153047,0.165715,0.186833,0.224315,0.295506", \ "0.163622,0.173975,0.181809,0.194310,0.215437,0.252897,0.324087", \ "0.193233,0.203645,0.211512,0.223844,0.244967,0.282494,0.353745", \ "0.219661,0.230266,0.238280,0.250915,0.272192,0.309759,0.380962", \ "0.240828,0.251980,0.260216,0.273201,0.294713,0.332418,0.403630"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.215774,0.233696,0.250094,0.282231,0.346448,0.474642,0.730491", \ "0.218375,0.236310,0.252685,0.284823,0.349050,0.477236,0.733092", \ "0.231318,0.249252,0.265630,0.297809,0.362022,0.490177,0.746035", \ "0.263109,0.281037,0.297388,0.329431,0.393646,0.521800,0.777661", \ "0.316726,0.334643,0.351009,0.382929,0.447109,0.575309,0.831170", \ "0.387017,0.405325,0.421682,0.453568,0.517663,0.645786,0.901667", \ "0.463016,0.482197,0.498670,0.530421,0.594368,0.722416,0.978274"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.012502,0.016533,0.020322,0.027544,0.041844,0.071300,0.132797", \ "0.012505,0.016533,0.020322,0.027545,0.041844,0.071301,0.132805", \ "0.012507,0.016535,0.020326,0.027546,0.041844,0.071298,0.132799", \ "0.012610,0.016617,0.020390,0.027590,0.041870,0.071312,0.132807", \ "0.013014,0.016954,0.020682,0.027822,0.042036,0.071410,0.132827", \ "0.013724,0.017526,0.021165,0.028196,0.042276,0.071517,0.132867", \ "0.015020,0.018597,0.022091,0.028928,0.042774,0.071777,0.132936"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.017124,0.028073,0.041888,0.071725,0.132708,0.255056,0.500000", \ "0.017124,0.028070,0.041888,0.071724,0.132707,0.255064,0.499989", \ "0.017120,0.028071,0.041889,0.071728,0.132708,0.255060,0.499999", \ "0.017112,0.028064,0.041885,0.071723,0.132715,0.255047,0.499999", \ "0.017100,0.028058,0.041882,0.071729,0.132702,0.255061,0.499992", \ "0.018157,0.028629,0.042141,0.071790,0.132735,0.255067,0.499992", \ "0.019979,0.029874,0.042762,0.071987,0.132794,0.255083,0.499998"); } } timing () { related_pin : "A1"; when : "A2 & !B1 & !B2 & !C1 & C2"; sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.113544,0.123868,0.131723,0.144391,0.165506,0.202983,0.274173", \ "0.118175,0.128500,0.136354,0.149025,0.170140,0.207618,0.278811", \ "0.134964,0.145284,0.153132,0.165801,0.186919,0.224401,0.295591", \ "0.163757,0.174113,0.181932,0.194460,0.215584,0.253041,0.324234", \ "0.193424,0.203838,0.211714,0.224007,0.245132,0.282671,0.353931", \ "0.219642,0.230253,0.238274,0.250898,0.272178,0.309744,0.380948", \ "0.240190,0.251349,0.259587,0.272574,0.294088,0.331794,0.403006"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.250379,0.269373,0.285905,0.317894,0.381941,0.510035,0.765880", \ "0.252387,0.271368,0.287883,0.319867,0.383976,0.512025,0.767894", \ "0.263968,0.282949,0.299483,0.331487,0.395530,0.523635,0.779484", \ "0.294461,0.313429,0.329881,0.361806,0.425849,0.553942,0.809784", \ "0.347065,0.365972,0.382476,0.414336,0.478364,0.606453,0.862291", \ "0.421178,0.440220,0.456704,0.488545,0.552547,0.680615,0.936472", \ "0.504154,0.524106,0.540766,0.572469,0.636289,0.764297,1.020123"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.012503,0.016533,0.020323,0.027543,0.041844,0.071300,0.132797", \ "0.012504,0.016533,0.020322,0.027543,0.041844,0.071300,0.132805", \ "0.012509,0.016535,0.020326,0.027545,0.041845,0.071298,0.132797", \ "0.012610,0.016616,0.020390,0.027590,0.041870,0.071310,0.132800", \ "0.013010,0.016952,0.020680,0.027822,0.042036,0.071410,0.132827", \ "0.013723,0.017526,0.021163,0.028195,0.042274,0.071517,0.132866", \ "0.015031,0.018606,0.022100,0.028933,0.042778,0.071780,0.132936"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.019326,0.029564,0.042660,0.071994,0.132833,0.255154,0.500045", \ "0.019323,0.029562,0.042661,0.071999,0.132823,0.255153,0.500045", \ "0.019320,0.029559,0.042657,0.071998,0.132831,0.255154,0.500041", \ "0.019276,0.029537,0.042647,0.071993,0.132840,0.255157,0.500040", \ "0.019133,0.029444,0.042601,0.071984,0.132840,0.255151,0.500042", \ "0.019557,0.029653,0.042684,0.071991,0.132823,0.255139,0.500040", \ "0.021315,0.031000,0.043405,0.072211,0.132889,0.255168,0.500044"); } } timing () { related_pin : "A1"; when : "A2 & !B1 & !B2 & C1 & !C2"; sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.118462,0.128879,0.136784,0.149501,0.170644,0.208135,0.279331", \ "0.123098,0.133512,0.141417,0.154134,0.175276,0.212765,0.283965", \ "0.139858,0.150273,0.158178,0.170893,0.192036,0.229525,0.300728", \ "0.169348,0.179789,0.187647,0.200277,0.221437,0.258928,0.330121", \ "0.201076,0.211643,0.219600,0.232003,0.253203,0.290767,0.361989", \ "0.229855,0.240723,0.248842,0.261561,0.282925,0.320562,0.391791", \ "0.253133,0.264596,0.273006,0.286139,0.307778,0.345569,0.416821"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.279822,0.299066,0.315586,0.347519,0.411527,0.539588,0.795452", \ "0.282254,0.301468,0.318019,0.349976,0.413970,0.542033,0.797879", \ "0.293889,0.313098,0.329650,0.361593,0.425585,0.553665,0.809549", \ "0.323512,0.342728,0.359232,0.391067,0.455027,0.583089,0.838921", \ "0.374883,0.394056,0.410628,0.442419,0.506386,0.634438,0.890279", \ "0.449856,0.468956,0.485476,0.517266,0.581295,0.709361,0.965217", \ "0.537617,0.557537,0.574193,0.605903,0.669699,0.797727,1.053553"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.012742,0.016729,0.020488,0.027673,0.041932,0.071348,0.132814", \ "0.012744,0.016730,0.020488,0.027672,0.041932,0.071348,0.132817", \ "0.012746,0.016733,0.020492,0.027676,0.041933,0.071346,0.132814", \ "0.012857,0.016823,0.020566,0.027729,0.041965,0.071361,0.132817", \ "0.013364,0.017240,0.020926,0.028016,0.042169,0.071477,0.132851", \ "0.014257,0.017968,0.021547,0.028498,0.042487,0.071631,0.132901", \ "0.015801,0.019268,0.022674,0.029395,0.043107,0.071965,0.132993"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.019799,0.029904,0.042838,0.072057,0.132856,0.255159,0.500037", \ "0.019795,0.029902,0.042840,0.072054,0.132850,0.255160,0.500041", \ "0.019797,0.029901,0.042837,0.072059,0.132868,0.255160,0.500043", \ "0.019790,0.029894,0.042835,0.072052,0.132856,0.255158,0.500037", \ "0.019697,0.029842,0.042809,0.072042,0.132852,0.255161,0.500041", \ "0.019648,0.029787,0.042778,0.072033,0.132852,0.255163,0.500050", \ "0.021291,0.030976,0.043393,0.072213,0.132909,0.255178,0.500056"); } } timing () { related_pin : "A1"; when : "A2 & !B1 & B2 & !C1 & !C2"; sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.113552,0.123872,0.131731,0.144398,0.165514,0.202989,0.274180", \ "0.118208,0.128532,0.136385,0.149055,0.170172,0.207649,0.278842", \ "0.135019,0.145337,0.153185,0.165854,0.186971,0.224453,0.295644", \ "0.163805,0.174171,0.181987,0.194512,0.215635,0.253103,0.324296", \ "0.193421,0.203824,0.211697,0.224012,0.245174,0.282677,0.353930", \ "0.219582,0.230179,0.238191,0.250827,0.272104,0.309671,0.380874", \ "0.240085,0.251243,0.259482,0.272466,0.293982,0.331688,0.402901"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.259749,0.278662,0.295156,0.327154,0.391190,0.519287,0.775138", \ "0.261538,0.280420,0.296921,0.328933,0.392963,0.521084,0.776948", \ "0.272556,0.291435,0.307943,0.339956,0.403991,0.532119,0.787967", \ "0.302333,0.321221,0.337684,0.369596,0.433633,0.561720,0.817576", \ "0.354071,0.372944,0.389429,0.421288,0.485311,0.613390,0.869224", \ "0.427064,0.446119,0.462601,0.494440,0.558406,0.686474,0.942321", \ "0.509169,0.529146,0.545804,0.577583,0.641321,0.769332,1.025146"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.012502,0.016533,0.020322,0.027544,0.041844,0.071299,0.132797", \ "0.012503,0.016533,0.020322,0.027543,0.041844,0.071300,0.132803", \ "0.012505,0.016536,0.020326,0.027545,0.041845,0.071298,0.132797", \ "0.012609,0.016616,0.020389,0.027590,0.041869,0.071311,0.132807", \ "0.013010,0.016953,0.020680,0.027822,0.042035,0.071410,0.132827", \ "0.013727,0.017527,0.021166,0.028196,0.042277,0.071517,0.132867", \ "0.015037,0.018610,0.022104,0.028935,0.042780,0.071782,0.132937"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.019133,0.029414,0.042577,0.071968,0.132819,0.255139,0.500032", \ "0.019125,0.029414,0.042576,0.071966,0.132812,0.255134,0.500020", \ "0.019128,0.029413,0.042577,0.071963,0.132823,0.255135,0.500024", \ "0.019125,0.029411,0.042575,0.071964,0.132807,0.255134,0.500032", \ "0.019088,0.029387,0.042563,0.071967,0.132807,0.255136,0.500031", \ "0.019599,0.029661,0.042685,0.071992,0.132822,0.255134,0.500022", \ "0.021367,0.031030,0.043419,0.072213,0.132892,0.255158,0.500026"); } } timing () { related_pin : "A1"; when : "A2 & !B1 & B2 & !C1 & C2"; sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.113536,0.123853,0.131708,0.144380,0.165500,0.202973,0.274165", \ "0.118187,0.128511,0.136364,0.149035,0.170151,0.207628,0.278821", \ "0.135033,0.145350,0.153200,0.165868,0.186986,0.224467,0.295658", \ "0.163893,0.174257,0.182050,0.194610,0.215737,0.253191,0.324383", \ "0.193568,0.203984,0.211849,0.224144,0.245285,0.282825,0.354083", \ "0.219569,0.230188,0.238210,0.250823,0.272103,0.309674,0.380875", \ "0.239575,0.250734,0.258978,0.271967,0.293481,0.331187,0.402406"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.295311,0.315354,0.332114,0.364026,0.427920,0.555887,0.811716", \ "0.296492,0.316536,0.333295,0.365202,0.429104,0.557084,0.812899", \ "0.306210,0.326244,0.343033,0.375002,0.438836,0.566807,0.822628", \ "0.334797,0.354835,0.371551,0.403401,0.467260,0.595240,0.851064", \ "0.385287,0.405293,0.422037,0.453832,0.517707,0.645696,0.901492", \ "0.459412,0.479332,0.496055,0.527887,0.591704,0.719709,0.975530", \ "0.547538,0.568292,0.585197,0.616959,0.680639,0.808539,1.064317"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.012504,0.016533,0.020322,0.027544,0.041843,0.071300,0.132798", \ "0.012503,0.016533,0.020323,0.027544,0.041844,0.071300,0.132804", \ "0.012507,0.016536,0.020326,0.027545,0.041844,0.071298,0.132798", \ "0.012608,0.016615,0.020388,0.027589,0.041869,0.071311,0.132800", \ "0.013010,0.016951,0.020677,0.027820,0.042034,0.071410,0.132826", \ "0.013724,0.017526,0.021163,0.028195,0.042277,0.071519,0.132866", \ "0.015040,0.018617,0.022111,0.028939,0.042782,0.071784,0.132937"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.021240,0.031124,0.043543,0.072306,0.132952,0.255218,0.500066", \ "0.021240,0.031122,0.043544,0.072310,0.132963,0.255216,0.500078", \ "0.021236,0.031119,0.043543,0.072304,0.132965,0.255217,0.500084", \ "0.021224,0.031113,0.043537,0.072308,0.132948,0.255220,0.500067", \ "0.021150,0.031057,0.043509,0.072294,0.132960,0.255219,0.500080", \ "0.021049,0.030977,0.043466,0.072284,0.132948,0.255211,0.500082", \ "0.022664,0.032253,0.044175,0.072499,0.132999,0.255232,0.500078"); } } timing () { related_pin : "A1"; when : "A2 & !B1 & B2 & C1 & !C2"; sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.118456,0.128870,0.136774,0.149493,0.170635,0.208123,0.279324", \ "0.123117,0.133532,0.141436,0.154154,0.175296,0.212783,0.283984", \ "0.139945,0.150360,0.158263,0.170978,0.192120,0.229611,0.300813", \ "0.169473,0.179921,0.187770,0.200362,0.221522,0.259016,0.330205", \ "0.201213,0.211774,0.219731,0.232117,0.253347,0.290912,0.362125", \ "0.229781,0.240646,0.248768,0.261486,0.282867,0.320499,0.391730", \ "0.252545,0.264010,0.272418,0.285542,0.307195,0.344982,0.416236"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.331243,0.351592,0.368421,0.400237,0.464122,0.592046,0.847882", \ "0.332970,0.353320,0.370153,0.402025,0.465795,0.593835,0.849605", \ "0.342787,0.363129,0.379963,0.411776,0.475603,0.603594,0.859413", \ "0.370516,0.390860,0.407639,0.439376,0.503177,0.631125,0.886930", \ "0.419764,0.440088,0.456905,0.488636,0.552435,0.680366,0.936179", \ "0.492953,0.513213,0.530034,0.561849,0.625713,0.753666,1.009467", \ "0.585466,0.606234,0.623157,0.654928,0.718625,0.846471,1.102261"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.012744,0.016729,0.020488,0.027673,0.041933,0.071347,0.132815", \ "0.012743,0.016729,0.020490,0.027673,0.041931,0.071346,0.132815", \ "0.012748,0.016733,0.020491,0.027676,0.041934,0.071346,0.132815", \ "0.012857,0.016823,0.020566,0.027729,0.041964,0.071360,0.132817", \ "0.013364,0.017240,0.020925,0.028016,0.042168,0.071477,0.132846", \ "0.014259,0.017969,0.021547,0.028499,0.042487,0.071631,0.132901", \ "0.015818,0.019280,0.022686,0.029404,0.043113,0.071967,0.132996"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.021833,0.031609,0.043827,0.072401,0.132982,0.255236,0.500076", \ "0.021821,0.031610,0.043826,0.072402,0.132997,0.255240,0.500078", \ "0.021824,0.031608,0.043826,0.072402,0.132989,0.255238,0.500094", \ "0.021823,0.031606,0.043825,0.072400,0.132979,0.255235,0.500083", \ "0.021795,0.031585,0.043814,0.072398,0.132981,0.255239,0.500077", \ "0.021641,0.031471,0.043752,0.072379,0.132991,0.255233,0.500083", \ "0.022728,0.032316,0.044220,0.072512,0.133017,0.255245,0.500095"); } } timing () { related_pin : "A1"; when : "A2 & B1 & !B2 & !C1 & !C2"; sdf_cond : "(A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.118474,0.128888,0.136793,0.149512,0.170652,0.208142,0.279346", \ "0.123135,0.133548,0.141453,0.154171,0.175310,0.212801,0.284001", \ "0.139937,0.150352,0.158255,0.170970,0.192112,0.229604,0.300806", \ "0.169386,0.179832,0.187705,0.200297,0.221456,0.258949,0.330139", \ "0.201057,0.211624,0.219585,0.231985,0.253192,0.290753,0.361967", \ "0.229766,0.240637,0.248760,0.261484,0.282861,0.320491,0.391724", \ "0.253022,0.264486,0.272896,0.286034,0.307675,0.345472,0.416715"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.288930,0.308041,0.324603,0.356490,0.420529,0.548611,0.804455", \ "0.291169,0.310306,0.326803,0.358782,0.422778,0.550842,0.806690", \ "0.302258,0.321358,0.337888,0.369804,0.433876,0.561938,0.817786", \ "0.331325,0.350425,0.366896,0.398671,0.462677,0.590751,0.846599", \ "0.382063,0.401156,0.417663,0.449502,0.513461,0.641533,0.897375", \ "0.455976,0.475073,0.491579,0.523419,0.587348,0.715429,0.971292", \ "0.542879,0.562813,0.579463,0.611195,0.674977,0.802967,1.058803"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.012743,0.016729,0.020488,0.027673,0.041932,0.071347,0.132820", \ "0.012744,0.016729,0.020489,0.027673,0.041932,0.071348,0.132813", \ "0.012747,0.016733,0.020491,0.027676,0.041934,0.071346,0.132815", \ "0.012857,0.016824,0.020566,0.027729,0.041964,0.071360,0.132817", \ "0.013365,0.017241,0.020927,0.028017,0.042169,0.071477,0.132844", \ "0.014259,0.017971,0.021548,0.028500,0.042487,0.071632,0.132901", \ "0.015812,0.019274,0.022676,0.029399,0.043109,0.071966,0.132994"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.019601,0.029744,0.042750,0.072020,0.132839,0.255147,0.500031", \ "0.019598,0.029744,0.042749,0.072021,0.132844,0.255142,0.500029", \ "0.019600,0.029744,0.042751,0.072021,0.132843,0.255142,0.500038", \ "0.019592,0.029743,0.042748,0.072020,0.132850,0.255144,0.500026", \ "0.019586,0.029733,0.042743,0.072018,0.132851,0.255152,0.500029", \ "0.019658,0.029785,0.042769,0.072026,0.132841,0.255142,0.500038", \ "0.021306,0.030986,0.043396,0.072211,0.132894,0.255167,0.500044"); } } timing () { related_pin : "A1"; when : "A2 & B1 & !B2 & !C1 & C2"; sdf_cond : "(A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.118456,0.128870,0.136774,0.149493,0.170635,0.208123,0.279324", \ "0.123117,0.133532,0.141436,0.154154,0.175296,0.212783,0.283984", \ "0.139945,0.150360,0.158263,0.170978,0.192120,0.229611,0.300813", \ "0.169473,0.179921,0.187770,0.200362,0.221522,0.259016,0.330205", \ "0.201213,0.211774,0.219731,0.232117,0.253347,0.290912,0.362125", \ "0.229781,0.240646,0.248768,0.261486,0.282867,0.320499,0.391730", \ "0.252545,0.264010,0.272418,0.285542,0.307195,0.344982,0.416236"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.331243,0.351592,0.368421,0.400237,0.464122,0.592046,0.847882", \ "0.332970,0.353320,0.370153,0.402025,0.465795,0.593835,0.849605", \ "0.342787,0.363129,0.379963,0.411776,0.475603,0.603594,0.859413", \ "0.370516,0.390860,0.407639,0.439376,0.503177,0.631125,0.886930", \ "0.419764,0.440088,0.456905,0.488636,0.552435,0.680366,0.936179", \ "0.492953,0.513213,0.530034,0.561849,0.625713,0.753666,1.009467", \ "0.585466,0.606234,0.623157,0.654928,0.718625,0.846471,1.102261"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.012744,0.016729,0.020488,0.027673,0.041933,0.071347,0.132815", \ "0.012743,0.016729,0.020490,0.027673,0.041931,0.071346,0.132815", \ "0.012748,0.016733,0.020491,0.027676,0.041934,0.071346,0.132815", \ "0.012857,0.016823,0.020566,0.027729,0.041964,0.071360,0.132817", \ "0.013364,0.017240,0.020925,0.028016,0.042168,0.071477,0.132846", \ "0.014259,0.017969,0.021547,0.028499,0.042487,0.071631,0.132901", \ "0.015818,0.019280,0.022686,0.029404,0.043113,0.071967,0.132996"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.021833,0.031609,0.043827,0.072401,0.132982,0.255236,0.500076", \ "0.021821,0.031610,0.043826,0.072402,0.132997,0.255240,0.500078", \ "0.021824,0.031608,0.043826,0.072402,0.132989,0.255238,0.500094", \ "0.021823,0.031606,0.043825,0.072400,0.132979,0.255235,0.500083", \ "0.021795,0.031585,0.043814,0.072398,0.132981,0.255239,0.500077", \ "0.021641,0.031471,0.043752,0.072379,0.132991,0.255233,0.500083", \ "0.022728,0.032316,0.044220,0.072512,0.133017,0.255245,0.500095"); } } timing () { related_pin : "A1"; when : "A2 & B1 & !B2 & C1 & !C2"; sdf_cond : "(A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.123337,0.133831,0.141785,0.154559,0.175752,0.213270,0.284485", \ "0.128000,0.138491,0.146446,0.159218,0.180409,0.217930,0.289142", \ "0.144829,0.155323,0.163276,0.176047,0.197240,0.234760,0.305969", \ "0.174906,0.185444,0.193342,0.205991,0.227200,0.264727,0.335924", \ "0.208546,0.219230,0.227252,0.239738,0.261007,0.298610,0.369832", \ "0.239380,0.250432,0.258649,0.271482,0.292944,0.330642,0.401889", \ "0.264622,0.276347,0.284896,0.298179,0.319942,0.357828,0.429105"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.367171,0.387835,0.404771,0.436549,0.500270,0.628246,0.884032", \ "0.369318,0.389983,0.406920,0.438709,0.502381,0.630374,0.886175", \ "0.379307,0.399970,0.416883,0.448692,0.512458,0.640417,0.896202", \ "0.406388,0.427051,0.443918,0.475576,0.539295,0.667273,0.923064", \ "0.454666,0.475324,0.492224,0.523879,0.587616,0.715529,0.971332", \ "0.526685,0.547304,0.564219,0.596021,0.659776,0.787689,1.043461", \ "0.621868,0.642716,0.659660,0.691421,0.755077,0.882937,1.138709"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013007,0.016952,0.020682,0.027827,0.042041,0.071409,0.132840", \ "0.013005,0.016953,0.020683,0.027827,0.042039,0.071408,0.132839", \ "0.013012,0.016957,0.020687,0.027831,0.042041,0.071407,0.132833", \ "0.013132,0.017052,0.020766,0.027889,0.042077,0.071424,0.132837", \ "0.013727,0.017545,0.021190,0.028228,0.042315,0.071553,0.132869", \ "0.014782,0.018409,0.021932,0.028809,0.042705,0.071755,0.132945", \ "0.016531,0.019897,0.023226,0.029847,0.043435,0.072159,0.133064"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.022425,0.032120,0.044134,0.072512,0.133026,0.255260,0.500094", \ "0.022429,0.032118,0.044134,0.072508,0.133010,0.255258,0.500100", \ "0.022412,0.032118,0.044132,0.072510,0.133022,0.255254,0.500093", \ "0.022423,0.032120,0.044132,0.072513,0.133026,0.255253,0.500104", \ "0.022399,0.032110,0.044128,0.072510,0.133016,0.255261,0.500099", \ "0.022314,0.032039,0.044085,0.072497,0.133026,0.255261,0.500094", \ "0.022840,0.032441,0.044296,0.072545,0.133028,0.255257,0.500092"); } } timing () { related_pin : "A2"; when : "A1 & !B1 & !B2 & !C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.116257,0.126576,0.134432,0.147103,0.168217,0.205694,0.276885", \ "0.121492,0.131810,0.139667,0.152336,0.173450,0.210925,0.282116", \ "0.136807,0.147125,0.154977,0.167645,0.188763,0.226243,0.297435", \ "0.162305,0.172647,0.180516,0.193174,0.214299,0.251781,0.322976", \ "0.191891,0.202287,0.210120,0.222608,0.243763,0.281289,0.352506", \ "0.221196,0.231691,0.239595,0.251766,0.272956,0.310532,0.381791", \ "0.247023,0.257785,0.265863,0.278329,0.299685,0.337330,0.408558"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.236023,0.254088,0.270486,0.302587,0.366771,0.494926,0.750782", \ "0.238836,0.256905,0.273283,0.305363,0.369550,0.497725,0.753593", \ "0.252849,0.270913,0.287320,0.319402,0.383593,0.511742,0.767644", \ "0.284761,0.302829,0.319188,0.351128,0.415303,0.543440,0.799341", \ "0.338152,0.356212,0.372566,0.404461,0.468628,0.596774,0.852629", \ "0.411332,0.429616,0.445972,0.477865,0.541951,0.670074,0.925960", \ "0.492131,0.511218,0.527661,0.559471,0.623371,0.751432,1.007294"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.012504,0.016534,0.020324,0.027544,0.041843,0.071297,0.132797", \ "0.012503,0.016534,0.020322,0.027543,0.041843,0.071300,0.132797", \ "0.012504,0.016534,0.020324,0.027545,0.041843,0.071300,0.132797", \ "0.012573,0.016589,0.020365,0.027573,0.041861,0.071305,0.132799", \ "0.012865,0.016833,0.020579,0.027744,0.041980,0.071374,0.132817", \ "0.013332,0.017223,0.020915,0.028012,0.042164,0.071466,0.132846", \ "0.014113,0.017872,0.021482,0.028469,0.042483,0.071640,0.132902"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.017477,0.028277,0.041984,0.071759,0.132722,0.255072,0.499991", \ "0.017479,0.028276,0.041984,0.071763,0.132720,0.255068,0.499994", \ "0.017477,0.028274,0.041983,0.071758,0.132725,0.255066,0.499993", \ "0.017475,0.028272,0.041981,0.071757,0.132733,0.255074,0.499994", \ "0.017451,0.028261,0.041978,0.071753,0.132727,0.255064,0.499992", \ "0.018097,0.028602,0.042124,0.071791,0.132734,0.255066,0.499994", \ "0.019816,0.029752,0.042700,0.071974,0.132787,0.255093,0.499998"); } } timing () { related_pin : "A2"; when : "A1 & !B1 & !B2 & !C1 & C2"; sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.116240,0.126560,0.134417,0.147087,0.168201,0.205677,0.276867", \ "0.121478,0.131796,0.139653,0.152322,0.173435,0.210911,0.282102", \ "0.136833,0.147150,0.154998,0.167667,0.188786,0.226266,0.297458", \ "0.162447,0.172786,0.180635,0.193309,0.214432,0.251914,0.323108", \ "0.192131,0.202533,0.210353,0.222815,0.243999,0.281532,0.352755", \ "0.221428,0.231920,0.239830,0.251982,0.273210,0.310758,0.382027", \ "0.246995,0.257757,0.265817,0.278286,0.299625,0.337261,0.408490"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.276539,0.295769,0.312306,0.344219,0.408243,0.536309,0.792157", \ "0.278814,0.298018,0.314563,0.346543,0.410524,0.538572,0.794421", \ "0.291818,0.311025,0.327576,0.359586,0.423561,0.551626,0.807454", \ "0.322468,0.341679,0.358189,0.390004,0.453984,0.582038,0.837896", \ "0.374358,0.393528,0.410074,0.441875,0.505862,0.633928,0.889757", \ "0.449493,0.468596,0.485117,0.516965,0.580924,0.709003,0.964868", \ "0.537548,0.557459,0.574105,0.605817,0.669615,0.797621,1.053468"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.012504,0.016534,0.020324,0.027543,0.041844,0.071297,0.132797", \ "0.012503,0.016534,0.020322,0.027543,0.041843,0.071300,0.132797", \ "0.012506,0.016534,0.020324,0.027545,0.041843,0.071300,0.132797", \ "0.012572,0.016588,0.020367,0.027572,0.041860,0.071305,0.132798", \ "0.012860,0.016832,0.020578,0.027744,0.041979,0.071375,0.132820", \ "0.013326,0.017221,0.020912,0.028010,0.042161,0.071464,0.132846", \ "0.014108,0.017869,0.021481,0.028466,0.042483,0.071640,0.132901"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.019793,0.029900,0.042838,0.072056,0.132848,0.255154,0.500033", \ "0.019793,0.029902,0.042839,0.072056,0.132866,0.255157,0.500037", \ "0.019796,0.029899,0.042836,0.072054,0.132867,0.255155,0.500043", \ "0.019778,0.029893,0.042834,0.072054,0.132865,0.255155,0.500042", \ "0.019694,0.029839,0.042806,0.072046,0.132866,0.255163,0.500048", \ "0.019648,0.029796,0.042782,0.072035,0.132854,0.255154,0.500040", \ "0.021261,0.030949,0.043381,0.072209,0.132906,0.255171,0.500056"); } } timing () { related_pin : "A2"; when : "A1 & !B1 & !B2 & C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.121161,0.131576,0.139481,0.152198,0.173341,0.210828,0.282026", \ "0.126396,0.136812,0.144717,0.157434,0.178576,0.216064,0.287263", \ "0.141754,0.152168,0.160072,0.172788,0.193933,0.231419,0.302621", \ "0.167641,0.178083,0.185991,0.198696,0.219848,0.257343,0.328541", \ "0.198317,0.208841,0.216732,0.229267,0.250495,0.288035,0.359230", \ "0.229134,0.239842,0.247839,0.260125,0.281382,0.318985,0.390201", \ "0.256793,0.267829,0.276010,0.288617,0.310049,0.347758,0.419007"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.305898,0.325345,0.341932,0.373793,0.437776,0.565816,0.821658", \ "0.308374,0.327815,0.344419,0.376301,0.440242,0.568294,0.824152", \ "0.321457,0.340902,0.357493,0.389354,0.453316,0.581368,0.837215", \ "0.351618,0.371056,0.387595,0.419321,0.483261,0.611301,0.867143", \ "0.402576,0.422005,0.438564,0.470339,0.534252,0.662281,0.918128", \ "0.477269,0.496629,0.513201,0.545009,0.608987,0.737064,0.992898", \ "0.569522,0.589449,0.606100,0.637819,0.701628,0.829612,1.085439"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.012744,0.016730,0.020488,0.027672,0.041933,0.071347,0.132811", \ "0.012742,0.016729,0.020488,0.027672,0.041932,0.071348,0.132815", \ "0.012746,0.016732,0.020490,0.027675,0.041932,0.071348,0.132819", \ "0.012816,0.016789,0.020537,0.027708,0.041953,0.071357,0.132817", \ "0.013167,0.017083,0.020793,0.027912,0.042096,0.071435,0.132835", \ "0.013728,0.017552,0.021202,0.028243,0.042323,0.071557,0.132876", \ "0.014638,0.018322,0.021875,0.028787,0.042711,0.071772,0.132946"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.020272,0.030258,0.043030,0.072119,0.132881,0.255180,0.500057", \ "0.020274,0.030256,0.043029,0.072120,0.132871,0.255179,0.500052", \ "0.020269,0.030257,0.043029,0.072120,0.132874,0.255178,0.500042", \ "0.020268,0.030252,0.043027,0.072116,0.132875,0.255174,0.500054", \ "0.020230,0.030229,0.043015,0.072116,0.132876,0.255185,0.500048", \ "0.020082,0.030127,0.042965,0.072103,0.132872,0.255185,0.500046", \ "0.021305,0.030989,0.043400,0.072219,0.132903,0.255181,0.500051"); } } timing () { related_pin : "A2"; when : "A1 & !B1 & B2 & !C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.116250,0.126571,0.134425,0.147095,0.168209,0.205686,0.276876", \ "0.121523,0.131843,0.139700,0.152368,0.173479,0.210958,0.282151", \ "0.136922,0.147239,0.155090,0.167760,0.188877,0.226356,0.297550", \ "0.162526,0.172855,0.180720,0.193368,0.214488,0.251970,0.323161", \ "0.192163,0.202548,0.210375,0.222851,0.244031,0.281565,0.352787", \ "0.221400,0.231884,0.239801,0.251970,0.273161,0.310744,0.381993", \ "0.246894,0.257655,0.265717,0.278186,0.299526,0.337163,0.408391"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.285739,0.304845,0.321396,0.353282,0.417351,0.545400,0.801253", \ "0.287791,0.306891,0.323425,0.355406,0.419374,0.547460,0.803309", \ "0.300267,0.319367,0.335884,0.367824,0.431830,0.559930,0.815781", \ "0.330313,0.349416,0.365908,0.397720,0.461712,0.589785,0.845627", \ "0.381576,0.400669,0.417170,0.449035,0.512978,0.641041,0.896880", \ "0.455629,0.474746,0.491265,0.523105,0.587035,0.715117,0.970971", \ "0.542842,0.562761,0.579418,0.611147,0.674941,0.802947,1.058757"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.012504,0.016534,0.020324,0.027544,0.041843,0.071298,0.132798", \ "0.012502,0.016534,0.020322,0.027543,0.041844,0.071298,0.132798", \ "0.012505,0.016534,0.020324,0.027545,0.041843,0.071299,0.132802", \ "0.012571,0.016588,0.020367,0.027573,0.041860,0.071307,0.132798", \ "0.012861,0.016832,0.020578,0.027744,0.041979,0.071375,0.132820", \ "0.013327,0.017220,0.020912,0.028011,0.042160,0.071464,0.132848", \ "0.014112,0.017872,0.021482,0.028468,0.042483,0.071640,0.132902"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.019603,0.029743,0.042751,0.072022,0.132849,0.255142,0.500037", \ "0.019605,0.029746,0.042751,0.072026,0.132852,0.255147,0.500031", \ "0.019604,0.029744,0.042750,0.072019,0.132845,0.255143,0.500039", \ "0.019597,0.029744,0.042747,0.072025,0.132841,0.255142,0.500029", \ "0.019591,0.029735,0.042743,0.072024,0.132839,0.255146,0.500026", \ "0.019675,0.029799,0.042774,0.072033,0.132855,0.255137,0.500022", \ "0.021280,0.030962,0.043384,0.072207,0.132889,0.255174,0.500030"); } } timing () { related_pin : "A2"; when : "A1 & !B1 & B2 & !C1 & C2"; sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.116232,0.126550,0.134407,0.147078,0.168192,0.205670,0.276861", \ "0.121507,0.131825,0.139683,0.152351,0.173463,0.210941,0.282134", \ "0.136938,0.147253,0.155101,0.167770,0.188889,0.226369,0.297561", \ "0.162621,0.172955,0.180798,0.193478,0.214599,0.252081,0.323276", \ "0.192336,0.202731,0.210567,0.223015,0.244203,0.281737,0.352947", \ "0.221589,0.232101,0.240004,0.252173,0.273365,0.310930,0.382184", \ "0.246892,0.257657,0.265716,0.278203,0.299537,0.337174,0.408438"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.327235,0.347585,0.364424,0.396277,0.460116,0.588081,0.843875", \ "0.328766,0.349109,0.365964,0.397798,0.461583,0.589559,0.845375", \ "0.340277,0.360626,0.377462,0.409339,0.473145,0.601101,0.856900", \ "0.369253,0.389607,0.406395,0.438108,0.501924,0.629859,0.885674", \ "0.419132,0.439466,0.456282,0.488023,0.551824,0.679760,0.935569", \ "0.492672,0.512935,0.529747,0.561622,0.625437,0.753392,1.009190", \ "0.585403,0.606169,0.623089,0.654863,0.718518,0.846396,1.102192"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.012504,0.016534,0.020322,0.027544,0.041844,0.071300,0.132797", \ "0.012503,0.016533,0.020322,0.027543,0.041843,0.071298,0.132798", \ "0.012505,0.016534,0.020324,0.027544,0.041843,0.071300,0.132796", \ "0.012571,0.016587,0.020366,0.027573,0.041860,0.071307,0.132801", \ "0.012858,0.016831,0.020578,0.027743,0.041979,0.071375,0.132818", \ "0.013325,0.017218,0.020910,0.028010,0.042160,0.071463,0.132849", \ "0.014108,0.017870,0.021479,0.028466,0.042481,0.071640,0.132901"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.021822,0.031610,0.043826,0.072400,0.132996,0.255237,0.500078", \ "0.021824,0.031609,0.043828,0.072405,0.132993,0.255228,0.500080", \ "0.021819,0.031610,0.043828,0.072402,0.132985,0.255228,0.500076", \ "0.021826,0.031605,0.043824,0.072404,0.132995,0.255228,0.500094", \ "0.021789,0.031584,0.043814,0.072396,0.132979,0.255241,0.500076", \ "0.021656,0.031483,0.043759,0.072386,0.132994,0.255239,0.500084", \ "0.022705,0.032289,0.044205,0.072509,0.133014,0.255243,0.500097"); } } timing () { related_pin : "A2"; when : "A1 & !B1 & B2 & C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.121149,0.131565,0.139470,0.152187,0.173329,0.210818,0.282015", \ "0.126424,0.136843,0.144748,0.157464,0.178606,0.216093,0.287293", \ "0.141863,0.152278,0.160182,0.172897,0.194041,0.231530,0.302730", \ "0.167810,0.178248,0.186156,0.198874,0.220027,0.257521,0.328718", \ "0.198521,0.209046,0.216943,0.229469,0.250696,0.288233,0.359431", \ "0.229293,0.240004,0.248000,0.260290,0.281545,0.319145,0.390360", \ "0.256700,0.267735,0.275914,0.288522,0.309960,0.347669,0.418920"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.363069,0.383732,0.400653,0.432459,0.496205,0.624176,0.879964", \ "0.364837,0.385500,0.402420,0.434218,0.497913,0.625896,0.881708", \ "0.376478,0.397142,0.414064,0.445855,0.509633,0.637608,0.893394", \ "0.404928,0.425590,0.442458,0.474079,0.537838,0.665777,0.921567", \ "0.453920,0.474576,0.491479,0.523128,0.586877,0.714795,0.970580", \ "0.526375,0.546999,0.563914,0.595723,0.659476,0.787387,1.043161", \ "0.621758,0.642593,0.659534,0.691274,0.754942,0.882814,1.138590"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.012742,0.016729,0.020489,0.027673,0.041932,0.071347,0.132811", \ "0.012743,0.016729,0.020489,0.027672,0.041932,0.071348,0.132815", \ "0.012743,0.016731,0.020490,0.027674,0.041932,0.071346,0.132814", \ "0.012816,0.016787,0.020538,0.027708,0.041953,0.071355,0.132817", \ "0.013165,0.017082,0.020792,0.027912,0.042095,0.071434,0.132834", \ "0.013726,0.017550,0.021200,0.028241,0.042321,0.071556,0.132875", \ "0.014638,0.018321,0.021874,0.028788,0.042709,0.071772,0.132946"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.022415,0.032119,0.044131,0.072512,0.133018,0.255256,0.500093", \ "0.022412,0.032119,0.044130,0.072514,0.133012,0.255253,0.500091", \ "0.022423,0.032119,0.044133,0.072510,0.133032,0.255255,0.500096", \ "0.022410,0.032116,0.044133,0.072514,0.133010,0.255251,0.500101", \ "0.022400,0.032109,0.044129,0.072507,0.133027,0.255257,0.500100", \ "0.022321,0.032042,0.044089,0.072496,0.133029,0.255260,0.500105", \ "0.022815,0.032421,0.044289,0.072544,0.133031,0.255257,0.500093"); } } timing () { related_pin : "A2"; when : "A1 & B1 & !B2 & !C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.121172,0.131585,0.139489,0.152208,0.173348,0.210840,0.282038", \ "0.126446,0.136861,0.144767,0.157484,0.178624,0.216114,0.287314", \ "0.141851,0.152264,0.160167,0.172883,0.194026,0.231516,0.302715", \ "0.167713,0.178151,0.186074,0.198760,0.219911,0.257406,0.328606", \ "0.198327,0.208852,0.216771,0.229312,0.250493,0.288033,0.359234", \ "0.229097,0.239799,0.247805,0.260059,0.281371,0.318977,0.390183", \ "0.256693,0.267727,0.275912,0.288523,0.309965,0.347672,0.418922"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.314852,0.334180,0.350769,0.382664,0.446611,0.574692,0.830526", \ "0.317104,0.336440,0.353033,0.384887,0.448873,0.576918,0.832764", \ "0.329652,0.348986,0.365550,0.397409,0.461406,0.589479,0.845314", \ "0.359265,0.378600,0.395104,0.426852,0.490799,0.618851,0.874691", \ "0.409751,0.429084,0.445627,0.477452,0.541348,0.669392,0.925219", \ "0.483636,0.502980,0.519534,0.551361,0.615295,0.743363,0.999204", \ "0.575015,0.594939,0.611585,0.643324,0.707101,0.835082,1.090907"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.012744,0.016729,0.020489,0.027673,0.041933,0.071347,0.132812", \ "0.012742,0.016729,0.020489,0.027673,0.041932,0.071348,0.132814", \ "0.012744,0.016730,0.020490,0.027675,0.041932,0.071348,0.132812", \ "0.012817,0.016788,0.020537,0.027708,0.041953,0.071356,0.132819", \ "0.013168,0.017084,0.020793,0.027913,0.042095,0.071435,0.132835", \ "0.013731,0.017554,0.021203,0.028243,0.042323,0.071554,0.132876", \ "0.014645,0.018326,0.021877,0.028790,0.042712,0.071773,0.132946"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.020073,0.030093,0.042935,0.072087,0.132853,0.255167,0.500035", \ "0.020074,0.030093,0.042934,0.072082,0.132863,0.255154,0.500036", \ "0.020073,0.030091,0.042932,0.072088,0.132863,0.255165,0.500030", \ "0.020074,0.030091,0.042934,0.072080,0.132866,0.255157,0.500035", \ "0.020066,0.030087,0.042932,0.072084,0.132852,0.255158,0.500032", \ "0.020074,0.030092,0.042933,0.072081,0.132873,0.255159,0.500035", \ "0.021323,0.030988,0.043400,0.072215,0.132906,0.255178,0.500034"); } } timing () { related_pin : "A2"; when : "A1 & B1 & !B2 & !C1 & C2"; sdf_cond : "(A1 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.121149,0.131565,0.139470,0.152187,0.173329,0.210818,0.282015", \ "0.126424,0.136843,0.144748,0.157464,0.178606,0.216093,0.287293", \ "0.141863,0.152278,0.160182,0.172897,0.194041,0.231530,0.302730", \ "0.167810,0.178248,0.186156,0.198874,0.220027,0.257521,0.328718", \ "0.198521,0.209046,0.216943,0.229469,0.250696,0.288233,0.359431", \ "0.229293,0.240004,0.248000,0.260290,0.281545,0.319145,0.390360", \ "0.256700,0.267735,0.275914,0.288522,0.309960,0.347669,0.418920"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.363069,0.383732,0.400653,0.432459,0.496205,0.624176,0.879964", \ "0.364837,0.385500,0.402420,0.434218,0.497913,0.625896,0.881708", \ "0.376478,0.397142,0.414064,0.445855,0.509633,0.637608,0.893394", \ "0.404928,0.425590,0.442458,0.474079,0.537838,0.665777,0.921567", \ "0.453920,0.474576,0.491479,0.523128,0.586877,0.714795,0.970580", \ "0.526375,0.546999,0.563914,0.595723,0.659476,0.787387,1.043161", \ "0.621758,0.642593,0.659534,0.691274,0.754942,0.882814,1.138590"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.012742,0.016729,0.020489,0.027673,0.041932,0.071347,0.132811", \ "0.012743,0.016729,0.020489,0.027672,0.041932,0.071348,0.132815", \ "0.012743,0.016731,0.020490,0.027674,0.041932,0.071346,0.132814", \ "0.012816,0.016787,0.020538,0.027708,0.041953,0.071355,0.132817", \ "0.013165,0.017082,0.020792,0.027912,0.042095,0.071434,0.132834", \ "0.013726,0.017550,0.021200,0.028241,0.042321,0.071556,0.132875", \ "0.014638,0.018321,0.021874,0.028788,0.042709,0.071772,0.132946"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.022415,0.032119,0.044131,0.072512,0.133018,0.255256,0.500093", \ "0.022412,0.032119,0.044130,0.072514,0.133012,0.255253,0.500091", \ "0.022423,0.032119,0.044133,0.072510,0.133032,0.255255,0.500096", \ "0.022410,0.032116,0.044133,0.072514,0.133010,0.255251,0.500101", \ "0.022400,0.032109,0.044129,0.072507,0.133027,0.255257,0.500100", \ "0.022321,0.032042,0.044089,0.072496,0.133029,0.255260,0.500105", \ "0.022815,0.032421,0.044289,0.072544,0.133031,0.255257,0.500093"); } } timing () { related_pin : "A2"; when : "A1 & B1 & !B2 & C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.126031,0.136528,0.144483,0.157255,0.178447,0.215966,0.287174", \ "0.131310,0.141807,0.149762,0.162535,0.183728,0.221245,0.292457", \ "0.146756,0.157251,0.165201,0.177974,0.199166,0.236686,0.307897", \ "0.172927,0.183461,0.191413,0.204192,0.225393,0.262919,0.334126", \ "0.204585,0.215211,0.223189,0.235801,0.257078,0.294651,0.365869", \ "0.236789,0.247631,0.255711,0.268095,0.289428,0.327080,0.398317", \ "0.266086,0.277302,0.285581,0.298310,0.319843,0.357619,0.428891"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.398869,0.419843,0.436859,0.468681,0.532254,0.660179,0.915988", \ "0.400832,0.421802,0.438813,0.470587,0.534230,0.662150,0.917959", \ "0.412574,0.433561,0.450580,0.482389,0.545944,0.673885,0.929705", \ "0.440636,0.461617,0.478578,0.510151,0.573788,0.701726,0.957525", \ "0.488914,0.509883,0.526882,0.558489,0.622159,0.750033,1.005802", \ "0.560354,0.581313,0.598329,0.630195,0.693849,0.821691,1.077435", \ "0.656750,0.677707,0.694705,0.726484,0.790120,0.917948,1.173726"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013007,0.016953,0.020683,0.027828,0.042039,0.071407,0.132833", \ "0.013008,0.016951,0.020682,0.027827,0.042041,0.071408,0.132839", \ "0.013009,0.016955,0.020685,0.027829,0.042042,0.071407,0.132837", \ "0.013087,0.017014,0.020735,0.027866,0.042064,0.071419,0.132842", \ "0.013485,0.017353,0.021026,0.028099,0.042228,0.071507,0.132861", \ "0.014129,0.017894,0.021502,0.028487,0.042498,0.071654,0.132911", \ "0.015161,0.018768,0.022267,0.029111,0.042946,0.071912,0.132998"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.023010,0.032648,0.044458,0.072624,0.133060,0.255269,0.500110", \ "0.023009,0.032649,0.044457,0.072622,0.133068,0.255268,0.500115", \ "0.023012,0.032649,0.044461,0.072620,0.133056,0.255266,0.500115", \ "0.023020,0.032651,0.044458,0.072621,0.133070,0.255265,0.500110", \ "0.023007,0.032646,0.044456,0.072620,0.133051,0.255277,0.500113", \ "0.022969,0.032610,0.044433,0.072610,0.133051,0.255276,0.500115", \ "0.023047,0.032647,0.044448,0.072619,0.133062,0.255262,0.500114"); } } timing () { related_pin : "B1"; when : "!A1 & !A2 & B2 & !C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.129334,0.139750,0.147662,0.160396,0.181573,0.219097,0.290314", \ "0.133932,0.144354,0.152264,0.165001,0.186174,0.223695,0.294913", \ "0.150519,0.160937,0.168846,0.181582,0.202753,0.240275,0.311489", \ "0.182120,0.192571,0.200417,0.212966,0.234144,0.271632,0.342838", \ "0.218968,0.229427,0.237338,0.249680,0.270868,0.308455,0.379693", \ "0.253517,0.264077,0.272065,0.284686,0.305945,0.343535,0.414750", \ "0.283342,0.294308,0.302484,0.315381,0.336825,0.374499,0.445731"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.284927,0.303146,0.319560,0.351632,0.415807,0.543983,0.799843", \ "0.288399,0.306618,0.323021,0.355101,0.419268,0.547466,0.803329", \ "0.303266,0.321483,0.337876,0.369956,0.434121,0.562288,0.818177", \ "0.334913,0.353134,0.369466,0.401251,0.465397,0.593569,0.849420", \ "0.383281,0.401499,0.417901,0.449802,0.513953,0.642113,0.897976", \ "0.448829,0.467183,0.483613,0.515463,0.579526,0.707652,0.963512", \ "0.523111,0.542151,0.558617,0.590446,0.654374,0.782444,1.038289"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.012787,0.016783,0.020547,0.027731,0.041985,0.071387,0.132843", \ "0.012785,0.016783,0.020547,0.027732,0.041985,0.071387,0.132841", \ "0.012784,0.016782,0.020545,0.027732,0.041985,0.071386,0.132836", \ "0.012800,0.016794,0.020556,0.027737,0.041987,0.071389,0.132844", \ "0.013089,0.017032,0.020759,0.027901,0.042102,0.071445,0.132849", \ "0.013618,0.017456,0.021117,0.028173,0.042278,0.071536,0.132889", \ "0.014568,0.018239,0.021792,0.028702,0.042634,0.071720,0.132936"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.017772,0.028483,0.042098,0.071808,0.132763,0.255101,0.500021", \ "0.017773,0.028483,0.042098,0.071809,0.132762,0.255100,0.500010", \ "0.017775,0.028484,0.042097,0.071813,0.132763,0.255105,0.500020", \ "0.017775,0.028484,0.042099,0.071810,0.132760,0.255104,0.500005", \ "0.017786,0.028489,0.042100,0.071813,0.132773,0.255102,0.500012", \ "0.018194,0.028721,0.042206,0.071833,0.132772,0.255108,0.500022", \ "0.019595,0.029679,0.042696,0.071993,0.132835,0.255129,0.500013"); } } timing () { related_pin : "B1"; when : "!A1 & !A2 & B2 & !C1 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.129313,0.139733,0.147642,0.160378,0.181552,0.219075,0.290289", \ "0.133971,0.144388,0.152303,0.165036,0.186218,0.223729,0.294947", \ "0.150675,0.161094,0.169002,0.181737,0.202908,0.240431,0.311644", \ "0.182369,0.192814,0.200664,0.213201,0.234368,0.271858,0.343077", \ "0.219234,0.229693,0.237602,0.249953,0.271132,0.308702,0.379926", \ "0.253617,0.264178,0.272162,0.284772,0.306053,0.343636,0.414853", \ "0.282981,0.293954,0.302131,0.315041,0.336475,0.374151,0.445376"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.346196,0.365542,0.382121,0.414065,0.478040,0.606156,0.861978", \ "0.348969,0.368307,0.384906,0.416868,0.480830,0.608964,0.864773", \ "0.361704,0.381043,0.397641,0.429589,0.493583,0.621647,0.877508", \ "0.391422,0.410769,0.427250,0.458835,0.522837,0.650919,0.906785", \ "0.437803,0.457139,0.473711,0.505433,0.569442,0.697502,0.953354", \ "0.502546,0.521933,0.538513,0.570348,0.634290,0.762347,1.018184", \ "0.580823,0.600857,0.617582,0.649344,0.713178,0.841181,1.096988"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.012787,0.016783,0.020547,0.027732,0.041986,0.071389,0.132841", \ "0.012785,0.016783,0.020547,0.027731,0.041984,0.071387,0.132842", \ "0.012785,0.016782,0.020545,0.027731,0.041985,0.071387,0.132837", \ "0.012799,0.016794,0.020555,0.027737,0.041986,0.071389,0.132844", \ "0.013086,0.017032,0.020758,0.027900,0.042101,0.071444,0.132849", \ "0.013615,0.017454,0.021117,0.028173,0.042277,0.071536,0.132888", \ "0.014576,0.018244,0.021794,0.028703,0.042634,0.071722,0.132935"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.019993,0.030090,0.042958,0.072108,0.132891,0.255188,0.500057", \ "0.019991,0.030093,0.042958,0.072108,0.132892,0.255203,0.500059", \ "0.019991,0.030093,0.042958,0.072108,0.132905,0.255190,0.500068", \ "0.019996,0.030092,0.042959,0.072110,0.132894,0.255188,0.500056", \ "0.019997,0.030093,0.042959,0.072109,0.132890,0.255190,0.500067", \ "0.020118,0.030176,0.042999,0.072122,0.132893,0.255203,0.500059", \ "0.021356,0.031135,0.043524,0.072288,0.132942,0.255224,0.500067"); } } timing () { related_pin : "B1"; when : "!A1 & !A2 & B2 & C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.134329,0.144843,0.152807,0.165595,0.186800,0.224332,0.295554", \ "0.138986,0.149501,0.157464,0.170250,0.191461,0.228992,0.300205", \ "0.155691,0.166203,0.174164,0.186951,0.208157,0.245691,0.316908", \ "0.187629,0.198138,0.206031,0.218636,0.239848,0.277380,0.348597", \ "0.226027,0.236624,0.244595,0.257023,0.278238,0.315810,0.387026", \ "0.262553,0.273359,0.281456,0.294159,0.315522,0.353158,0.424398", \ "0.294370,0.305617,0.313936,0.326964,0.348505,0.386261,0.457518"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.377192,0.396778,0.413410,0.445313,0.509221,0.637343,0.893166", \ "0.380310,0.399894,0.416527,0.448430,0.512334,0.640449,0.896275", \ "0.393250,0.412836,0.429479,0.461421,0.525283,0.653362,0.909201", \ "0.422750,0.442332,0.458882,0.490441,0.554383,0.682438,0.938284", \ "0.468966,0.488545,0.505145,0.536830,0.600652,0.728673,0.984513", \ "0.534010,0.553605,0.570244,0.602091,0.666025,0.794022,1.049831", \ "0.615822,0.635907,0.652639,0.684433,0.748227,0.876204,1.132010"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013033,0.016986,0.020721,0.027868,0.042080,0.071439,0.132860", \ "0.013031,0.016987,0.020721,0.027869,0.042079,0.071439,0.132855", \ "0.013029,0.016985,0.020719,0.027867,0.042078,0.071439,0.132857", \ "0.013049,0.017000,0.020731,0.027876,0.042081,0.071441,0.132860", \ "0.013400,0.017286,0.020980,0.028072,0.042215,0.071505,0.132874", \ "0.014072,0.017833,0.021443,0.028432,0.042455,0.071632,0.132917", \ "0.015241,0.018806,0.022282,0.029095,0.042911,0.071877,0.132983"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.020479,0.030456,0.043158,0.072178,0.132920,0.255203,0.500081", \ "0.020478,0.030457,0.043158,0.072179,0.132916,0.255206,0.500077", \ "0.020477,0.030458,0.043157,0.072182,0.132912,0.255214,0.500081", \ "0.020473,0.030455,0.043158,0.072179,0.132914,0.255213,0.500082", \ "0.020475,0.030458,0.043157,0.072181,0.132928,0.255211,0.500080", \ "0.020514,0.030484,0.043168,0.072181,0.132910,0.255220,0.500066", \ "0.021449,0.031212,0.043570,0.072302,0.132954,0.255227,0.500076"); } } timing () { related_pin : "B1"; when : "!A1 & A2 & B2 & !C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.124894,0.135329,0.143244,0.155989,0.177167,0.214690,0.285914", \ "0.129478,0.139906,0.147822,0.160563,0.181742,0.219271,0.290488", \ "0.146120,0.156541,0.164454,0.177191,0.198367,0.235901,0.307117", \ "0.177302,0.187752,0.195601,0.208166,0.229345,0.266826,0.338030", \ "0.212590,0.223052,0.230965,0.243311,0.264473,0.302062,0.373311", \ "0.245275,0.255851,0.263858,0.276468,0.297758,0.335344,0.406595", \ "0.272941,0.283969,0.292150,0.305063,0.326533,0.364225,0.435458"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.317868,0.336760,0.353271,0.385253,0.449302,0.577421,0.833274", \ "0.321134,0.340025,0.356529,0.388513,0.452574,0.580681,0.836528", \ "0.335468,0.354359,0.370878,0.402850,0.466901,0.595021,0.850870", \ "0.366163,0.385052,0.401500,0.433134,0.497184,0.625292,0.881137", \ "0.411539,0.430418,0.446921,0.478832,0.542744,0.670839,0.926685", \ "0.472397,0.491403,0.507921,0.539848,0.603866,0.731923,0.987771", \ "0.542978,0.562603,0.579233,0.611119,0.675054,0.803044,1.058844"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.012808,0.016805,0.020568,0.027750,0.042001,0.071396,0.132846", \ "0.012807,0.016803,0.020565,0.027748,0.042000,0.071395,0.132842", \ "0.012796,0.016795,0.020557,0.027742,0.041995,0.071394,0.132840", \ "0.012811,0.016805,0.020564,0.027744,0.041994,0.071391,0.132846", \ "0.013114,0.017055,0.020777,0.027914,0.042114,0.071453,0.132857", \ "0.013678,0.017502,0.021159,0.028204,0.042298,0.071547,0.132893", \ "0.014699,0.018346,0.021881,0.028773,0.042680,0.071745,0.132941"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.019132,0.029415,0.042576,0.071970,0.132822,0.255134,0.500019", \ "0.019135,0.029414,0.042576,0.071970,0.132820,0.255134,0.500031", \ "0.019131,0.029414,0.042576,0.071966,0.132814,0.255137,0.500019", \ "0.019131,0.029413,0.042577,0.071967,0.132821,0.255137,0.500031", \ "0.019141,0.029419,0.042578,0.071967,0.132821,0.255138,0.500021", \ "0.019409,0.029583,0.042657,0.071992,0.132822,0.255139,0.500028", \ "0.020626,0.030503,0.043149,0.072144,0.132876,0.255162,0.500026"); } } timing () { related_pin : "B1"; when : "!A1 & A2 & B2 & !C1 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.124877,0.135309,0.143225,0.155966,0.177145,0.214672,0.285892", \ "0.129515,0.139939,0.147856,0.160599,0.181776,0.219314,0.290530", \ "0.146281,0.156700,0.164610,0.177351,0.198526,0.236067,0.307282", \ "0.177533,0.187976,0.195845,0.208387,0.229564,0.267047,0.338253", \ "0.212848,0.223323,0.231216,0.243577,0.264787,0.302328,0.373563", \ "0.245383,0.255958,0.263958,0.276570,0.297852,0.335447,0.406679", \ "0.272590,0.283618,0.291805,0.304714,0.326186,0.363878,0.435111"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.378738,0.398770,0.415535,0.447393,0.511270,0.639320,0.895145", \ "0.381282,0.401324,0.418086,0.449960,0.513879,0.641882,0.897699", \ "0.393559,0.413611,0.430357,0.462236,0.526148,0.654137,0.909956", \ "0.422580,0.442621,0.459268,0.490853,0.554684,0.682686,0.938548", \ "0.466595,0.486638,0.503387,0.535020,0.598962,0.726928,0.982754", \ "0.526868,0.546948,0.563728,0.595665,0.659509,0.787462,1.043260", \ "0.600719,0.621359,0.638275,0.670215,0.733901,0.861803,1.117560"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.012807,0.016806,0.020567,0.027750,0.042000,0.071397,0.132847", \ "0.012806,0.016804,0.020565,0.027749,0.041999,0.071395,0.132842", \ "0.012794,0.016794,0.020558,0.027743,0.041995,0.071393,0.132841", \ "0.012812,0.016804,0.020564,0.027745,0.041993,0.071391,0.132847", \ "0.013110,0.017051,0.020777,0.027913,0.042112,0.071452,0.132856", \ "0.013676,0.017503,0.021158,0.028203,0.042298,0.071546,0.132894", \ "0.014706,0.018350,0.021887,0.028776,0.042682,0.071747,0.132940"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.021247,0.031123,0.043544,0.072313,0.132952,0.255214,0.500074", \ "0.021239,0.031121,0.043544,0.072308,0.132963,0.255216,0.500077", \ "0.021245,0.031125,0.043543,0.072312,0.132947,0.255218,0.500078", \ "0.021239,0.031125,0.043545,0.072311,0.132967,0.255219,0.500070", \ "0.021243,0.031128,0.043545,0.072312,0.132948,0.255221,0.500085", \ "0.021327,0.031187,0.043579,0.072317,0.132966,0.255227,0.500081", \ "0.022388,0.032068,0.044091,0.072481,0.132998,0.255242,0.500088"); } } timing () { related_pin : "B1"; when : "!A1 & A2 & B2 & C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.129938,0.140459,0.148428,0.161222,0.182434,0.219970,0.291193", \ "0.134568,0.145092,0.153061,0.165853,0.187064,0.224601,0.295820", \ "0.151292,0.161814,0.169779,0.182567,0.203779,0.241316,0.312536", \ "0.182911,0.193430,0.201320,0.213906,0.235119,0.272649,0.343860", \ "0.219909,0.230513,0.238489,0.250924,0.272153,0.309727,0.380956", \ "0.254698,0.265528,0.273631,0.286330,0.307709,0.345354,0.416591", \ "0.284444,0.295749,0.304092,0.317144,0.338702,0.376474,0.447740"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.414540,0.434889,0.451732,0.483551,0.547347,0.675375,0.931174", \ "0.417462,0.437817,0.454660,0.486531,0.550250,0.678288,0.934127", \ "0.429959,0.450315,0.467152,0.499013,0.562765,0.690774,0.946598", \ "0.458739,0.479096,0.495828,0.527351,0.591103,0.719184,0.974946", \ "0.502549,0.522898,0.539723,0.571323,0.635155,0.763145,1.018953", \ "0.562940,0.583299,0.600147,0.632092,0.695864,0.823799,1.079568", \ "0.639783,0.660556,0.677501,0.709395,0.773085,0.900971,1.156731"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013048,0.017004,0.020736,0.027882,0.042091,0.071446,0.132862", \ "0.013049,0.017003,0.020736,0.027881,0.042089,0.071446,0.132857", \ "0.013037,0.016993,0.020729,0.027876,0.042086,0.071446,0.132860", \ "0.013060,0.017006,0.020738,0.027882,0.042086,0.071445,0.132862", \ "0.013429,0.017313,0.021000,0.028090,0.042231,0.071512,0.132878", \ "0.014145,0.017894,0.021496,0.028471,0.042480,0.071647,0.132923", \ "0.015395,0.018932,0.022390,0.029181,0.042969,0.071904,0.132993"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.021821,0.031609,0.043829,0.072406,0.132992,0.255228,0.500078", \ "0.021831,0.031608,0.043826,0.072403,0.132996,0.255241,0.500078", \ "0.021831,0.031608,0.043827,0.072408,0.132997,0.255238,0.500075", \ "0.021830,0.031609,0.043826,0.072408,0.132977,0.255240,0.500079", \ "0.021826,0.031610,0.043828,0.072404,0.132984,0.255238,0.500092", \ "0.021848,0.031631,0.043837,0.072408,0.132978,0.255240,0.500078", \ "0.022641,0.032263,0.044193,0.072510,0.133010,0.255250,0.500098"); } } timing () { related_pin : "B1"; when : "A1 & !A2 & B2 & !C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.129957,0.140479,0.148448,0.161241,0.182456,0.219992,0.291209", \ "0.134532,0.145054,0.153023,0.165817,0.187028,0.224565,0.295785", \ "0.151129,0.161651,0.169616,0.182404,0.203616,0.241152,0.312372", \ "0.182677,0.193193,0.201079,0.213654,0.234869,0.272396,0.343616", \ "0.219653,0.230256,0.238232,0.250665,0.271905,0.309479,0.380707", \ "0.254589,0.265419,0.273529,0.286243,0.307604,0.345249,0.416485", \ "0.284770,0.296072,0.304424,0.317451,0.339040,0.376808,0.448069"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.347003,0.366116,0.382627,0.414588,0.478570,0.606660,0.862546", \ "0.350565,0.369677,0.386182,0.418129,0.482129,0.610228,0.866072", \ "0.365104,0.384217,0.400720,0.432697,0.496677,0.624761,0.880613", \ "0.395572,0.414671,0.431161,0.462801,0.526745,0.654848,0.910700", \ "0.440753,0.459861,0.476376,0.508142,0.572149,0.700229,0.956072", \ "0.502310,0.521462,0.537996,0.569922,0.633893,0.761961,1.017799", \ "0.576399,0.596091,0.612722,0.644581,0.708495,0.836493,1.092301"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013049,0.017005,0.020737,0.027883,0.042091,0.071446,0.132859", \ "0.013049,0.017002,0.020736,0.027881,0.042089,0.071446,0.132858", \ "0.013039,0.016993,0.020729,0.027876,0.042087,0.071445,0.132858", \ "0.013056,0.017008,0.020738,0.027883,0.042086,0.071445,0.132860", \ "0.013429,0.017316,0.021002,0.028091,0.042232,0.071512,0.132878", \ "0.014146,0.017894,0.021496,0.028471,0.042482,0.071645,0.132920", \ "0.015388,0.018928,0.022386,0.029178,0.042966,0.071903,0.132993"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.019606,0.029746,0.042750,0.072026,0.132853,0.255148,0.500027", \ "0.019602,0.029745,0.042751,0.072025,0.132852,0.255138,0.500021", \ "0.019602,0.029745,0.042749,0.072020,0.132849,0.255146,0.500029", \ "0.019596,0.029747,0.042751,0.072026,0.132853,0.255145,0.500028", \ "0.019606,0.029749,0.042751,0.072020,0.132844,0.255143,0.500028", \ "0.019717,0.029814,0.042783,0.072030,0.132839,0.255143,0.500030", \ "0.020776,0.030616,0.043209,0.072165,0.132891,0.255168,0.500029"); } } timing () { related_pin : "B1"; when : "A1 & !A2 & B2 & !C1 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.129938,0.140459,0.148428,0.161222,0.182434,0.219970,0.291193", \ "0.134568,0.145092,0.153061,0.165853,0.187064,0.224601,0.295820", \ "0.151292,0.161814,0.169779,0.182567,0.203779,0.241316,0.312536", \ "0.182911,0.193430,0.201320,0.213906,0.235119,0.272649,0.343860", \ "0.219909,0.230513,0.238489,0.250924,0.272153,0.309727,0.380956", \ "0.254698,0.265528,0.273631,0.286330,0.307709,0.345354,0.416591", \ "0.284444,0.295749,0.304092,0.317144,0.338702,0.376474,0.447740"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.414540,0.434889,0.451732,0.483551,0.547347,0.675375,0.931174", \ "0.417462,0.437817,0.454660,0.486531,0.550250,0.678288,0.934127", \ "0.429959,0.450315,0.467152,0.499013,0.562765,0.690774,0.946598", \ "0.458739,0.479096,0.495828,0.527351,0.591103,0.719184,0.974946", \ "0.502549,0.522898,0.539723,0.571323,0.635155,0.763145,1.018953", \ "0.562940,0.583299,0.600147,0.632092,0.695864,0.823799,1.079568", \ "0.639783,0.660556,0.677501,0.709395,0.773085,0.900971,1.156731"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013048,0.017004,0.020736,0.027882,0.042091,0.071446,0.132862", \ "0.013049,0.017003,0.020736,0.027881,0.042089,0.071446,0.132857", \ "0.013037,0.016993,0.020729,0.027876,0.042086,0.071446,0.132860", \ "0.013060,0.017006,0.020738,0.027882,0.042086,0.071445,0.132862", \ "0.013429,0.017313,0.021000,0.028090,0.042231,0.071512,0.132878", \ "0.014145,0.017894,0.021496,0.028471,0.042480,0.071647,0.132923", \ "0.015395,0.018932,0.022390,0.029181,0.042969,0.071904,0.132993"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.021821,0.031609,0.043829,0.072406,0.132992,0.255228,0.500078", \ "0.021831,0.031608,0.043826,0.072403,0.132996,0.255241,0.500078", \ "0.021831,0.031608,0.043827,0.072408,0.132997,0.255238,0.500075", \ "0.021830,0.031609,0.043826,0.072408,0.132977,0.255240,0.500079", \ "0.021826,0.031610,0.043828,0.072404,0.132984,0.255238,0.500092", \ "0.021848,0.031631,0.043837,0.072408,0.132978,0.255240,0.500078", \ "0.022641,0.032263,0.044193,0.072510,0.133010,0.255250,0.500098"); } } timing () { related_pin : "B1"; when : "A1 & !A2 & B2 & C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.134962,0.145563,0.153580,0.166427,0.187690,0.225262,0.296489", \ "0.139594,0.150193,0.158212,0.171059,0.192323,0.229891,0.301119", \ "0.156314,0.166913,0.174929,0.187772,0.209032,0.246601,0.317833", \ "0.188156,0.198754,0.206696,0.219328,0.240593,0.278153,0.349384", \ "0.226732,0.237442,0.245477,0.257988,0.279271,0.316886,0.388118", \ "0.263540,0.274538,0.282738,0.295545,0.317000,0.354695,0.425939", \ "0.295534,0.307070,0.315541,0.328704,0.350417,0.388256,0.459525"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.450380,0.471041,0.487965,0.519768,0.583421,0.711397,0.967240", \ "0.453596,0.474253,0.491177,0.522980,0.586635,0.714614,0.970453", \ "0.466326,0.486988,0.503903,0.535708,0.599381,0.727351,0.983205", \ "0.494942,0.515605,0.532419,0.563902,0.627567,0.755553,1.011369", \ "0.538544,0.559205,0.576105,0.607651,0.671442,0.799399,1.055187", \ "0.598864,0.619533,0.636459,0.668323,0.732052,0.859941,1.115739", \ "0.677682,0.698533,0.715509,0.747386,0.811035,0.938908,1.194688"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013317,0.017228,0.020932,0.028039,0.042201,0.071509,0.132882", \ "0.013317,0.017226,0.020930,0.028037,0.042199,0.071511,0.132881", \ "0.013306,0.017217,0.020923,0.028033,0.042195,0.071508,0.132884", \ "0.013328,0.017236,0.020938,0.028042,0.042198,0.071508,0.132884", \ "0.013764,0.017593,0.021243,0.028283,0.042360,0.071583,0.132900", \ "0.014616,0.018290,0.021841,0.028749,0.042677,0.071759,0.132961", \ "0.016050,0.019494,0.022883,0.029582,0.043258,0.072075,0.133051"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.022414,0.032119,0.044134,0.072509,0.133016,0.255259,0.500099", \ "0.022416,0.032120,0.044134,0.072508,0.133013,0.255261,0.500099", \ "0.022411,0.032119,0.044132,0.072514,0.133019,0.255259,0.500103", \ "0.022412,0.032119,0.044132,0.072513,0.133020,0.255260,0.500091", \ "0.022419,0.032121,0.044133,0.072510,0.133018,0.255257,0.500093", \ "0.022433,0.032126,0.044137,0.072508,0.133020,0.255258,0.500086", \ "0.022852,0.032462,0.044326,0.072561,0.133031,0.255248,0.500094"); } } timing () { related_pin : "B2"; when : "!A1 & !A2 & B1 & !C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.131995,0.142411,0.150319,0.163055,0.184234,0.221752,0.292971", \ "0.137186,0.147605,0.155519,0.168251,0.189433,0.226941,0.298162", \ "0.152556,0.162974,0.170884,0.183620,0.204793,0.242312,0.313533", \ "0.179901,0.190330,0.198247,0.210955,0.232130,0.269636,0.340844", \ "0.214453,0.224899,0.232765,0.245207,0.266423,0.303972,0.375207", \ "0.250792,0.261301,0.269234,0.281446,0.302646,0.340244,0.411492", \ "0.285295,0.295955,0.303992,0.316476,0.337804,0.375435,0.446721"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.306293,0.324658,0.341084,0.373097,0.437224,0.565383,0.821265", \ "0.309928,0.328255,0.344674,0.376665,0.440873,0.569004,0.824866", \ "0.326270,0.344630,0.361038,0.393075,0.457204,0.585351,0.841261", \ "0.358691,0.377055,0.393397,0.425138,0.489260,0.617411,0.873313", \ "0.407290,0.425666,0.442076,0.473877,0.537952,0.666082,0.921944", \ "0.474011,0.492454,0.508862,0.540746,0.604847,0.732955,0.988824", \ "0.552244,0.571275,0.587751,0.619571,0.683524,0.811593,1.067429"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.012785,0.016784,0.020547,0.027732,0.041984,0.071388,0.132840", \ "0.012785,0.016782,0.020546,0.027732,0.041986,0.071387,0.132844", \ "0.012784,0.016783,0.020546,0.027731,0.041986,0.071388,0.132844", \ "0.012806,0.016797,0.020558,0.027740,0.041989,0.071388,0.132840", \ "0.013009,0.016972,0.020706,0.027855,0.042068,0.071430,0.132849", \ "0.013365,0.017266,0.020962,0.028062,0.042209,0.071506,0.132872", \ "0.013932,0.017735,0.021373,0.028393,0.042442,0.071633,0.132913"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.018139,0.028711,0.042211,0.071848,0.132769,0.255115,0.500023", \ "0.018143,0.028709,0.042209,0.071843,0.132770,0.255117,0.500021", \ "0.018146,0.028708,0.042210,0.071842,0.132770,0.255106,0.500023", \ "0.018140,0.028710,0.042211,0.071843,0.132766,0.255106,0.500026", \ "0.018148,0.028711,0.042211,0.071843,0.132777,0.255115,0.500015", \ "0.018350,0.028825,0.042262,0.071861,0.132787,0.255111,0.500017", \ "0.019606,0.029676,0.042693,0.071990,0.132838,0.255139,0.500017"); } } timing () { related_pin : "B2"; when : "!A1 & !A2 & B1 & !C1 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.131975,0.142393,0.150303,0.163039,0.184211,0.221733,0.292949", \ "0.137221,0.147644,0.155554,0.168290,0.189464,0.226981,0.298203", \ "0.152733,0.163153,0.171064,0.183799,0.204972,0.242492,0.313712", \ "0.180154,0.190596,0.198506,0.211211,0.232389,0.269891,0.341103", \ "0.214761,0.225213,0.233073,0.245529,0.266707,0.304264,0.375499", \ "0.251077,0.261590,0.269510,0.281705,0.302917,0.340528,0.411765", \ "0.285380,0.296051,0.304089,0.316559,0.337862,0.375507,0.446740"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.373443,0.393016,0.409642,0.441542,0.505446,0.633541,0.889389", \ "0.376399,0.395976,0.412600,0.444496,0.508446,0.636496,0.892347", \ "0.391037,0.410618,0.427240,0.459155,0.523074,0.651130,0.906977", \ "0.421765,0.441349,0.457934,0.489460,0.553403,0.681453,0.937305", \ "0.468455,0.488034,0.504671,0.536293,0.600229,0.728286,0.984108", \ "0.533665,0.553258,0.569901,0.601757,0.665681,0.793678,1.049489", \ "0.615327,0.635404,0.652131,0.683923,0.747728,0.875698,1.131507"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.012785,0.016784,0.020546,0.027731,0.041984,0.071389,0.132842", \ "0.012784,0.016782,0.020546,0.027732,0.041986,0.071388,0.132845", \ "0.012784,0.016783,0.020546,0.027731,0.041986,0.071387,0.132843", \ "0.012804,0.016798,0.020557,0.027740,0.041989,0.071388,0.132838", \ "0.013010,0.016969,0.020704,0.027855,0.042067,0.071430,0.132852", \ "0.013364,0.017263,0.020961,0.028060,0.042209,0.071505,0.132873", \ "0.013927,0.017735,0.021371,0.028391,0.042439,0.071631,0.132912"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.020469,0.030454,0.043156,0.072174,0.132922,0.255214,0.500074", \ "0.020473,0.030456,0.043157,0.072175,0.132921,0.255212,0.500076", \ "0.020472,0.030456,0.043157,0.072180,0.132926,0.255210,0.500080", \ "0.020477,0.030455,0.043158,0.072177,0.132921,0.255210,0.500076", \ "0.020473,0.030457,0.043158,0.072180,0.132913,0.255213,0.500073", \ "0.020509,0.030482,0.043169,0.072184,0.132915,0.255216,0.500066", \ "0.021441,0.031197,0.043560,0.072298,0.132944,0.255217,0.500076"); } } timing () { related_pin : "B2"; when : "!A1 & !A2 & B1 & C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.136989,0.147502,0.155465,0.168254,0.189459,0.226992,0.298212", \ "0.142241,0.152754,0.160718,0.173505,0.194715,0.232246,0.303463", \ "0.157742,0.168255,0.176219,0.189004,0.210212,0.247746,0.318965", \ "0.185292,0.195807,0.203759,0.216537,0.237748,0.275282,0.346499", \ "0.220561,0.231143,0.239075,0.251595,0.272752,0.310314,0.381540", \ "0.257988,0.268704,0.276718,0.289010,0.310303,0.347919,0.419134", \ "0.293907,0.304862,0.313009,0.325587,0.347001,0.384698,0.455952"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.404404,0.424231,0.440917,0.472728,0.536570,0.664628,0.920521", \ "0.407478,0.427306,0.443990,0.475821,0.539642,0.667777,0.923595", \ "0.422235,0.442062,0.458747,0.490585,0.554414,0.682499,0.938347", \ "0.452938,0.472767,0.489353,0.520850,0.584672,0.712787,0.968622", \ "0.499544,0.519367,0.536019,0.567553,0.631453,0.759469,1.015306", \ "0.564805,0.584640,0.601330,0.633170,0.697032,0.825019,1.080845", \ "0.649062,0.669218,0.685956,0.717724,0.781514,0.909489,1.165299"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013031,0.016987,0.020720,0.027867,0.042080,0.071440,0.132857", \ "0.013033,0.016985,0.020721,0.027869,0.042078,0.071440,0.132856", \ "0.013030,0.016985,0.020719,0.027868,0.042079,0.071440,0.132860", \ "0.013048,0.017001,0.020733,0.027876,0.042083,0.071440,0.132854", \ "0.013294,0.017203,0.020905,0.028013,0.042176,0.071489,0.132873", \ "0.013725,0.017564,0.021222,0.028269,0.042356,0.071586,0.132901", \ "0.014391,0.018129,0.021717,0.028670,0.042640,0.071746,0.132952"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.020961,0.030838,0.043369,0.072251,0.132941,0.255222,0.500090", \ "0.020960,0.030837,0.043369,0.072253,0.132939,0.255221,0.500088", \ "0.020960,0.030836,0.043370,0.072251,0.132943,0.255221,0.500089", \ "0.020965,0.030837,0.043369,0.072254,0.132937,0.255219,0.500080", \ "0.020958,0.030837,0.043369,0.072253,0.132936,0.255217,0.500088", \ "0.020974,0.030848,0.043372,0.072247,0.132953,0.255217,0.500073", \ "0.021630,0.031317,0.043622,0.072323,0.132960,0.255234,0.500074"); } } timing () { related_pin : "B2"; when : "!A1 & A2 & B1 & !C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.127561,0.137995,0.145911,0.158655,0.179832,0.217355,0.288574", \ "0.132722,0.143147,0.151066,0.163807,0.184985,0.222522,0.293742", \ "0.148017,0.158444,0.166358,0.179094,0.200277,0.237807,0.309025", \ "0.175042,0.185485,0.193400,0.206140,0.227317,0.264841,0.336044", \ "0.208602,0.219055,0.226919,0.239332,0.260516,0.298064,0.369310", \ "0.243432,0.253946,0.261874,0.274084,0.295301,0.332924,0.404179", \ "0.275895,0.286602,0.294649,0.307126,0.328448,0.366090,0.437379"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.343577,0.362682,0.379211,0.411202,0.475171,0.603257,0.859110", \ "0.347004,0.366116,0.382626,0.414590,0.478568,0.606664,0.862552", \ "0.363113,0.382224,0.398748,0.430726,0.494709,0.622790,0.878642", \ "0.394710,0.413808,0.430290,0.461906,0.525926,0.653991,0.909849", \ "0.440332,0.459445,0.475953,0.507758,0.571744,0.699816,0.955657", \ "0.501975,0.521130,0.537664,0.569602,0.633567,0.761635,1.017470", \ "0.575916,0.595601,0.612227,0.644087,0.708006,0.836010,1.091816"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.012807,0.016804,0.020567,0.027749,0.041999,0.071396,0.132844", \ "0.012805,0.016802,0.020565,0.027749,0.041999,0.071396,0.132849", \ "0.012802,0.016798,0.020561,0.027745,0.041998,0.071395,0.132847", \ "0.012818,0.016810,0.020570,0.027750,0.041997,0.071394,0.132841", \ "0.013032,0.016990,0.020723,0.027870,0.042079,0.071437,0.132852", \ "0.013398,0.017294,0.020990,0.028082,0.042225,0.071515,0.132880", \ "0.013999,0.017794,0.021424,0.028434,0.042471,0.071649,0.132919"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.019599,0.029745,0.042749,0.072024,0.132846,0.255145,0.500031", \ "0.019606,0.029747,0.042751,0.072025,0.132844,0.255149,0.500030", \ "0.019597,0.029744,0.042752,0.072020,0.132849,0.255145,0.500029", \ "0.019603,0.029748,0.042750,0.072027,0.132850,0.255144,0.500029", \ "0.019609,0.029747,0.042751,0.072021,0.132846,0.255143,0.500029", \ "0.019719,0.029818,0.042786,0.072030,0.132850,0.255145,0.500030", \ "0.020762,0.030601,0.043201,0.072161,0.132887,0.255171,0.500029"); } } timing () { related_pin : "B2"; when : "!A1 & A2 & B1 & !C1 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.127541,0.137976,0.145891,0.158635,0.179812,0.217335,0.288553", \ "0.132763,0.143182,0.151101,0.163843,0.185026,0.222554,0.293771", \ "0.148192,0.158619,0.166532,0.179268,0.200459,0.237979,0.309198", \ "0.175304,0.185750,0.193659,0.206381,0.227561,0.265074,0.336285", \ "0.208904,0.219358,0.227222,0.239654,0.260796,0.298343,0.369590", \ "0.243693,0.254222,0.262163,0.274372,0.295564,0.333160,0.404424", \ "0.275995,0.286683,0.294718,0.307188,0.328535,0.366193,0.437419"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.410305,0.430655,0.447493,0.479355,0.543087,0.671128,0.926951", \ "0.413070,0.433419,0.450245,0.482073,0.545889,0.673885,0.929697", \ "0.427492,0.447847,0.464683,0.496596,0.560240,0.688367,0.944132", \ "0.457685,0.478035,0.494766,0.526262,0.590046,0.718065,0.973920", \ "0.502040,0.522382,0.539215,0.570699,0.634558,0.762527,1.018321", \ "0.562593,0.582951,0.599805,0.631770,0.695527,0.823465,1.079232", \ "0.639267,0.660044,0.676990,0.708884,0.772585,0.900468,1.156232"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.012807,0.016804,0.020567,0.027749,0.041999,0.071396,0.132844", \ "0.012805,0.016803,0.020565,0.027748,0.041999,0.071398,0.132847", \ "0.012800,0.016798,0.020561,0.027746,0.041996,0.071395,0.132847", \ "0.012817,0.016812,0.020570,0.027750,0.041998,0.071394,0.132841", \ "0.013032,0.016987,0.020723,0.027870,0.042079,0.071437,0.132851", \ "0.013399,0.017293,0.020988,0.028081,0.042225,0.071514,0.132880", \ "0.013997,0.017793,0.021423,0.028433,0.042469,0.071648,0.132920"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.021822,0.031611,0.043826,0.072401,0.132984,0.255238,0.500077", \ "0.021833,0.031610,0.043829,0.072406,0.132988,0.255240,0.500082", \ "0.021827,0.031609,0.043826,0.072407,0.132985,0.255240,0.500079", \ "0.021822,0.031611,0.043829,0.072406,0.132990,0.255240,0.500078", \ "0.021825,0.031609,0.043827,0.072406,0.132996,0.255239,0.500077", \ "0.021851,0.031632,0.043838,0.072404,0.132991,0.255245,0.500087", \ "0.022627,0.032256,0.044194,0.072514,0.133011,0.255240,0.500093"); } } timing () { related_pin : "B2"; when : "!A1 & A2 & B1 & C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.132605,0.143126,0.151096,0.163887,0.185102,0.222637,0.293858", \ "0.137814,0.148334,0.156305,0.169097,0.190311,0.227848,0.299066", \ "0.153268,0.163788,0.171752,0.184542,0.205755,0.243294,0.314514", \ "0.180505,0.191031,0.198993,0.211774,0.232984,0.270522,0.341741", \ "0.214838,0.225430,0.233360,0.245856,0.267093,0.304655,0.375878", \ "0.250855,0.261582,0.269599,0.281902,0.303187,0.340807,0.412024", \ "0.284867,0.295852,0.304016,0.316587,0.338028,0.375734,0.446992"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.446042,0.466698,0.483621,0.515444,0.579115,0.707103,0.962922", \ "0.448917,0.469577,0.486504,0.518331,0.581964,0.709946,0.965788", \ "0.463491,0.484155,0.501077,0.532891,0.596563,0.724527,0.980381", \ "0.493621,0.514285,0.531098,0.562606,0.626206,0.754205,1.010041", \ "0.537870,0.558529,0.575434,0.606989,0.670629,0.798613,1.054437", \ "0.598426,0.619092,0.636022,0.667909,0.731632,0.859512,1.115307", \ "0.677185,0.698043,0.715028,0.746882,0.810549,0.938429,1.194213"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013050,0.017003,0.020737,0.027883,0.042090,0.071447,0.132864", \ "0.013047,0.017003,0.020736,0.027881,0.042090,0.071449,0.132862", \ "0.013043,0.016999,0.020732,0.027880,0.042088,0.071447,0.132865", \ "0.013063,0.017012,0.020743,0.027885,0.042091,0.071445,0.132859", \ "0.013320,0.017223,0.020925,0.028029,0.042188,0.071495,0.132877", \ "0.013767,0.017599,0.021252,0.028295,0.042373,0.071595,0.132904", \ "0.014475,0.018200,0.021777,0.028720,0.042672,0.071765,0.132957"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.022414,0.032119,0.044130,0.072507,0.133014,0.255252,0.500092", \ "0.022415,0.032119,0.044132,0.072506,0.133014,0.255259,0.500091", \ "0.022415,0.032120,0.044132,0.072510,0.133025,0.255256,0.500086", \ "0.022416,0.032119,0.044131,0.072512,0.133024,0.255262,0.500104", \ "0.022412,0.032117,0.044131,0.072512,0.133011,0.255259,0.500085", \ "0.022432,0.032127,0.044136,0.072507,0.133028,0.255259,0.500087", \ "0.022857,0.032475,0.044334,0.072571,0.133029,0.255257,0.500092"); } } timing () { related_pin : "B2"; when : "A1 & !A2 & B1 & !C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.132622,0.143146,0.151114,0.163906,0.185121,0.222658,0.293877", \ "0.137780,0.148298,0.156267,0.169061,0.190272,0.227808,0.299033", \ "0.153101,0.163622,0.171587,0.184376,0.205589,0.243128,0.314349", \ "0.180232,0.190765,0.198743,0.211522,0.232733,0.270271,0.341487", \ "0.214538,0.225129,0.233065,0.245560,0.266809,0.304373,0.375594", \ "0.250581,0.261316,0.269335,0.281624,0.302911,0.340533,0.411757", \ "0.284786,0.295770,0.303928,0.316520,0.337946,0.375651,0.446913"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.372669,0.392002,0.408567,0.440439,0.504407,0.632481,0.888329", \ "0.376177,0.395505,0.412070,0.443940,0.507901,0.635992,0.891832", \ "0.392436,0.411760,0.428346,0.460198,0.524144,0.652277,0.908097", \ "0.423948,0.443276,0.459744,0.491290,0.555300,0.683360,0.939189", \ "0.469481,0.488816,0.505367,0.537082,0.600945,0.728988,0.984832", \ "0.531448,0.550797,0.567390,0.599313,0.663256,0.791282,1.047106", \ "0.608244,0.628016,0.644671,0.676524,0.740409,0.868415,1.124215"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013051,0.017003,0.020737,0.027882,0.042090,0.071449,0.132863", \ "0.013047,0.017002,0.020736,0.027882,0.042091,0.071447,0.132865", \ "0.013043,0.016998,0.020733,0.027878,0.042088,0.071448,0.132866", \ "0.013061,0.017013,0.020744,0.027886,0.042091,0.071448,0.132857", \ "0.013319,0.017225,0.020924,0.028030,0.042187,0.071497,0.132875", \ "0.013766,0.017603,0.021255,0.028296,0.042375,0.071597,0.132903", \ "0.014477,0.018202,0.021778,0.028721,0.042674,0.071766,0.132957"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.020074,0.030091,0.042935,0.072082,0.132860,0.255156,0.500032", \ "0.020072,0.030091,0.042935,0.072084,0.132863,0.255162,0.500031", \ "0.020081,0.030091,0.042935,0.072083,0.132859,0.255164,0.500040", \ "0.020069,0.030090,0.042935,0.072080,0.132859,0.255164,0.500036", \ "0.020074,0.030092,0.042936,0.072080,0.132864,0.255151,0.500029", \ "0.020114,0.030119,0.042945,0.072090,0.132870,0.255156,0.500032", \ "0.020977,0.030749,0.043284,0.072191,0.132888,0.255176,0.500038"); } } timing () { related_pin : "B2"; when : "A1 & !A2 & B1 & !C1 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.132605,0.143126,0.151096,0.163887,0.185102,0.222637,0.293858", \ "0.137814,0.148334,0.156305,0.169097,0.190311,0.227848,0.299066", \ "0.153268,0.163788,0.171752,0.184542,0.205755,0.243294,0.314514", \ "0.180505,0.191031,0.198993,0.211774,0.232984,0.270522,0.341741", \ "0.214838,0.225430,0.233360,0.245856,0.267093,0.304655,0.375878", \ "0.250855,0.261582,0.269599,0.281902,0.303187,0.340807,0.412024", \ "0.284867,0.295852,0.304016,0.316587,0.338028,0.375734,0.446992"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.446042,0.466698,0.483621,0.515444,0.579115,0.707103,0.962922", \ "0.448917,0.469577,0.486504,0.518331,0.581964,0.709946,0.965788", \ "0.463491,0.484155,0.501077,0.532891,0.596563,0.724527,0.980381", \ "0.493621,0.514285,0.531098,0.562606,0.626206,0.754205,1.010041", \ "0.537870,0.558529,0.575434,0.606989,0.670629,0.798613,1.054437", \ "0.598426,0.619092,0.636022,0.667909,0.731632,0.859512,1.115307", \ "0.677185,0.698043,0.715028,0.746882,0.810549,0.938429,1.194213"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013050,0.017003,0.020737,0.027883,0.042090,0.071447,0.132864", \ "0.013047,0.017003,0.020736,0.027881,0.042090,0.071449,0.132862", \ "0.013043,0.016999,0.020732,0.027880,0.042088,0.071447,0.132865", \ "0.013063,0.017012,0.020743,0.027885,0.042091,0.071445,0.132859", \ "0.013320,0.017223,0.020925,0.028029,0.042188,0.071495,0.132877", \ "0.013767,0.017599,0.021252,0.028295,0.042373,0.071595,0.132904", \ "0.014475,0.018200,0.021777,0.028720,0.042672,0.071765,0.132957"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.022414,0.032119,0.044130,0.072507,0.133014,0.255252,0.500092", \ "0.022415,0.032119,0.044132,0.072506,0.133014,0.255259,0.500091", \ "0.022415,0.032120,0.044132,0.072510,0.133025,0.255256,0.500086", \ "0.022416,0.032119,0.044131,0.072512,0.133024,0.255262,0.500104", \ "0.022412,0.032117,0.044131,0.072512,0.133011,0.255259,0.500085", \ "0.022432,0.032127,0.044136,0.072507,0.133028,0.255259,0.500087", \ "0.022857,0.032475,0.044334,0.072571,0.133029,0.255257,0.500092"); } } timing () { related_pin : "B2"; when : "A1 & !A2 & B1 & C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.137626,0.148229,0.156246,0.169093,0.190355,0.227925,0.299158", \ "0.142835,0.153437,0.161456,0.174304,0.195566,0.233135,0.304364", \ "0.158293,0.168891,0.176906,0.189749,0.211011,0.248586,0.319815", \ "0.185637,0.196246,0.204255,0.217075,0.238336,0.275910,0.347141", \ "0.220706,0.231387,0.239376,0.251954,0.273193,0.310789,0.382023", \ "0.257872,0.268737,0.276825,0.289214,0.310557,0.348229,0.419471", \ "0.293503,0.304650,0.312896,0.325586,0.347109,0.384879,0.456160"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.481807,0.502795,0.519828,0.551610,0.615161,0.743098,0.998909", \ "0.484771,0.505752,0.522771,0.554551,0.618189,0.746110,1.001958", \ "0.499466,0.520451,0.537472,0.569267,0.632818,0.760755,1.016592", \ "0.529571,0.550558,0.567469,0.598914,0.662526,0.790442,1.046295", \ "0.573727,0.594719,0.611718,0.643265,0.706830,0.834769,1.090572", \ "0.634234,0.655213,0.672233,0.704029,0.767678,0.895571,1.151355", \ "0.713991,0.735075,0.752121,0.783987,0.847632,0.975476,1.231238"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013317,0.017227,0.020930,0.028039,0.042201,0.071511,0.132887", \ "0.013315,0.017228,0.020929,0.028037,0.042199,0.071511,0.132883", \ "0.013312,0.017222,0.020927,0.028035,0.042198,0.071510,0.132886", \ "0.013328,0.017238,0.020940,0.028044,0.042202,0.071510,0.132883", \ "0.013620,0.017479,0.021146,0.028207,0.042314,0.071565,0.132895", \ "0.014143,0.017919,0.021532,0.028523,0.042537,0.071689,0.132939", \ "0.014949,0.018608,0.022137,0.029015,0.042888,0.071891,0.133007"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.023017,0.032650,0.044460,0.072622,0.133060,0.255266,0.500105", \ "0.023021,0.032649,0.044460,0.072620,0.133057,0.255264,0.500098", \ "0.023012,0.032648,0.044459,0.072622,0.133069,0.255266,0.500103", \ "0.023008,0.032650,0.044460,0.072620,0.133056,0.255264,0.500112", \ "0.023014,0.032650,0.044458,0.072623,0.133068,0.255263,0.500113", \ "0.023013,0.032653,0.044462,0.072619,0.133059,0.255263,0.500105", \ "0.023227,0.032815,0.044553,0.072651,0.133062,0.255267,0.500111"); } } timing () { related_pin : "C1"; when : "!A1 & !A2 & !B1 & !B2 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.138303,0.148879,0.156886,0.169728,0.191000,0.228591,0.299852", \ "0.142758,0.153330,0.161336,0.174177,0.195447,0.233055,0.304311", \ "0.159326,0.169894,0.177889,0.190727,0.211993,0.249590,0.320846", \ "0.192326,0.202881,0.210811,0.223415,0.244677,0.282228,0.353462", \ "0.233906,0.244457,0.252427,0.264824,0.286035,0.323648,0.394875", \ "0.274294,0.284913,0.292946,0.305593,0.326899,0.364512,0.435773", \ "0.310742,0.321692,0.329865,0.342758,0.364239,0.401928,0.473171"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.324044,0.342389,0.358828,0.390904,0.455041,0.583188,0.839057", \ "0.328338,0.346684,0.363117,0.395179,0.459325,0.587499,0.843366", \ "0.344930,0.363274,0.379710,0.411735,0.475908,0.604099,0.859959", \ "0.377536,0.395876,0.412224,0.444095,0.508234,0.636424,0.892282", \ "0.425200,0.443548,0.459960,0.491587,0.555660,0.683823,0.939680", \ "0.487182,0.505573,0.521988,0.553839,0.617963,0.746114,1.002016", \ "0.557173,0.576052,0.592551,0.624438,0.688491,0.816573,1.072420"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013212,0.017168,0.020898,0.028034,0.042220,0.071540,0.132915", \ "0.013205,0.017165,0.020896,0.028033,0.042219,0.071540,0.132917", \ "0.013186,0.017147,0.020880,0.028020,0.042211,0.071533,0.132910", \ "0.013115,0.017088,0.020828,0.027976,0.042176,0.071516,0.132901", \ "0.013297,0.017228,0.020946,0.028065,0.042224,0.071528,0.132899", \ "0.013731,0.017573,0.021235,0.028284,0.042372,0.071610,0.132933", \ "0.014536,0.018229,0.021797,0.028723,0.042668,0.071759,0.132969"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.018031,0.028657,0.042190,0.071842,0.132783,0.255126,0.500034", \ "0.018029,0.028660,0.042192,0.071847,0.132774,0.255127,0.500035", \ "0.018026,0.028660,0.042189,0.071846,0.132785,0.255129,0.500024", \ "0.018030,0.028658,0.042191,0.071846,0.132773,0.255125,0.500020", \ "0.018035,0.028660,0.042191,0.071846,0.132775,0.255125,0.500020", \ "0.018196,0.028749,0.042230,0.071852,0.132778,0.255126,0.500026", \ "0.019234,0.029448,0.042584,0.071964,0.132839,0.255144,0.500039"); } } timing () { related_pin : "C1"; when : "!A1 & !A2 & !B1 & B2 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.135548,0.146115,0.154107,0.166945,0.188222,0.225792,0.297048", \ "0.139990,0.150546,0.158538,0.171373,0.192637,0.230224,0.301487", \ "0.156595,0.167141,0.175133,0.187958,0.209218,0.246797,0.318062", \ "0.189482,0.200024,0.207936,0.220510,0.241763,0.279314,0.350547", \ "0.230132,0.240660,0.248601,0.261003,0.282238,0.319860,0.391116", \ "0.269261,0.279868,0.287898,0.300532,0.321851,0.359477,0.430702", \ "0.304162,0.315126,0.323303,0.336194,0.357671,0.395365,0.466615"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.376922,0.396258,0.412879,0.444784,0.508755,0.636858,0.892729", \ "0.380894,0.400228,0.416836,0.448811,0.512753,0.640822,0.896669", \ "0.396643,0.415978,0.432576,0.464540,0.528505,0.656584,0.912429", \ "0.427608,0.446948,0.463456,0.495089,0.559095,0.687201,0.943029", \ "0.471476,0.490819,0.507367,0.538872,0.602783,0.730839,0.986696", \ "0.527875,0.547233,0.563829,0.595671,0.659592,0.787630,1.043455", \ "0.593348,0.613137,0.629816,0.661703,0.725607,0.853623,1.109445"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013168,0.017131,0.020866,0.028009,0.042200,0.071528,0.132907", \ "0.013162,0.017127,0.020862,0.028006,0.042198,0.071529,0.132907", \ "0.013134,0.017104,0.020842,0.027988,0.042186,0.071522,0.132907", \ "0.013071,0.017048,0.020790,0.027946,0.042154,0.071500,0.132898", \ "0.013270,0.017203,0.020925,0.028046,0.042211,0.071518,0.132901", \ "0.013729,0.017568,0.021228,0.028276,0.042365,0.071603,0.132930", \ "0.014573,0.018257,0.021819,0.028738,0.042675,0.071759,0.132963"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.019998,0.030093,0.042956,0.072109,0.132887,0.255186,0.500057", \ "0.019994,0.030093,0.042958,0.072114,0.132891,0.255187,0.500057", \ "0.019989,0.030093,0.042956,0.072116,0.132907,0.255188,0.500057", \ "0.019990,0.030093,0.042956,0.072116,0.132894,0.255191,0.500059", \ "0.019996,0.030093,0.042959,0.072111,0.132897,0.255200,0.500058", \ "0.020036,0.030122,0.042974,0.072113,0.132905,0.255198,0.500059", \ "0.020877,0.030758,0.043318,0.072224,0.132932,0.255201,0.500068"); } } timing () { related_pin : "C1"; when : "!A1 & !A2 & B1 & !B2 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.140780,0.151438,0.159488,0.172375,0.193677,0.231271,0.302521", \ "0.145208,0.155863,0.163914,0.176799,0.198100,0.235695,0.306943", \ "0.161790,0.172437,0.180480,0.193357,0.214656,0.252250,0.323498", \ "0.194798,0.205419,0.213371,0.226013,0.247302,0.284878,0.356118", \ "0.236786,0.247456,0.255470,0.267931,0.289222,0.326836,0.398059", \ "0.277946,0.288785,0.296902,0.309625,0.331026,0.368693,0.439944", \ "0.315150,0.326383,0.334686,0.347692,0.369272,0.407033,0.478303"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.407889,0.427473,0.444105,0.476008,0.539914,0.668032,0.923856", \ "0.412202,0.431775,0.448401,0.480303,0.544220,0.672346,0.928163", \ "0.428151,0.447724,0.464402,0.496244,0.560205,0.688247,0.944080", \ "0.458924,0.478503,0.495036,0.526722,0.590642,0.718679,0.974521", \ "0.502620,0.522191,0.538804,0.570139,0.634039,0.762086,1.017968", \ "0.559039,0.578628,0.595249,0.627054,0.690951,0.818988,1.074800", \ "0.626712,0.646640,0.663344,0.695216,0.759068,0.887084,1.142899"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013415,0.017333,0.021038,0.028144,0.042293,0.071583,0.132927", \ "0.013408,0.017327,0.021033,0.028140,0.042291,0.071581,0.132925", \ "0.013380,0.017305,0.021013,0.028122,0.042279,0.071574,0.132925", \ "0.013321,0.017252,0.020966,0.028082,0.042247,0.071554,0.132913", \ "0.013565,0.017449,0.021131,0.028205,0.042317,0.071576,0.132914", \ "0.014156,0.017921,0.021533,0.028516,0.042529,0.071690,0.132953", \ "0.015193,0.018778,0.022269,0.029098,0.042929,0.071898,0.133011"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.020476,0.030457,0.043155,0.072182,0.132927,0.255204,0.500081", \ "0.020468,0.030454,0.043156,0.072175,0.132913,0.255210,0.500082", \ "0.020473,0.030455,0.043157,0.072176,0.132913,0.255213,0.500081", \ "0.020470,0.030456,0.043157,0.072175,0.132911,0.255215,0.500074", \ "0.020469,0.030455,0.043156,0.072177,0.132923,0.255213,0.500067", \ "0.020491,0.030467,0.043162,0.072176,0.132913,0.255212,0.500078", \ "0.021106,0.030957,0.043423,0.072261,0.132932,0.255212,0.500076"); } } timing () { related_pin : "C1"; when : "!A1 & A2 & !B1 & !B2 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.130755,0.141384,0.149424,0.162304,0.183616,0.221236,0.292525", \ "0.135272,0.145902,0.153944,0.166823,0.188132,0.225749,0.297036", \ "0.152274,0.162884,0.170904,0.183772,0.205071,0.242691,0.313967", \ "0.185315,0.195913,0.203858,0.216508,0.237782,0.275342,0.346596", \ "0.225284,0.235847,0.243823,0.256270,0.277472,0.315097,0.386361", \ "0.263722,0.274359,0.282412,0.295063,0.316405,0.354043,0.425302", \ "0.297908,0.308948,0.317146,0.330066,0.351563,0.389288,0.460548"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.357255,0.376239,0.392763,0.424752,0.488792,0.616891,0.872750", \ "0.361343,0.380333,0.396844,0.428833,0.492887,0.620960,0.876827", \ "0.377378,0.396378,0.412911,0.444901,0.508933,0.637037,0.892888", \ "0.409604,0.428593,0.445029,0.476840,0.540882,0.668956,0.924837", \ "0.456490,0.475491,0.492001,0.523548,0.587511,0.715617,0.971493", \ "0.516391,0.535425,0.551964,0.583870,0.647867,0.775920,1.031760", \ "0.584544,0.604014,0.620645,0.652570,0.716549,0.844551,1.100376"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013337,0.017288,0.021012,0.028139,0.042305,0.071601,0.132943", \ "0.013329,0.017279,0.021005,0.028133,0.042300,0.071598,0.132943", \ "0.013277,0.017236,0.020967,0.028101,0.042277,0.071585,0.132938", \ "0.013178,0.017148,0.020884,0.028028,0.042221,0.071550,0.132920", \ "0.013381,0.017301,0.021013,0.028125,0.042276,0.071564,0.132919", \ "0.013855,0.017677,0.021328,0.028360,0.042429,0.071644,0.132945", \ "0.014744,0.018403,0.021950,0.028845,0.042754,0.071808,0.132987"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.019333,0.029571,0.042664,0.072001,0.132835,0.255148,0.500041", \ "0.019327,0.029571,0.042663,0.071993,0.132845,0.255150,0.500045", \ "0.019333,0.029570,0.042661,0.071995,0.132829,0.255152,0.500044", \ "0.019334,0.029571,0.042664,0.071998,0.132838,0.255155,0.500045", \ "0.019337,0.029571,0.042661,0.071996,0.132834,0.255152,0.500045", \ "0.019426,0.029627,0.042690,0.072006,0.132835,0.255155,0.500033", \ "0.020312,0.030282,0.043037,0.072116,0.132869,0.255157,0.500043"); } } timing () { related_pin : "C1"; when : "!A1 & A2 & !B1 & B2 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.128882,0.139484,0.147506,0.160368,0.181661,0.219270,0.290546", \ "0.133382,0.143983,0.152007,0.164868,0.186158,0.223766,0.295040", \ "0.150375,0.160953,0.168953,0.181801,0.203085,0.240689,0.311955", \ "0.183132,0.193704,0.201626,0.214228,0.235494,0.273040,0.344274", \ "0.222150,0.232696,0.240655,0.253077,0.274325,0.311948,0.383206", \ "0.259354,0.270005,0.278050,0.290706,0.312028,0.349665,0.420900", \ "0.292060,0.303107,0.311306,0.324226,0.345739,0.383454,0.454694"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.408843,0.428875,0.445641,0.477543,0.541390,0.669425,0.925249", \ "0.412551,0.432596,0.449359,0.481279,0.545154,0.673149,0.928974", \ "0.427768,0.447809,0.464621,0.496461,0.560337,0.688374,0.944184", \ "0.458392,0.478431,0.495075,0.526734,0.590594,0.718622,0.974439", \ "0.501672,0.521714,0.538496,0.569852,0.633703,0.761698,1.017517", \ "0.556452,0.576507,0.593292,0.625094,0.689043,0.816992,1.072799", \ "0.620350,0.640775,0.657644,0.689620,0.753441,0.881380,1.137152"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013258,0.017219,0.020950,0.028085,0.042265,0.071575,0.132933", \ "0.013249,0.017207,0.020941,0.028077,0.042260,0.071569,0.132931", \ "0.013194,0.017164,0.020900,0.028045,0.042233,0.071556,0.132924", \ "0.013114,0.017089,0.020832,0.027982,0.042186,0.071526,0.132916", \ "0.013337,0.017262,0.020975,0.028091,0.042252,0.071545,0.132911", \ "0.013836,0.017658,0.021305,0.028341,0.042410,0.071630,0.132939", \ "0.014764,0.018415,0.021958,0.028849,0.042750,0.071803,0.132979"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.021247,0.031124,0.043544,0.072310,0.132966,0.255214,0.500077", \ "0.021246,0.031124,0.043544,0.072311,0.132967,0.255226,0.500075", \ "0.021241,0.031125,0.043545,0.072310,0.132950,0.255216,0.500080", \ "0.021240,0.031126,0.043543,0.072304,0.132949,0.255227,0.500080", \ "0.021249,0.031126,0.043546,0.072312,0.132953,0.255222,0.500079", \ "0.021269,0.031149,0.043555,0.072313,0.132966,0.255221,0.500071", \ "0.021923,0.031708,0.043879,0.072411,0.132980,0.255232,0.500089"); } } timing () { related_pin : "C1"; when : "!A1 & A2 & B1 & !B2 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.134185,0.144880,0.152952,0.165865,0.187194,0.224812,0.296071", \ "0.138681,0.149376,0.157447,0.170357,0.191684,0.229297,0.300558", \ "0.155577,0.166253,0.174309,0.187205,0.208522,0.246138,0.317393", \ "0.188596,0.199228,0.207201,0.219860,0.241159,0.278748,0.350004", \ "0.229146,0.239840,0.247863,0.260323,0.281662,0.319285,0.390518", \ "0.268510,0.279398,0.287534,0.300271,0.321666,0.359362,0.430622", \ "0.303607,0.314916,0.323262,0.336282,0.357890,0.395685,0.466959"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.444636,0.464986,0.481828,0.513652,0.577473,0.705494,0.961272", \ "0.448738,0.469088,0.485931,0.517756,0.581553,0.709585,0.965373", \ "0.464186,0.484537,0.501383,0.533278,0.596955,0.725042,0.980812", \ "0.494546,0.514899,0.531627,0.563232,0.626984,0.754998,1.010791", \ "0.537639,0.557995,0.574813,0.606147,0.669888,0.797902,1.053756", \ "0.592373,0.612717,0.629561,0.661352,0.725143,0.853081,1.108873", \ "0.658115,0.678685,0.695599,0.727493,0.791228,0.919170,1.174958"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013495,0.017412,0.021115,0.028214,0.042353,0.071622,0.132947", \ "0.013488,0.017404,0.021106,0.028207,0.042347,0.071621,0.132946", \ "0.013437,0.017360,0.021067,0.028175,0.042325,0.071607,0.132944", \ "0.013360,0.017291,0.021003,0.028117,0.042278,0.071576,0.132931", \ "0.013642,0.017510,0.021188,0.028256,0.042358,0.071602,0.132930", \ "0.014279,0.018024,0.021621,0.028588,0.042581,0.071722,0.132965", \ "0.015408,0.018958,0.022425,0.029223,0.043015,0.071948,0.133024"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.021820,0.031610,0.043827,0.072407,0.132992,0.255239,0.500077", \ "0.021822,0.031611,0.043826,0.072408,0.132983,0.255238,0.500076", \ "0.021829,0.031609,0.043828,0.072403,0.132993,0.255239,0.500077", \ "0.021832,0.031610,0.043827,0.072402,0.132989,0.255228,0.500078", \ "0.021830,0.031610,0.043827,0.072405,0.132994,0.255239,0.500076", \ "0.021838,0.031618,0.043830,0.072402,0.132993,0.255240,0.500082", \ "0.022287,0.031988,0.044036,0.072463,0.132993,0.255232,0.500077"); } } timing () { related_pin : "C1"; when : "A1 & !A2 & !B1 & !B2 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.136086,0.146813,0.154899,0.167831,0.189177,0.226809,0.298074", \ "0.140604,0.151328,0.159416,0.172347,0.193689,0.231317,0.302584", \ "0.157531,0.168238,0.176313,0.189230,0.210562,0.248195,0.319454", \ "0.190787,0.201453,0.209432,0.222111,0.243425,0.281031,0.352267", \ "0.232221,0.242929,0.250962,0.263439,0.284786,0.322419,0.393653", \ "0.272756,0.283643,0.291784,0.304517,0.325943,0.363628,0.434893", \ "0.309315,0.320615,0.328951,0.341992,0.363575,0.401359,0.472640"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.386557,0.405769,0.422324,0.454294,0.518292,0.646342,0.902190", \ "0.390932,0.410144,0.426698,0.458667,0.522662,0.650715,0.906565", \ "0.407187,0.426402,0.442955,0.474927,0.538888,0.666970,0.922820", \ "0.439224,0.458434,0.474894,0.506642,0.570549,0.698684,0.954532", \ "0.485945,0.505168,0.521655,0.553179,0.617120,0.745192,1.001038", \ "0.545979,0.565205,0.581761,0.613681,0.677645,0.805693,1.061517", \ "0.616488,0.636084,0.652714,0.684650,0.748562,0.876594,1.132412"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013575,0.017482,0.021178,0.028267,0.042392,0.071649,0.132960", \ "0.013566,0.017474,0.021171,0.028260,0.042388,0.071645,0.132961", \ "0.013515,0.017431,0.021132,0.028230,0.042365,0.071633,0.132959", \ "0.013422,0.017346,0.021054,0.028161,0.042311,0.071599,0.132936", \ "0.013674,0.017546,0.021221,0.028285,0.042379,0.071617,0.132935", \ "0.014288,0.018037,0.021633,0.028603,0.042596,0.071730,0.132974", \ "0.015374,0.018932,0.022404,0.029209,0.043008,0.071947,0.133031"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.019795,0.029903,0.042841,0.072051,0.132868,0.255154,0.500033", \ "0.019800,0.029906,0.042841,0.072053,0.132860,0.255154,0.500034", \ "0.019797,0.029903,0.042839,0.072052,0.132865,0.255155,0.500036", \ "0.019807,0.029905,0.042839,0.072053,0.132868,0.255159,0.500037", \ "0.019804,0.029904,0.042841,0.072055,0.132865,0.255156,0.500036", \ "0.019834,0.029926,0.042848,0.072060,0.132857,0.255160,0.500036", \ "0.020542,0.030456,0.043132,0.072145,0.132890,0.255176,0.500055"); } } timing () { related_pin : "C1"; when : "A1 & !A2 & !B1 & B2 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.134185,0.144880,0.152952,0.165865,0.187194,0.224812,0.296071", \ "0.138681,0.149376,0.157447,0.170357,0.191684,0.229297,0.300558", \ "0.155577,0.166253,0.174309,0.187205,0.208522,0.246138,0.317393", \ "0.188596,0.199228,0.207201,0.219860,0.241159,0.278748,0.350004", \ "0.229146,0.239840,0.247863,0.260323,0.281662,0.319285,0.390518", \ "0.268510,0.279398,0.287534,0.300271,0.321666,0.359362,0.430622", \ "0.303607,0.314916,0.323262,0.336282,0.357890,0.395685,0.466959"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.444636,0.464986,0.481828,0.513652,0.577473,0.705494,0.961272", \ "0.448738,0.469088,0.485931,0.517756,0.581553,0.709585,0.965373", \ "0.464186,0.484537,0.501383,0.533278,0.596955,0.725042,0.980812", \ "0.494546,0.514899,0.531627,0.563232,0.626984,0.754998,1.010791", \ "0.537639,0.557995,0.574813,0.606147,0.669888,0.797902,1.053756", \ "0.592373,0.612717,0.629561,0.661352,0.725143,0.853081,1.108873", \ "0.658115,0.678685,0.695599,0.727493,0.791228,0.919170,1.174958"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013495,0.017412,0.021115,0.028214,0.042353,0.071622,0.132947", \ "0.013488,0.017404,0.021106,0.028207,0.042347,0.071621,0.132946", \ "0.013437,0.017360,0.021067,0.028175,0.042325,0.071607,0.132944", \ "0.013360,0.017291,0.021003,0.028117,0.042278,0.071576,0.132931", \ "0.013642,0.017510,0.021188,0.028256,0.042358,0.071602,0.132930", \ "0.014279,0.018024,0.021621,0.028588,0.042581,0.071722,0.132965", \ "0.015408,0.018958,0.022425,0.029223,0.043015,0.071948,0.133024"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.021820,0.031610,0.043827,0.072407,0.132992,0.255239,0.500077", \ "0.021822,0.031611,0.043826,0.072408,0.132983,0.255238,0.500076", \ "0.021829,0.031609,0.043828,0.072403,0.132993,0.255239,0.500077", \ "0.021832,0.031610,0.043827,0.072402,0.132989,0.255228,0.500078", \ "0.021830,0.031610,0.043827,0.072405,0.132994,0.255239,0.500076", \ "0.021838,0.031618,0.043830,0.072402,0.132993,0.255240,0.500082", \ "0.022287,0.031988,0.044036,0.072463,0.132993,0.255232,0.500077"); } } timing () { related_pin : "C1"; when : "A1 & !A2 & B1 & !B2 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.139445,0.150217,0.158334,0.171299,0.192674,0.230330,0.301596", \ "0.143939,0.154706,0.162824,0.175783,0.197156,0.234806,0.306072", \ "0.160793,0.171551,0.179654,0.192605,0.213966,0.251613,0.322881", \ "0.193932,0.204653,0.212664,0.225376,0.246721,0.284353,0.355604", \ "0.235910,0.246705,0.254793,0.267352,0.288718,0.326373,0.397622", \ "0.277200,0.288243,0.296463,0.309275,0.330761,0.368494,0.439773", \ "0.314414,0.325942,0.334401,0.347563,0.369261,0.407121,0.478413"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.480431,0.501098,0.518037,0.549845,0.613489,0.741458,0.997301", \ "0.484823,0.505486,0.522411,0.554231,0.617875,0.745833,1.001685", \ "0.500506,0.521169,0.538093,0.569902,0.633623,0.761597,1.017418", \ "0.530669,0.551331,0.568174,0.599791,0.663407,0.791401,1.047243", \ "0.573615,0.594278,0.611194,0.642474,0.706157,0.834132,1.089924", \ "0.628217,0.648880,0.665806,0.697511,0.761230,0.889134,1.144930", \ "0.695006,0.715779,0.732734,0.764635,0.828378,0.956263,1.212036"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013761,0.017634,0.021307,0.028366,0.042461,0.071684,0.132970", \ "0.013752,0.017626,0.021298,0.028359,0.042455,0.071682,0.132968", \ "0.013700,0.017583,0.021261,0.028328,0.042433,0.071667,0.132966", \ "0.013632,0.017519,0.021202,0.028276,0.042389,0.071636,0.132951", \ "0.013956,0.017779,0.021420,0.028438,0.042483,0.071674,0.132949", \ "0.014727,0.018400,0.021949,0.028851,0.042767,0.071822,0.133001", \ "0.016024,0.019484,0.022885,0.029597,0.043285,0.072102,0.133081"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.022421,0.032117,0.044133,0.072508,0.133024,0.255249,0.500085", \ "0.022418,0.032120,0.044132,0.072512,0.133015,0.255257,0.500100", \ "0.022420,0.032120,0.044132,0.072513,0.133018,0.255252,0.500094", \ "0.022410,0.032117,0.044132,0.072509,0.133032,0.255257,0.500102", \ "0.022412,0.032117,0.044132,0.072506,0.133016,0.255249,0.500097", \ "0.022418,0.032122,0.044131,0.072511,0.133016,0.255260,0.500095", \ "0.022664,0.032310,0.044240,0.072540,0.133039,0.255257,0.500103"); } } timing () { related_pin : "C2"; when : "!A1 & !A2 & !B1 & !B2 & C1"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.140973,0.151545,0.159551,0.172392,0.193663,0.231262,0.302521", \ "0.146002,0.156566,0.164573,0.177412,0.198685,0.236293,0.307548", \ "0.161279,0.171853,0.179856,0.192699,0.213966,0.251556,0.322815", \ "0.189530,0.200104,0.208128,0.220939,0.242194,0.279765,0.351015", \ "0.227076,0.237634,0.245578,0.258094,0.279376,0.316985,0.388256", \ "0.267854,0.278444,0.286423,0.298737,0.319985,0.357640,0.428900", \ "0.308171,0.318899,0.326953,0.339489,0.360837,0.398511,0.469796"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.345763,0.364261,0.380680,0.412682,0.476800,0.604959,0.860840", \ "0.350163,0.368669,0.385111,0.417145,0.481261,0.609377,0.865262", \ "0.368279,0.386773,0.403219,0.435217,0.499339,0.627484,0.883373", \ "0.401689,0.420188,0.436517,0.468344,0.532462,0.660598,0.916458", \ "0.449610,0.468101,0.484504,0.516089,0.580097,0.708243,0.964109", \ "0.512114,0.530632,0.547078,0.578961,0.643005,0.771113,1.026975", \ "0.584725,0.603666,0.620147,0.652054,0.716058,0.844153,1.100010"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013205,0.017167,0.020898,0.028034,0.042220,0.071540,0.132913", \ "0.013204,0.017164,0.020894,0.028032,0.042218,0.071540,0.132918", \ "0.013196,0.017156,0.020888,0.028027,0.042213,0.071537,0.132910", \ "0.013162,0.017126,0.020860,0.028002,0.042197,0.071526,0.132907", \ "0.013291,0.017224,0.020943,0.028065,0.042232,0.071538,0.132909", \ "0.013578,0.017467,0.021152,0.028231,0.042350,0.071604,0.132928", \ "0.014040,0.017851,0.021488,0.028502,0.042537,0.071709,0.132959"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.018402,0.028891,0.042306,0.071883,0.132795,0.255140,0.500029", \ "0.018401,0.028893,0.042306,0.071884,0.132803,0.255127,0.500028", \ "0.018399,0.028892,0.042306,0.071885,0.132794,0.255127,0.500032", \ "0.018397,0.028894,0.042305,0.071885,0.132811,0.255127,0.500039", \ "0.018399,0.028895,0.042305,0.071878,0.132803,0.255128,0.500028", \ "0.018465,0.028930,0.042322,0.071888,0.132798,0.255137,0.500039", \ "0.019365,0.029528,0.042625,0.071984,0.132822,0.255147,0.500038"); } } timing () { related_pin : "C2"; when : "!A1 & !A2 & !B1 & B2 & C1"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.138230,0.148794,0.156787,0.169624,0.190901,0.228470,0.299731", \ "0.143241,0.153797,0.161786,0.174622,0.195894,0.233471,0.304737", \ "0.158496,0.169043,0.177040,0.189867,0.211126,0.248718,0.319972", \ "0.186629,0.197190,0.205222,0.218031,0.239276,0.276838,0.348088", \ "0.223665,0.234214,0.242145,0.254693,0.275924,0.313523,0.384776", \ "0.263586,0.274163,0.282141,0.294420,0.315680,0.353331,0.424573", \ "0.302637,0.313358,0.321418,0.333929,0.355279,0.392966,0.464223"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.404237,0.423815,0.440443,0.472333,0.536243,0.664368,0.920188", \ "0.408357,0.427932,0.444597,0.476490,0.540384,0.668450,0.924291", \ "0.426014,0.445586,0.462223,0.494147,0.558049,0.686109,0.941950", \ "0.458016,0.477596,0.494112,0.525708,0.589628,0.717702,0.973542", \ "0.502192,0.521766,0.538372,0.569770,0.633666,0.761706,1.017553", \ "0.558788,0.578378,0.595018,0.626870,0.690687,0.818711,1.074537", \ "0.626343,0.646258,0.662966,0.694840,0.758748,0.886719,1.142552"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013165,0.017130,0.020865,0.028007,0.042200,0.071528,0.132914", \ "0.013161,0.017125,0.020861,0.028004,0.042198,0.071528,0.132910", \ "0.013146,0.017114,0.020850,0.027996,0.042193,0.071524,0.132907", \ "0.013114,0.017084,0.020823,0.027973,0.042174,0.071514,0.132904", \ "0.013252,0.017192,0.020913,0.028039,0.042213,0.071525,0.132899", \ "0.013553,0.017445,0.021129,0.028211,0.042334,0.071591,0.132920", \ "0.014036,0.017848,0.021480,0.028494,0.042529,0.071701,0.132955"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.020471,0.030455,0.043155,0.072177,0.132926,0.255208,0.500082", \ "0.020473,0.030457,0.043158,0.072176,0.132930,0.255216,0.500074", \ "0.020477,0.030457,0.043157,0.072178,0.132926,0.255211,0.500065", \ "0.020473,0.030457,0.043156,0.072182,0.132918,0.255213,0.500081", \ "0.020472,0.030458,0.043154,0.072182,0.132912,0.255216,0.500068", \ "0.020492,0.030468,0.043163,0.072179,0.132913,0.255213,0.500072", \ "0.021087,0.030944,0.043422,0.072258,0.132940,0.255224,0.500079"); } } timing () { related_pin : "C2"; when : "!A1 & !A2 & B1 & !B2 & C1"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.143462,0.154114,0.162165,0.175051,0.196353,0.233949,0.305199", \ "0.148459,0.159116,0.167165,0.180049,0.201350,0.238948,0.310195", \ "0.163712,0.174362,0.182409,0.195289,0.216588,0.254185,0.325433", \ "0.191950,0.202588,0.210640,0.223503,0.244792,0.282387,0.353639", \ "0.229511,0.240188,0.248183,0.260774,0.282057,0.319658,0.390897", \ "0.270411,0.281212,0.289263,0.301639,0.322954,0.360607,0.431853", \ "0.310922,0.321912,0.330081,0.342694,0.364139,0.401866,0.473137"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.435165,0.454988,0.471668,0.503541,0.567350,0.695428,0.951296", \ "0.439383,0.459212,0.475899,0.507716,0.571571,0.699640,0.955485", \ "0.457215,0.477042,0.493729,0.525537,0.589393,0.717461,0.973306", \ "0.489130,0.508953,0.525539,0.557114,0.620963,0.749046,1.004901", \ "0.533243,0.553066,0.569726,0.601038,0.664794,0.792916,1.048726", \ "0.589830,0.609655,0.626338,0.658122,0.721919,0.849898,1.105741", \ "0.659058,0.679081,0.695806,0.727688,0.791473,0.919461,1.175288"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013414,0.017330,0.021036,0.028141,0.042294,0.071583,0.132930", \ "0.013407,0.017326,0.021032,0.028139,0.042289,0.071579,0.132926", \ "0.013391,0.017314,0.021021,0.028130,0.042283,0.071575,0.132926", \ "0.013361,0.017286,0.020997,0.028108,0.042267,0.071567,0.132925", \ "0.013530,0.017420,0.021107,0.028190,0.042315,0.071584,0.132922", \ "0.013901,0.017732,0.021379,0.028411,0.042471,0.071668,0.132952", \ "0.014478,0.018219,0.021806,0.028756,0.042715,0.071805,0.132992"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.020957,0.030838,0.043370,0.072252,0.132936,0.255220,0.500078", \ "0.020966,0.030836,0.043368,0.072249,0.132950,0.255223,0.500088", \ "0.020962,0.030836,0.043370,0.072251,0.132944,0.255223,0.500089", \ "0.020959,0.030836,0.043370,0.072249,0.132950,0.255222,0.500079", \ "0.020960,0.030836,0.043368,0.072250,0.132955,0.255224,0.500077", \ "0.020966,0.030841,0.043372,0.072251,0.132940,0.255217,0.500078", \ "0.021389,0.031162,0.043541,0.072300,0.132956,0.255232,0.500083"); } } timing () { related_pin : "C2"; when : "!A1 & A2 & !B1 & !B2 & C1"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.133444,0.144074,0.152109,0.164990,0.186298,0.223923,0.295204", \ "0.138518,0.149145,0.157180,0.170063,0.191367,0.229003,0.300264", \ "0.153953,0.164569,0.172590,0.185463,0.206764,0.244388,0.315667", \ "0.182117,0.192737,0.200775,0.213624,0.234912,0.272518,0.343761", \ "0.218663,0.229263,0.237214,0.249794,0.271073,0.308702,0.379971", \ "0.257904,0.268501,0.276502,0.288802,0.310120,0.347776,0.419061", \ "0.296042,0.306807,0.314900,0.327419,0.348851,0.386564,0.457804"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.383180,0.402393,0.418948,0.450917,0.514916,0.642967,0.898816", \ "0.387429,0.406640,0.423183,0.455101,0.519124,0.647191,0.903044", \ "0.405269,0.424493,0.441027,0.472944,0.536960,0.665036,0.920886", \ "0.438377,0.457598,0.474102,0.505803,0.569765,0.697867,0.953717", \ "0.485587,0.504811,0.521290,0.552783,0.616742,0.744807,1.000655", \ "0.545768,0.564994,0.581559,0.613473,0.677395,0.805427,1.061259", \ "0.616136,0.635718,0.652373,0.684337,0.748191,0.876228,1.132049"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013333,0.017285,0.021010,0.028136,0.042303,0.071599,0.132947", \ "0.013327,0.017279,0.021006,0.028133,0.042300,0.071595,0.132942", \ "0.013299,0.017254,0.020984,0.028114,0.042288,0.071587,0.132937", \ "0.013242,0.017202,0.020933,0.028071,0.042253,0.071569,0.132934", \ "0.013376,0.017307,0.021018,0.028132,0.042288,0.071578,0.132925", \ "0.013679,0.017555,0.021234,0.028302,0.042405,0.071638,0.132943", \ "0.014182,0.017973,0.021595,0.028593,0.042604,0.071750,0.132978"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.019802,0.029907,0.042841,0.072054,0.132870,0.255159,0.500036", \ "0.019805,0.029905,0.042841,0.072055,0.132865,0.255158,0.500042", \ "0.019806,0.029906,0.042840,0.072059,0.132856,0.255157,0.500040", \ "0.019802,0.029908,0.042840,0.072060,0.132852,0.255160,0.500048", \ "0.019808,0.029910,0.042843,0.072060,0.132855,0.255157,0.500036", \ "0.019833,0.029928,0.042850,0.072056,0.132852,0.255161,0.500035", \ "0.020523,0.030444,0.043124,0.072141,0.132880,0.255181,0.500040"); } } timing () { related_pin : "C2"; when : "!A1 & A2 & !B1 & B2 & C1"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.131583,0.142185,0.150206,0.163068,0.184359,0.221966,0.293241", \ "0.136643,0.147238,0.155257,0.168120,0.189407,0.227019,0.298287", \ "0.152039,0.162619,0.170625,0.183476,0.204764,0.242373,0.313640", \ "0.180028,0.190621,0.198634,0.211446,0.232718,0.270310,0.341548", \ "0.216024,0.226590,0.234540,0.247076,0.268351,0.305971,0.377238", \ "0.254373,0.264979,0.272958,0.285279,0.306556,0.344225,0.415510", \ "0.291296,0.302071,0.310149,0.322686,0.344050,0.381733,0.453049"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.440488,0.460827,0.477686,0.509490,0.573272,0.701279,0.957100", \ "0.444390,0.464740,0.481581,0.513414,0.577208,0.705232,0.961033", \ "0.461774,0.482122,0.498966,0.530789,0.594586,0.722584,0.978406", \ "0.493521,0.513861,0.530596,0.562131,0.625911,0.753942,1.009746", \ "0.537170,0.557526,0.574328,0.605684,0.669412,0.797407,1.053224", \ "0.592109,0.612455,0.629303,0.661069,0.724853,0.852796,1.108588", \ "0.657715,0.678296,0.695197,0.727117,0.790853,0.918804,1.174583"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013255,0.017214,0.020946,0.028082,0.042264,0.071574,0.132931", \ "0.013246,0.017208,0.020940,0.028076,0.042258,0.071572,0.132935", \ "0.013217,0.017181,0.020916,0.028059,0.042244,0.071564,0.132932", \ "0.013170,0.017137,0.020876,0.028021,0.042216,0.071545,0.132917", \ "0.013320,0.017253,0.020970,0.028090,0.042254,0.071555,0.132920", \ "0.013633,0.017516,0.021194,0.028268,0.042375,0.071623,0.132932", \ "0.014156,0.017949,0.021572,0.028571,0.042586,0.071734,0.132970"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.021828,0.031610,0.043829,0.072404,0.132994,0.255231,0.500077", \ "0.021824,0.031610,0.043828,0.072408,0.132988,0.255229,0.500076", \ "0.021821,0.031612,0.043828,0.072402,0.132997,0.255230,0.500081", \ "0.021830,0.031612,0.043830,0.072406,0.132990,0.255229,0.500079", \ "0.021832,0.031610,0.043827,0.072407,0.132989,0.255235,0.500094", \ "0.021837,0.031619,0.043832,0.072401,0.132996,0.255237,0.500082", \ "0.022294,0.031997,0.044045,0.072470,0.132996,0.255247,0.500079"); } } timing () { related_pin : "C2"; when : "!A1 & A2 & B1 & !B2 & C1"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.136885,0.147576,0.155649,0.168561,0.189890,0.227507,0.298766", \ "0.141935,0.152625,0.160696,0.173606,0.194930,0.232547,0.303805", \ "0.157303,0.167982,0.176043,0.188944,0.210263,0.247882,0.319140", \ "0.185402,0.196067,0.204126,0.217005,0.238312,0.275921,0.347175", \ "0.222043,0.232747,0.240754,0.253362,0.274668,0.312287,0.383531", \ "0.261493,0.272311,0.280385,0.292782,0.314116,0.351789,0.423038", \ "0.300014,0.311051,0.319250,0.331864,0.353366,0.391107,0.462385"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.476196,0.496860,0.513778,0.545586,0.609260,0.737220,0.993075", \ "0.480220,0.500886,0.517817,0.549624,0.613246,0.741246,0.997092", \ "0.497790,0.518452,0.535370,0.567201,0.630882,0.758869,1.014686", \ "0.529453,0.550118,0.566939,0.598549,0.662156,0.790136,1.045975", \ "0.573044,0.593709,0.610603,0.641947,0.705486,0.833454,1.089268", \ "0.627897,0.648561,0.665493,0.697259,0.760911,0.888830,1.144617", \ "0.694672,0.715455,0.732419,0.764340,0.828086,0.955977,1.211756"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013495,0.017410,0.021113,0.028212,0.042350,0.071622,0.132949", \ "0.013483,0.017402,0.021105,0.028207,0.042346,0.071619,0.132947", \ "0.013456,0.017378,0.021084,0.028188,0.042333,0.071613,0.132942", \ "0.013412,0.017335,0.021044,0.028152,0.042305,0.071594,0.132938", \ "0.013600,0.017482,0.021166,0.028243,0.042357,0.071610,0.132936", \ "0.013987,0.017810,0.021448,0.028469,0.042514,0.071698,0.132957", \ "0.014611,0.018333,0.021907,0.028840,0.042776,0.071844,0.133006"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.022421,0.032121,0.044134,0.072514,0.133020,0.255259,0.500098", \ "0.022415,0.032119,0.044133,0.072507,0.133032,0.255260,0.500094", \ "0.022413,0.032117,0.044132,0.072514,0.133022,0.255258,0.500093", \ "0.022413,0.032120,0.044134,0.072513,0.133015,0.255259,0.500086", \ "0.022413,0.032117,0.044133,0.072511,0.133017,0.255254,0.500100", \ "0.022424,0.032121,0.044135,0.072511,0.133016,0.255252,0.500087", \ "0.022681,0.032325,0.044247,0.072545,0.133025,0.255255,0.500094"); } } timing () { related_pin : "C2"; when : "A1 & !A2 & !B1 & !B2 & C1"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.138774,0.149498,0.157587,0.170520,0.191862,0.229493,0.300761", \ "0.143842,0.154565,0.162654,0.175582,0.196926,0.234557,0.305818", \ "0.159262,0.169980,0.178058,0.190980,0.212317,0.249949,0.321212", \ "0.187531,0.198220,0.206285,0.219183,0.240506,0.278130,0.349390", \ "0.224677,0.235400,0.243426,0.256050,0.277382,0.315008,0.386261", \ "0.264949,0.275797,0.283872,0.296279,0.317651,0.355329,0.426581", \ "0.304656,0.315707,0.323903,0.336542,0.358011,0.395759,0.467040"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.412442,0.431893,0.448486,0.480363,0.544300,0.672383,0.928222", \ "0.416779,0.436220,0.452813,0.484684,0.548624,0.676689,0.932537", \ "0.434732,0.454187,0.470778,0.502683,0.566581,0.694652,0.950498", \ "0.467814,0.487262,0.503765,0.535440,0.599383,0.727425,0.983272", \ "0.514934,0.534381,0.550919,0.582365,0.646250,0.774335,1.030176", \ "0.575148,0.594609,0.611199,0.643046,0.706938,0.834979,1.090810", \ "0.647364,0.667089,0.683734,0.715617,0.779499,0.907539,1.163357"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013572,0.017479,0.021175,0.028266,0.042392,0.071647,0.132963", \ "0.013565,0.017475,0.021170,0.028261,0.042389,0.071647,0.132957", \ "0.013542,0.017448,0.021148,0.028242,0.042376,0.071639,0.132954", \ "0.013480,0.017401,0.021103,0.028202,0.042344,0.071620,0.132948", \ "0.013652,0.017532,0.021210,0.028282,0.042388,0.071631,0.132948", \ "0.014025,0.017843,0.021483,0.028500,0.042540,0.071713,0.132971", \ "0.014623,0.018346,0.021922,0.028856,0.042790,0.071854,0.133013"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.020272,0.030258,0.043030,0.072117,0.132872,0.255178,0.500048", \ "0.020277,0.030258,0.043031,0.072118,0.132878,0.255174,0.500043", \ "0.020279,0.030258,0.043030,0.072121,0.132877,0.255177,0.500043", \ "0.020272,0.030258,0.043030,0.072120,0.132890,0.255173,0.500043", \ "0.020272,0.030258,0.043029,0.072122,0.132888,0.255174,0.500050", \ "0.020292,0.030268,0.043035,0.072119,0.132877,0.255175,0.500049", \ "0.020823,0.030644,0.043228,0.072178,0.132893,0.255186,0.500045"); } } timing () { related_pin : "C2"; when : "A1 & !A2 & !B1 & B2 & C1"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.136885,0.147576,0.155649,0.168561,0.189890,0.227507,0.298766", \ "0.141935,0.152625,0.160696,0.173606,0.194930,0.232547,0.303805", \ "0.157303,0.167982,0.176043,0.188944,0.210263,0.247882,0.319140", \ "0.185402,0.196067,0.204126,0.217005,0.238312,0.275921,0.347175", \ "0.222043,0.232747,0.240754,0.253362,0.274668,0.312287,0.383531", \ "0.261493,0.272311,0.280385,0.292782,0.314116,0.351789,0.423038", \ "0.300014,0.311051,0.319250,0.331864,0.353366,0.391107,0.462385"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.476196,0.496860,0.513778,0.545586,0.609260,0.737220,0.993075", \ "0.480220,0.500886,0.517817,0.549624,0.613246,0.741246,0.997092", \ "0.497790,0.518452,0.535370,0.567201,0.630882,0.758869,1.014686", \ "0.529453,0.550118,0.566939,0.598549,0.662156,0.790136,1.045975", \ "0.573044,0.593709,0.610603,0.641947,0.705486,0.833454,1.089268", \ "0.627897,0.648561,0.665493,0.697259,0.760911,0.888830,1.144617", \ "0.694672,0.715455,0.732419,0.764340,0.828086,0.955977,1.211756"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013495,0.017410,0.021113,0.028212,0.042350,0.071622,0.132949", \ "0.013483,0.017402,0.021105,0.028207,0.042346,0.071619,0.132947", \ "0.013456,0.017378,0.021084,0.028188,0.042333,0.071613,0.132942", \ "0.013412,0.017335,0.021044,0.028152,0.042305,0.071594,0.132938", \ "0.013600,0.017482,0.021166,0.028243,0.042357,0.071610,0.132936", \ "0.013987,0.017810,0.021448,0.028469,0.042514,0.071698,0.132957", \ "0.014611,0.018333,0.021907,0.028840,0.042776,0.071844,0.133006"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.022421,0.032121,0.044134,0.072514,0.133020,0.255259,0.500098", \ "0.022415,0.032119,0.044133,0.072507,0.133032,0.255260,0.500094", \ "0.022413,0.032117,0.044132,0.072514,0.133022,0.255258,0.500093", \ "0.022413,0.032120,0.044134,0.072513,0.133015,0.255259,0.500086", \ "0.022413,0.032117,0.044133,0.072511,0.133017,0.255254,0.500100", \ "0.022424,0.032121,0.044135,0.072511,0.133016,0.255252,0.500087", \ "0.022681,0.032325,0.044247,0.072545,0.133025,0.255255,0.500094"); } } timing () { related_pin : "C2"; when : "A1 & !A2 & B1 & !B2 & C1"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.142143,0.152913,0.161029,0.173994,0.195368,0.233024,0.304288", \ "0.147190,0.157958,0.166074,0.179033,0.200407,0.238056,0.309323", \ "0.162560,0.173321,0.181429,0.194384,0.215752,0.253401,0.324667", \ "0.190700,0.201440,0.209548,0.222453,0.243808,0.281452,0.352718", \ "0.227993,0.238785,0.246840,0.259507,0.280889,0.318544,0.389797", \ "0.268501,0.279444,0.287581,0.300057,0.321447,0.359168,0.430432", \ "0.308483,0.319682,0.327966,0.340698,0.362254,0.400051,0.471352"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.511905,0.532892,0.549921,0.581699,0.645254,0.773203,1.029042", \ "0.516047,0.537015,0.554032,0.585864,0.649427,0.777328,1.033188", \ "0.533727,0.554702,0.571720,0.603500,0.667075,0.795011,1.050798", \ "0.565342,0.586329,0.603248,0.634798,0.698344,0.826263,1.082133", \ "0.608868,0.629854,0.646855,0.678166,0.741599,0.869521,1.125363", \ "0.663662,0.684647,0.701674,0.733312,0.796929,0.924842,1.180633", \ "0.730995,0.752036,0.769069,0.800963,0.864607,0.992472,1.248219"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013759,0.017631,0.021304,0.028363,0.042460,0.071685,0.132970", \ "0.013751,0.017624,0.021297,0.028358,0.042453,0.071681,0.132970", \ "0.013725,0.017602,0.021276,0.028341,0.042442,0.071674,0.132969", \ "0.013679,0.017562,0.021240,0.028309,0.042416,0.071655,0.132960", \ "0.013895,0.017730,0.021382,0.028414,0.042478,0.071676,0.132955", \ "0.014353,0.018117,0.021719,0.028688,0.042670,0.071785,0.132989", \ "0.015067,0.018724,0.022249,0.029120,0.042980,0.071961,0.133051"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.023016,0.032649,0.044456,0.072620,0.133057,0.255269,0.500110", \ "0.023011,0.032650,0.044457,0.072621,0.133065,0.255267,0.500115", \ "0.023006,0.032650,0.044460,0.072621,0.133054,0.255265,0.500114", \ "0.023018,0.032649,0.044460,0.072621,0.133056,0.255261,0.500109", \ "0.023019,0.032652,0.044458,0.072622,0.133055,0.255264,0.500096", \ "0.023015,0.032652,0.044459,0.072620,0.133060,0.255266,0.500098", \ "0.023133,0.032742,0.044513,0.072636,0.133061,0.255268,0.500098"); } } internal_power () { related_pin : "A1"; when : "A2 & !B1 & !B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("6.929153,7.583931,7.981817,8.373957,8.677713,8.821733,8.892185", \ "6.933350,7.591489,7.976328,8.367385,8.667291,8.825744,8.888921", \ "6.904666,7.556588,7.945876,8.337543,8.637707,8.792187,8.860554", \ "6.922561,7.558518,7.933909,8.323307,8.633011,8.790789,8.856022", \ "7.044367,7.625499,8.014282,8.398952,8.709222,8.875654,8.946622", \ "7.300749,7.882935,8.232782,8.655104,8.966442,9.109705,9.183612", \ "7.629375,8.191451,8.580678,9.011443,9.369656,9.543934,9.582641"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("9.643564,10.225700,10.552670,10.922450,11.255290,11.309960,11.522890", \ "9.609141,10.191410,10.543100,10.912480,11.245120,11.329890,11.542200", \ "9.516774,10.099560,10.441050,10.876490,11.207800,11.399890,11.341880", \ "9.543937,10.113230,10.470960,10.847050,11.179240,11.314690,11.254960", \ "9.697406,10.222490,10.571470,11.015700,11.281670,11.421770,11.410090", \ "9.979225,10.520400,10.872150,11.254990,11.590390,11.735480,11.683560", \ "10.272650,10.897510,11.197130,11.719470,12.102510,12.047830,12.282680"); } } internal_power () { related_pin : "A1"; when : "A2 & !B1 & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("6.982071,7.588633,7.985407,8.372607,8.672661,8.824849,8.890988", \ "6.946516,7.600063,7.987972,8.371373,8.674036,8.826667,8.889596", \ "6.974927,7.575617,7.959038,8.346646,8.648080,8.799956,8.867161", \ "6.985469,7.551711,7.951138,8.341177,8.641252,8.804041,8.868394", \ "7.046795,7.633069,8.030125,8.417458,8.732275,8.891860,8.971128", \ "7.299692,7.886699,8.245870,8.660109,8.976741,9.115651,9.187165", \ "7.585311,8.181435,8.541729,8.988198,9.349041,9.525559,9.566964"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("9.473975,10.007470,10.358870,10.857280,11.163600,11.357460,11.596690", \ "9.416697,9.999712,10.311690,10.806690,11.152390,11.410390,11.647830", \ "9.344817,9.921439,10.216440,10.712760,11.191410,11.281970,11.521590", \ "9.344935,9.907067,10.249000,10.660220,11.039550,11.262850,11.501650", \ "9.477770,10.018080,10.379450,10.826210,11.306000,11.410330,11.647110", \ "9.791403,10.347180,10.643660,11.136980,11.473280,11.783320,11.751870", \ "10.171130,10.723960,11.055110,11.496470,11.872160,12.249230,12.225210"); } } internal_power () { related_pin : "A1"; when : "A2 & !B1 & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("6.981937,7.594501,7.948663,8.359927,8.664904,8.829485,8.889263", \ "6.930321,7.564471,7.949769,8.357560,8.663577,8.824900,8.887437", \ "6.956181,7.538584,7.930092,8.335257,8.635485,8.795615,8.859569", \ "6.933340,7.545505,7.941375,8.328979,8.642557,8.801312,8.869860", \ "7.050281,7.632455,8.013689,8.422062,8.737944,8.910607,8.975359", \ "7.327455,7.863699,8.248719,8.666145,8.982284,9.142247,9.216256", \ "7.645512,8.204599,8.548783,9.018603,9.380364,9.562260,9.610008"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.074880,10.650360,10.968040,11.424030,11.958000,12.067390,12.330930", \ "10.000610,10.606000,10.957560,11.432970,11.824220,12.104350,12.367820", \ "9.928304,10.533460,10.865920,11.343550,11.867220,11.977000,12.239820", \ "9.938017,10.487570,10.833140,11.297190,11.737040,11.997540,12.261460", \ "10.019660,10.623600,10.966370,11.438210,11.881210,12.190100,12.306530", \ "10.316510,10.912420,11.248650,11.702980,12.218470,12.500180,12.492310", \ "10.709620,11.334200,11.644750,12.105380,12.636770,12.699530,12.967520"); } } internal_power () { related_pin : "A1"; when : "A2 & !B1 & B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("6.956837,7.593985,7.985412,8.369446,8.669953,8.826645,8.891931", \ "6.950323,7.590742,7.978867,8.370349,8.671824,8.830205,8.886989", \ "6.947545,7.578538,7.962852,8.356809,8.655310,8.808259,8.875675", \ "6.980141,7.551546,7.949990,8.343811,8.649620,8.809165,8.873786", \ "7.043690,7.665081,8.029663,8.414437,8.735673,8.889507,8.959003", \ "7.296839,7.880932,8.238329,8.655488,8.967076,9.111051,9.184126", \ "7.584570,8.186765,8.554805,8.985956,9.346516,9.521411,9.562033"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("9.608207,10.128720,10.491700,10.891980,11.224370,11.396000,11.625540", \ "9.562533,10.084900,10.394220,10.792760,11.218160,11.458800,11.416410", \ "9.465207,10.043580,10.331890,10.730500,11.065810,11.362140,11.321240", \ "9.477824,10.056040,10.337290,10.809830,11.101440,11.383920,11.341450", \ "9.616078,10.176260,10.457550,10.933840,11.225800,11.577610,11.536780", \ "9.897061,10.477690,10.758660,11.159330,11.492700,11.736810,11.697650", \ "10.273200,10.827840,11.190310,11.631550,11.926860,12.246030,12.212640"); } } internal_power () { related_pin : "A1"; when : "A2 & !B1 & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("6.978063,7.603477,7.993734,8.380480,8.672557,8.824014,8.890533", \ "6.962370,7.594676,7.986690,8.380900,8.673839,8.831127,8.896932", \ "6.990891,7.588275,7.972126,8.358973,8.659992,8.811994,8.880461", \ "6.935357,7.570280,7.972076,8.355972,8.661716,8.819146,8.883107", \ "7.063968,7.645392,8.048515,8.432881,8.740332,8.910581,8.982531", \ "7.299802,7.860710,8.242026,8.664592,8.972150,9.117209,9.188242", \ "7.610133,8.152534,8.543534,8.967995,9.333196,9.505116,9.551315"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("9.477903,10.068130,10.389320,10.742680,11.266870,11.669130,11.662290", \ "9.467001,10.004980,10.324590,10.707310,11.065870,11.493720,11.757810", \ "9.387657,9.926680,10.265680,10.686530,11.208090,11.466090,11.459050", \ "9.405179,9.938498,10.266510,10.692210,11.082030,11.546660,11.540490", \ "9.480426,10.037640,10.367270,10.733250,11.259190,11.521030,11.511280", \ "9.792248,10.345620,10.612010,11.025050,11.441890,11.878000,11.870440", \ "10.205350,10.762810,11.087880,11.516480,11.867380,12.326070,12.411010"); } } internal_power () { related_pin : "A1"; when : "A2 & !B1 & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("6.937304,7.597192,7.954297,8.361973,8.671147,8.829898,8.889621", \ "6.965544,7.563884,7.969171,8.363820,8.676544,8.826903,8.892712", \ "6.922899,7.582823,7.944989,8.348074,8.655844,8.812502,8.881709", \ "6.938767,7.562973,7.956430,8.355327,8.656516,8.816367,8.883218", \ "7.075667,7.657634,8.025828,8.433587,8.749684,8.921831,8.989000", \ "7.271892,7.869088,8.206213,8.665589,8.986853,9.139159,9.215466", \ "7.630008,8.179534,8.526729,9.004102,9.362042,9.537617,9.591916"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.121570,10.727080,11.016210,11.464470,11.896730,12.315920,12.333060", \ "10.087870,10.638240,10.997350,11.376340,11.947010,12.115780,12.408230", \ "10.005070,10.613460,10.902040,11.253230,11.846370,12.088680,12.380040", \ "10.007680,10.605860,10.889000,11.310990,11.746050,12.210040,12.229810", \ "10.079610,10.684760,10.982240,11.416160,11.985300,12.229840,12.248630", \ "10.306560,10.909900,11.226010,11.608480,12.173120,12.330120,12.628780", \ "10.722880,11.371250,11.657150,12.036950,12.604130,12.814320,13.107030"); } } internal_power () { related_pin : "A1"; when : "A2 & B1 & !B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("6.923583,7.587068,7.943360,8.356749,8.670053,8.828869,8.889497", \ "6.921168,7.554998,7.953812,8.359073,8.670977,8.825990,8.891677", \ "6.915229,7.573544,7.931960,8.340686,8.652910,8.809497,8.878784", \ "6.930794,7.556522,7.943468,8.328867,8.644795,8.806580,8.874112", \ "7.047981,7.640407,8.010143,8.406274,8.733868,8.908543,8.979758", \ "7.291182,7.877302,8.203375,8.651023,8.979941,9.130643,9.212728", \ "7.642356,8.202284,8.566551,9.019293,9.376865,9.558576,9.603815"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.221790,10.760510,11.064910,11.561150,11.898290,12.120060,12.374470", \ "10.159890,10.697600,11.019520,11.446530,11.917910,12.167360,12.219570", \ "10.061210,10.661970,10.960620,11.387810,11.831000,12.069180,12.051600", \ "10.106720,10.658080,10.940330,11.375880,11.895530,12.123420,12.106610", \ "10.165930,10.760600,11.054580,11.550900,12.019200,12.240360,12.333000", \ "10.443830,11.036020,11.369430,11.730380,12.198270,12.442500,12.424680", \ "10.865250,11.433280,11.737930,12.174060,12.660130,12.957030,12.942460"); } } internal_power () { related_pin : "A1"; when : "A2 & B1 & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("6.937304,7.597192,7.954297,8.361973,8.671147,8.829898,8.889621", \ "6.965544,7.563884,7.969171,8.363820,8.676544,8.826903,8.892712", \ "6.922899,7.582823,7.944989,8.348074,8.655844,8.812502,8.881709", \ "6.938767,7.562973,7.956430,8.355327,8.656516,8.816367,8.883218", \ "7.075667,7.657634,8.025828,8.433587,8.749684,8.921831,8.989000", \ "7.271892,7.869088,8.206213,8.665589,8.986853,9.139159,9.215466", \ "7.630008,8.179534,8.526729,9.004102,9.362042,9.537617,9.591916"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.121570,10.727080,11.016210,11.464470,11.896730,12.315920,12.333060", \ "10.087870,10.638240,10.997350,11.376340,11.947010,12.115780,12.408230", \ "10.005070,10.613460,10.902040,11.253230,11.846370,12.088680,12.380040", \ "10.007680,10.605860,10.889000,11.310990,11.746050,12.210040,12.229810", \ "10.079610,10.684760,10.982240,11.416160,11.985300,12.229840,12.248630", \ "10.306560,10.909900,11.226010,11.608480,12.173120,12.330120,12.628780", \ "10.722880,11.371250,11.657150,12.036950,12.604130,12.814320,13.107030"); } } internal_power () { related_pin : "A1"; when : "A2 & B1 & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("6.906513,7.568611,7.929600,8.349843,8.662944,8.828621,8.894930", \ "6.912543,7.578808,7.925016,8.358005,8.669170,8.830734,8.897258", \ "6.898760,7.555160,7.940664,8.333974,8.658786,8.809299,8.881184", \ "6.961192,7.546671,7.943117,8.341386,8.650541,8.823875,8.896536", \ "7.076036,7.645326,8.022377,8.423057,8.759103,8.931618,9.010072", \ "7.266058,7.860887,8.245351,8.651954,9.003282,9.163227,9.243238", \ "7.662079,8.187306,8.568797,9.015755,9.384226,9.586238,9.630858"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.711740,11.354990,11.633420,12.040170,12.522880,12.965770,13.012180", \ "10.718250,11.324070,11.599200,12.073190,12.557020,13.024740,13.071190", \ "10.628350,11.251080,11.561210,11.994300,12.441770,12.987180,13.034730", \ "10.604670,11.236960,11.496700,11.926320,12.467990,12.865510,12.911810", \ "10.706850,11.312750,11.616460,12.072840,12.532120,12.926660,12.974620", \ "10.860050,11.483740,11.815140,12.181450,12.662490,13.232350,13.381320", \ "11.318770,11.945170,12.222730,12.664840,13.233980,13.661910,13.708340"); } } internal_power () { related_pin : "A2"; when : "A1 & !B1 & !B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("6.990777,7.588683,7.979462,8.372386,8.670438,8.827739,8.891788", \ "6.967842,7.610392,7.980388,8.369598,8.676576,8.832822,8.893329", \ "6.917546,7.567632,7.953097,8.343685,8.644857,8.798042,8.867088", \ "6.920230,7.520451,7.893755,8.292620,8.596675,8.753347,8.819975", \ "6.895301,7.538191,7.902876,8.286050,8.594456,8.758093,8.825118", \ "7.039862,7.661377,8.032113,8.417247,8.728100,8.864166,8.936784", \ "7.293394,7.852655,8.242238,8.660624,8.996500,9.154247,9.194040"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.180070,10.721930,11.123530,11.508640,11.864870,12.106180,12.133600", \ "10.138040,10.680170,11.056560,11.492050,11.849400,11.912730,12.147230", \ "10.082040,10.668800,11.034760,11.420600,11.818140,11.954000,12.069450", \ "10.088620,10.687110,10.992880,11.387300,11.867310,11.873510,12.131000", \ "10.257680,10.799720,11.151290,11.548300,11.933190,11.988110,12.221190", \ "10.510130,11.116070,11.411050,11.871260,12.122330,12.408230,12.376300", \ "10.835520,11.464690,11.825810,12.319580,12.637260,12.732070,12.980830"); } } internal_power () { related_pin : "A2"; when : "A1 & !B1 & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("6.968377,7.598273,7.986881,8.374554,8.673089,8.828277,8.891923", \ "6.978079,7.619811,7.987240,8.374956,8.677314,8.834574,8.893575", \ "6.929269,7.568860,7.970157,8.351662,8.651129,8.803743,8.871843", \ "6.947144,7.541306,7.919025,8.307783,8.604242,8.765374,8.834004", \ "6.961767,7.545508,7.901722,8.310883,8.608218,8.774346,8.838026", \ "7.051198,7.674497,8.038435,8.431803,8.746994,8.883973,8.951218", \ "7.292278,7.848419,8.239538,8.642620,8.994904,9.153239,9.195320"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.007870,10.576920,10.922470,11.296840,11.794110,12.184470,12.176190", \ "9.961240,10.542940,10.879230,11.309300,11.843620,11.954930,12.218600", \ "9.893403,10.498350,10.785440,11.292260,11.801460,12.049450,12.041710", \ "9.921468,10.506060,10.807830,11.324960,11.820680,12.034790,12.026140", \ "10.040260,10.610230,10.959770,11.414740,11.911810,12.253310,12.199300", \ "10.330890,10.871260,11.202740,11.631630,12.164040,12.516780,12.509340", \ "10.711760,11.334920,11.646480,12.099860,12.606420,12.706180,12.972970"); } } internal_power () { related_pin : "A2"; when : "A1 & !B1 & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("6.936766,7.590565,7.954953,8.361123,8.666941,8.829777,8.894563", \ "6.987367,7.588008,7.952947,8.361883,8.668455,8.830276,8.891691", \ "6.911151,7.550460,7.929547,8.336174,8.639724,8.799581,8.870172", \ "6.927373,7.503440,7.886879,8.305596,8.606954,8.764400,8.831473", \ "6.917865,7.508403,7.886995,8.304155,8.613026,8.777172,8.838459", \ "7.059524,7.644650,8.015771,8.429924,8.744699,8.892590,8.959889", \ "7.272453,7.848826,8.205768,8.648530,9.003291,9.175134,9.219497"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.580830,11.202500,11.514550,11.981910,12.406850,12.903320,12.919830", \ "10.562780,11.127660,11.452480,11.987140,12.390830,12.666130,12.954360", \ "10.492200,11.113980,11.440810,11.919660,12.377210,12.760480,12.776870", \ "10.487150,11.109590,11.424860,11.899320,12.437330,12.766880,12.783540", \ "10.584330,11.207030,11.556120,12.047490,12.571810,12.703150,12.992020", \ "10.861160,11.422320,11.800750,12.263390,12.734110,13.008460,13.296500", \ "11.309410,11.915980,12.203610,12.667660,13.200300,13.515360,13.534200"); } } internal_power () { related_pin : "A2"; when : "A1 & !B1 & B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("6.963024,7.586118,7.981117,8.372297,8.671488,8.832970,8.892867", \ "6.954854,7.614818,7.986214,8.386010,8.680450,8.831298,8.897463", \ "6.949407,7.569934,7.969658,8.357202,8.658922,8.811898,8.880552", \ "6.939290,7.527693,7.921264,8.310929,8.623428,8.768798,8.839420", \ "6.971568,7.545786,7.899105,8.308122,8.621771,8.774750,8.838998", \ "7.049927,7.672486,8.014824,8.434256,8.745976,8.880809,8.946189", \ "7.217662,7.851279,8.207394,8.650420,8.978090,9.150015,9.190702"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.113870,10.709630,11.011600,11.426800,11.884610,11.960260,12.214270", \ "10.116550,10.669150,10.970190,11.450330,11.891110,12.013990,12.268530", \ "10.021880,10.619720,10.917850,11.367190,11.811200,11.866410,12.121240", \ "10.087240,10.635220,10.976030,11.414410,11.826120,11.885110,12.140110", \ "10.184260,10.746250,11.029320,11.522300,11.927400,12.096260,12.349810", \ "10.455960,11.036280,11.306680,11.789400,12.228220,12.456650,12.440230", \ "10.864930,11.447760,11.728580,12.162380,12.622090,12.959580,12.946890"); } } internal_power () { related_pin : "A2"; when : "A1 & !B1 & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("6.985373,7.597347,7.989165,8.378173,8.675944,8.825348,8.889484", \ "6.983428,7.624173,7.992953,8.389110,8.681346,8.831880,8.897885", \ "6.958266,7.585473,7.976756,8.365531,8.663713,8.816216,8.885567", \ "6.918768,7.546619,7.935892,8.324805,8.633020,8.780002,8.849210", \ "6.955642,7.553838,7.941027,8.323391,8.636530,8.788963,8.854095", \ "7.098408,7.684891,8.014114,8.450814,8.757755,8.892498,8.956765", \ "7.229066,7.853273,8.186145,8.636437,8.988089,9.156172,9.197180"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.078590,10.665500,10.952390,11.351850,11.891360,12.198400,12.216770", \ "10.035130,10.624090,10.906440,11.277760,11.864910,12.281590,12.301320", \ "9.967284,10.544100,10.840010,11.338410,11.764880,12.185660,12.204940", \ "10.002380,10.580360,10.888330,11.327180,11.866550,12.257850,12.278010", \ "10.047210,10.677430,10.959800,11.374900,11.878140,12.317170,12.272550", \ "10.318430,10.894250,11.209710,11.677040,12.211890,12.342380,12.633270", \ "10.723830,11.369020,11.639340,12.014730,12.546310,12.818780,13.111540"); } } internal_power () { related_pin : "A2"; when : "A1 & !B1 & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("6.985616,7.567072,7.943209,8.365074,8.668094,8.831320,8.895106", \ "6.991256,7.592320,7.953369,8.367871,8.673964,8.835411,8.896704", \ "6.975593,7.557198,7.945542,8.354906,8.651902,8.816847,8.882417", \ "6.885899,7.548360,7.919729,8.319729,8.623883,8.789117,8.849937", \ "6.966067,7.523056,7.886411,8.315962,8.630512,8.791704,8.864745", \ "7.084775,7.650496,8.018518,8.443362,8.754410,8.895474,8.972967", \ "7.278850,7.849977,8.204974,8.650159,9.001013,9.170098,9.218504"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.665060,11.294220,11.613190,11.988020,12.492890,12.852890,13.171190", \ "10.619210,11.261110,11.564980,12.012740,12.460090,12.927030,12.973690", \ "10.574440,11.210710,11.486240,11.974800,12.523100,12.825010,12.871180", \ "10.608270,11.213510,11.498110,11.963320,12.409120,12.922910,12.969880", \ "10.695520,11.262120,11.594870,12.059710,12.640530,12.955560,13.002800", \ "10.873470,11.499050,11.776250,12.238160,12.839600,13.075290,13.393510", \ "11.322650,11.945150,12.219100,12.604900,13.266790,13.668050,13.792840"); } } internal_power () { related_pin : "A2"; when : "A1 & B1 & !B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("6.928158,7.555255,7.954055,8.358416,8.665698,8.831615,8.895158", \ "6.979694,7.583769,7.950284,8.364139,8.675724,8.836657,8.896878", \ "6.940727,7.542261,7.937672,8.344846,8.649185,8.808840,8.879576", \ "6.879847,7.527353,7.888703,8.308031,8.610308,8.769036,8.836320", \ "6.904772,7.518431,7.886896,8.301587,8.613247,8.777485,8.839532", \ "7.080295,7.646979,8.014912,8.426802,8.737022,8.884061,8.958261", \ "7.280196,7.817270,8.205605,8.653560,9.000446,9.172009,9.215618"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.738500,11.335230,11.667170,12.131970,12.481720,12.692720,12.971420", \ "10.674120,11.286050,11.636430,12.015830,12.499750,12.740550,12.745500", \ "10.634900,11.246670,11.592710,12.031810,12.514090,12.796180,12.868680", \ "10.662790,11.245690,11.573710,11.999820,12.374490,12.627230,12.905800", \ "10.732560,11.344920,11.691530,12.151120,12.502680,12.863790,12.870110", \ "10.994760,11.595240,11.910490,12.418860,12.900910,12.938410,13.216970", \ "11.429870,12.008890,12.367250,12.731500,13.150000,13.489750,13.498730"); } } internal_power () { related_pin : "A2"; when : "A1 & B1 & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("6.985616,7.567072,7.943209,8.365074,8.668094,8.831320,8.895106", \ "6.991256,7.592320,7.953369,8.367871,8.673964,8.835411,8.896704", \ "6.975593,7.557198,7.945542,8.354906,8.651902,8.816847,8.882417", \ "6.885899,7.548360,7.919729,8.319729,8.623883,8.789117,8.849937", \ "6.966067,7.523056,7.886411,8.315962,8.630512,8.791704,8.864745", \ "7.084775,7.650496,8.018518,8.443362,8.754410,8.895474,8.972967", \ "7.278850,7.849977,8.204974,8.650159,9.001013,9.170098,9.218504"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.665060,11.294220,11.613190,11.988020,12.492890,12.852890,13.171190", \ "10.619210,11.261110,11.564980,12.012740,12.460090,12.927030,12.973690", \ "10.574440,11.210710,11.486240,11.974800,12.523100,12.825010,12.871180", \ "10.608270,11.213510,11.498110,11.963320,12.409120,12.922910,12.969880", \ "10.695520,11.262120,11.594870,12.059710,12.640530,12.955560,13.002800", \ "10.873470,11.499050,11.776250,12.238160,12.839600,13.075290,13.393510", \ "11.322650,11.945150,12.219100,12.604900,13.266790,13.668050,13.792840"); } } internal_power () { related_pin : "A2"; when : "A1 & B1 & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("6.946495,7.556559,7.955226,8.346336,8.671019,8.829877,8.896930", \ "6.968189,7.564177,7.935654,8.360156,8.671086,8.834891,8.900471", \ "6.899949,7.563599,7.943343,8.338122,8.654603,8.817534,8.886032", \ "6.918133,7.526198,7.899221,8.306609,8.626760,8.789532,8.855127", \ "6.951804,7.534919,7.900122,8.311449,8.629135,8.800519,8.871485", \ "7.065585,7.640157,7.993814,8.432256,8.751384,8.913970,8.984829", \ "7.235380,7.819774,8.225823,8.649756,9.017169,9.191136,9.240579"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.313630,11.896270,12.258860,12.731900,13.226750,13.516410,13.862760", \ "11.270140,11.853170,12.224660,12.637360,13.264520,13.582740,13.927550", \ "11.220660,11.859640,12.181950,12.565970,13.144810,13.480080,13.824050", \ "11.227110,11.854900,12.181290,12.614960,13.239680,13.591840,13.665650", \ "11.279830,11.919830,12.266350,12.618030,13.243120,13.669540,13.729060", \ "11.489130,12.071990,12.426180,12.843380,13.468050,13.803770,14.149640", \ "11.873680,12.509090,12.836540,13.297790,13.768340,14.327120,14.399420"); } } internal_power () { related_pin : "B1"; when : "!A1 & !A2 & B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.653022,8.335850,8.699867,9.128552,9.455044,9.644102,9.743007", \ "7.687558,8.295886,8.686453,9.125612,9.456712,9.640704,9.738574", \ "7.643166,8.289529,8.689947,9.089657,9.430145,9.617302,9.719401", \ "7.679061,8.275495,8.648298,9.070904,9.417136,9.594305,9.696047", \ "7.737931,8.323312,8.710361,9.125697,9.471163,9.663151,9.774262", \ "7.956520,8.524801,8.899332,9.329539,9.656863,9.834439,9.945150", \ "8.251397,8.838775,9.209896,9.634422,9.995108,10.176940,10.249950"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.751660,11.332660,11.651230,12.071910,12.493910,12.641200,12.862660", \ "10.721590,11.265720,11.622030,12.030950,12.452730,12.628300,12.850640", \ "10.639200,11.183180,11.554690,12.025530,12.330970,12.694690,12.551460", \ "10.613540,11.176780,11.522980,11.956650,12.385220,12.481440,12.703330", \ "10.588640,11.162060,11.492850,11.992090,12.408840,12.450250,12.673030", \ "10.662960,11.218070,11.614660,12.000600,12.340780,12.533340,12.760520", \ "10.666690,11.318080,11.700110,12.159110,12.664550,12.677060,12.908060"); } } internal_power () { related_pin : "B1"; when : "!A1 & !A2 & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.685856,8.301605,8.700391,9.128458,9.460790,9.643169,9.736646", \ "7.692479,8.300469,8.693107,9.128771,9.463525,9.645786,9.743724", \ "7.655298,8.314693,8.710491,9.122147,9.449391,9.638220,9.738424", \ "7.706403,8.288919,8.678647,9.104013,9.443760,9.620404,9.726902", \ "7.738855,8.331570,8.747431,9.162048,9.494621,9.685287,9.794684", \ "7.964902,8.532299,8.919716,9.343739,9.666664,9.838067,9.954910", \ "8.249444,8.795825,9.189254,9.640358,9.985439,10.173430,10.240230"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.567910,11.164720,11.470310,11.918690,12.469660,12.868650,12.858210", \ "10.533160,11.137120,11.460750,11.915750,12.465850,12.621260,12.883210", \ "10.459000,11.063040,11.374070,11.896730,12.443320,12.712370,12.700870", \ "10.428010,11.031880,11.334770,11.805260,12.240330,12.699830,12.687900", \ "10.397420,11.001930,11.342740,11.808770,12.230540,12.497230,12.758700", \ "10.460780,11.066930,11.393640,11.855370,12.403280,12.727630,12.874110", \ "10.616920,11.218250,11.538870,11.946070,12.514410,12.802170,13.069420"); } } internal_power () { related_pin : "B1"; when : "!A1 & !A2 & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.656911,8.307879,8.706644,9.116363,9.452104,9.643087,9.746890", \ "7.643974,8.318394,8.700364,9.124212,9.451630,9.641508,9.742254", \ "7.639947,8.309342,8.697842,9.116025,9.452501,9.632789,9.739251", \ "7.666931,8.306322,8.692118,9.091782,9.442192,9.623526,9.730225", \ "7.736762,8.356054,8.719508,9.157230,9.499730,9.702824,9.803860", \ "7.932935,8.532709,8.909287,9.348231,9.668971,9.863286,9.979825", \ "8.283247,8.819687,9.172484,9.638716,10.014430,10.211190,10.280780"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.188540,11.752860,12.087060,12.583300,13.040530,13.496510,13.511700", \ "11.167020,11.714190,12.072070,12.562160,13.038710,13.509470,13.525380", \ "11.082480,11.686090,12.014340,12.528980,12.989710,13.321350,13.607770", \ "11.044340,11.608350,11.965880,12.453260,13.043820,13.314870,13.329660", \ "11.019520,11.584370,11.918790,12.477820,12.802410,13.397240,13.412880", \ "11.055980,11.676880,12.028290,12.515460,12.971920,13.479160,13.494550", \ "11.227250,11.832970,12.153630,12.604310,13.178700,13.574990,13.764690"); } } internal_power () { related_pin : "B1"; when : "!A1 & A2 & B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.583856,8.209516,8.626796,9.041188,9.371894,9.559403,9.664920", \ "7.595208,8.215245,8.613404,9.030729,9.367060,9.554461,9.656635", \ "7.568363,8.202112,8.573286,9.003108,9.331144,9.522264,9.625117", \ "7.562721,8.189489,8.555900,8.980521,9.311928,9.496785,9.605293", \ "7.648565,8.223721,8.618171,9.037113,9.368704,9.565326,9.679955", \ "7.873376,8.435020,8.809375,9.229301,9.551185,9.732943,9.850689", \ "8.160199,8.706317,9.096857,9.548972,9.897219,10.087710,10.154220"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.549420,11.127490,11.398450,11.857800,12.277710,12.446490,12.403410", \ "10.549340,11.071940,11.363890,11.853040,12.246220,12.445570,12.403380", \ "10.440170,11.018600,11.321200,11.717630,12.138210,12.445490,12.403940", \ "10.413490,10.936190,11.295720,11.704840,12.125170,12.379780,12.338940", \ "10.388060,10.966290,11.301460,11.635000,11.987280,12.240950,12.471010", \ "10.505270,11.029700,11.375910,11.772920,12.133660,12.295690,12.528140", \ "10.558660,11.159780,11.460130,11.886850,12.331290,12.627300,12.591270"); } } internal_power () { related_pin : "B1"; when : "!A1 & A2 & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.584549,8.227455,8.626799,9.038307,9.374593,9.561491,9.663228", \ "7.597869,8.230175,8.617303,9.038274,9.373305,9.562176,9.662529", \ "7.573846,8.201140,8.588480,9.027275,9.349377,9.541725,9.644528", \ "7.596428,8.187043,8.599094,9.000702,9.342947,9.522221,9.629806", \ "7.671686,8.250511,8.631111,9.054450,9.393809,9.586286,9.698257", \ "7.875342,8.439892,8.815699,9.244340,9.569893,9.743886,9.859810", \ "8.169149,8.703579,9.103454,9.522895,9.888966,10.079560,10.146910"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.463290,11.002650,11.310020,11.778080,12.296120,12.433320,12.697620", \ "10.447260,10.980150,11.301240,11.651900,12.162890,12.471920,12.464430", \ "10.356370,10.940690,11.241170,11.659510,12.074360,12.314300,12.578740", \ "10.341660,10.884420,11.175570,11.607590,12.128640,12.341740,12.604260", \ "10.285490,10.874480,11.182720,11.612820,12.004220,12.266160,12.531470", \ "10.372000,10.908240,11.215390,11.633320,12.151940,12.343200,12.608840", \ "10.518590,11.091630,11.347510,11.841250,12.301120,12.492250,12.762640"); } } internal_power () { related_pin : "B1"; when : "!A1 & A2 & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.556044,8.205160,8.589565,9.033475,9.362818,9.562588,9.665638", \ "7.566377,8.218210,8.610941,9.027364,9.363144,9.560053,9.666722", \ "7.545678,8.182437,8.592848,9.003010,9.352631,9.545438,9.644035", \ "7.576801,8.197811,8.585928,9.002442,9.341620,9.534206,9.628691", \ "7.636585,8.237471,8.633657,9.058336,9.398615,9.597376,9.708274", \ "7.846589,8.450129,8.810677,9.239646,9.585730,9.767843,9.889342", \ "8.155566,8.717846,9.102912,9.548416,9.920646,10.112500,10.191340"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.054590,11.661340,11.948460,12.324000,12.891450,13.086180,13.377920", \ "11.023460,11.650050,11.943670,12.368000,12.885870,13.110410,13.399620", \ "10.967070,11.581830,11.879350,12.322530,12.888390,13.217260,13.234900", \ "10.930180,11.536350,11.831500,12.290050,12.720750,12.976820,13.269750", \ "10.929230,11.504160,11.804940,12.179370,12.720260,13.183500,13.204000", \ "10.938000,11.545220,11.821690,12.334610,12.763040,13.241660,13.261440", \ "11.116210,11.728410,12.032260,12.410230,12.977550,13.224990,13.519280"); } } internal_power () { related_pin : "B1"; when : "A1 & !A2 & B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.552522,8.222679,8.586694,9.030733,9.373283,9.562021,9.662596", \ "7.564128,8.197802,8.605446,9.026688,9.357276,9.555159,9.661375", \ "7.539920,8.178476,8.572676,8.987854,9.327947,9.522473,9.624350", \ "7.503731,8.177706,8.559867,8.974776,9.301489,9.499363,9.603385", \ "7.635376,8.228930,8.602589,9.020426,9.377281,9.577879,9.690918", \ "7.816378,8.441949,8.794303,9.229012,9.572080,9.762113,9.878551", \ "8.136207,8.729295,9.110217,9.548071,9.929622,10.119640,10.199520"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.167190,11.706170,12.066090,12.489500,12.954210,13.177320,13.281630", \ "11.099330,11.707740,12.027780,12.451660,12.914180,13.164450,13.146740", \ "11.039390,11.639410,11.913560,12.337470,12.826130,12.885390,13.184010", \ "11.023450,11.587880,11.904140,12.341590,12.804850,12.826400,13.148320", \ "11.002630,11.576030,11.861670,12.292140,12.792150,12.962000,12.945030", \ "11.076640,11.616600,11.978040,12.402490,12.733910,12.975920,13.230860", \ "11.181050,11.758550,12.114600,12.487470,12.900700,13.115070,13.373670"); } } internal_power () { related_pin : "B1"; when : "A1 & !A2 & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.556044,8.205160,8.589565,9.033475,9.362818,9.562588,9.665638", \ "7.566377,8.218210,8.610941,9.027364,9.363144,9.560053,9.666722", \ "7.545678,8.182437,8.592848,9.003010,9.352631,9.545438,9.644035", \ "7.576801,8.197811,8.585928,9.002442,9.341620,9.534206,9.628691", \ "7.636585,8.237471,8.633657,9.058336,9.398615,9.597376,9.708274", \ "7.846589,8.450129,8.810677,9.239646,9.585730,9.767843,9.889342", \ "8.155566,8.717846,9.102912,9.548416,9.920646,10.112500,10.191340"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.054590,11.661340,11.948460,12.324000,12.891450,13.086180,13.377920", \ "11.023460,11.650050,11.943670,12.368000,12.885870,13.110410,13.399620", \ "10.967070,11.581830,11.879350,12.322530,12.888390,13.217260,13.234900", \ "10.930180,11.536350,11.831500,12.290050,12.720750,12.976820,13.269750", \ "10.929230,11.504160,11.804940,12.179370,12.720260,13.183500,13.204000", \ "10.938000,11.545220,11.821690,12.334610,12.763040,13.241660,13.261440", \ "11.116210,11.728410,12.032260,12.410230,12.977550,13.224990,13.519280"); } } internal_power () { related_pin : "B1"; when : "A1 & !A2 & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.561006,8.206540,8.594218,9.022697,9.370714,9.571359,9.674001", \ "7.565259,8.187718,8.577214,9.021352,9.358468,9.557834,9.671011", \ "7.563835,8.166480,8.568009,9.009854,9.352448,9.537827,9.649963", \ "7.533512,8.176880,8.578508,8.994192,9.331916,9.539766,9.641391", \ "7.628780,8.240719,8.583429,9.058578,9.411218,9.613561,9.719547", \ "7.897652,8.448405,8.811968,9.258034,9.601710,9.791488,9.918171", \ "8.216065,8.725284,9.124951,9.575531,9.954071,10.155240,10.235380"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.707130,12.273730,12.609220,13.009290,13.512470,14.018000,14.061980", \ "11.664030,12.286630,12.594300,12.994520,13.508020,14.029740,14.074780", \ "11.597900,12.220890,12.536920,12.995130,13.472960,13.854450,13.899200", \ "11.572710,12.178790,12.492120,12.893520,13.365820,13.894850,13.941570", \ "11.517560,12.154990,12.475960,12.889290,13.492740,13.833640,13.881340", \ "11.577020,12.185600,12.446220,12.914780,13.386530,13.880800,13.927830", \ "11.739400,12.346850,12.648990,13.046890,13.564780,14.030010,14.108770"); } } internal_power () { related_pin : "B2"; when : "!A1 & !A2 & B1 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.674512,8.314816,8.709137,9.130369,9.463898,9.640469,9.743816", \ "7.708951,8.334872,8.706837,9.135043,9.454873,9.647458,9.740712", \ "7.695770,8.291097,8.685092,9.108285,9.438133,9.620589,9.720146", \ "7.591941,8.279664,8.637032,9.067725,9.394846,9.593955,9.689027", \ "7.609678,8.246741,8.616241,9.052927,9.383282,9.575496,9.682270", \ "7.748465,8.351087,8.709633,9.144429,9.461681,9.633129,9.747963", \ "7.959214,8.522199,8.885954,9.334675,9.670411,9.859802,9.921013"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.262270,11.831870,12.185050,12.691510,13.002310,13.171070,13.415430", \ "11.245820,11.806480,12.137430,12.644810,13.034550,13.354940,13.399950", \ "11.169820,11.786160,12.148240,12.586870,13.029690,13.351090,13.324330", \ "11.175830,11.735560,12.102180,12.618980,12.928440,13.206040,13.178430", \ "11.139910,11.756530,12.119730,12.500920,13.077320,13.169500,13.415070", \ "11.209720,11.828060,12.185590,12.698890,13.138840,13.182420,13.430050", \ "11.258830,11.932040,12.290860,12.767090,13.240360,13.545200,13.629690"); } } internal_power () { related_pin : "B2"; when : "!A1 & !A2 & B1 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.675828,8.318062,8.703601,9.135582,9.461439,9.649059,9.746712", \ "7.695488,8.338344,8.711955,9.140539,9.461711,9.652906,9.749764", \ "7.692880,8.311081,8.705885,9.128075,9.458033,9.641207,9.743062", \ "7.688811,8.305692,8.689538,9.091986,9.428857,9.618349,9.715217", \ "7.652320,8.284689,8.668931,9.081566,9.401741,9.598463,9.705492", \ "7.748904,8.378804,8.760735,9.164121,9.488599,9.662791,9.765986", \ "7.939681,8.510190,8.890085,9.341680,9.685166,9.866486,9.927198"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.110700,11.676790,12.004740,12.474750,12.912390,13.526500,13.651240", \ "11.074260,11.694880,11.994060,12.530590,12.899570,13.489380,13.398770", \ "11.040290,11.616420,11.966940,12.505190,13.073540,13.404300,13.419210", \ "11.028070,11.591640,11.946430,12.363370,12.996260,13.411780,13.367800", \ "10.983750,11.605250,11.957070,12.347860,13.050290,13.412730,13.428710", \ "11.071980,11.635930,12.011590,12.482800,13.061460,13.221310,13.509050", \ "11.186480,11.827560,12.163830,12.646130,13.176350,13.496400,13.787570"); } } internal_power () { related_pin : "B2"; when : "!A1 & !A2 & B1 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.646626,8.304540,8.704896,9.125673,9.455246,9.645733,9.743823", \ "7.699841,8.321848,8.708546,9.126558,9.453681,9.651102,9.748025", \ "7.641241,8.314860,8.705026,9.123630,9.455049,9.648658,9.739503", \ "7.639442,8.270271,8.679440,9.091749,9.420517,9.619620,9.723355", \ "7.632445,8.262278,8.657312,9.061482,9.400985,9.602163,9.708414", \ "7.761569,8.364273,8.720431,9.157292,9.487055,9.667091,9.769905", \ "7.945101,8.506837,8.876837,9.344537,9.693110,9.879808,9.946944"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.686140,12.323580,12.629960,13.192180,13.727860,14.003290,14.313520", \ "11.704110,12.283830,12.620480,13.178180,13.658560,14.014880,14.327990", \ "11.665860,12.245770,12.609050,13.080880,13.561950,14.033160,14.074070", \ "11.594940,12.233180,12.576770,13.085120,13.628570,13.981700,14.295050", \ "11.614310,12.194420,12.577150,13.082980,13.620890,14.045650,14.087880", \ "11.627550,12.265360,12.616050,13.074260,13.684950,14.107770,14.149190", \ "11.811010,12.445300,12.758520,13.257320,13.735300,14.239940,14.283720"); } } internal_power () { related_pin : "B2"; when : "!A1 & A2 & B1 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.614658,8.230844,8.628133,9.039529,9.371675,9.562701,9.664787", \ "7.575417,8.206340,8.616074,9.032258,9.370402,9.558672,9.662369", \ "7.585496,8.201394,8.579314,9.007391,9.339761,9.534704,9.631692", \ "7.542883,8.156816,8.536882,8.972346,9.308415,9.487338,9.590976", \ "7.510589,8.149205,8.532322,8.931266,9.290407,9.473570,9.587834", \ "7.679425,8.257831,8.630555,9.040084,9.375014,9.541106,9.657306", \ "7.847644,8.429227,8.800991,9.238948,9.580228,9.764750,9.832722"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.102630,11.663870,11.999920,12.343850,12.853850,13.022770,13.276820", \ "11.063620,11.602820,11.963160,12.306720,12.751680,13.018300,13.121850", \ "11.035370,11.596380,11.919400,12.398030,12.838120,12.955590,13.210170", \ "10.998470,11.568460,11.853420,12.345920,12.785970,12.857090,13.112510", \ "11.018240,11.557170,11.905630,12.365800,12.736550,12.976130,13.074360", \ "11.033200,11.629850,11.974090,12.331110,12.640680,12.988990,13.243910", \ "11.141900,11.776030,12.105730,12.514190,12.972150,13.135650,13.394950"); } } internal_power () { related_pin : "B2"; when : "!A1 & A2 & B1 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.616066,8.231604,8.627551,9.039010,9.371205,9.562447,9.664295", \ "7.577714,8.215028,8.621079,9.038309,9.376375,9.563505,9.657725", \ "7.590114,8.210513,8.598948,9.028250,9.355979,9.553197,9.651495", \ "7.545460,8.197701,8.558953,8.998513,9.327889,9.516538,9.614564", \ "7.580740,8.177661,8.536360,8.968447,9.311652,9.506551,9.614061", \ "7.679375,8.260011,8.653732,9.067137,9.390942,9.558235,9.674511", \ "7.842082,8.430820,8.805365,9.243644,9.588129,9.771106,9.837259"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.024840,11.608840,11.915800,12.347570,12.756100,13.251290,13.269270", \ "10.978970,11.585970,11.864060,12.348550,12.755960,13.009540,13.301610", \ "10.956470,11.548110,11.834050,12.263510,12.674980,13.039030,13.330720", \ "10.932730,11.523180,11.780240,12.157960,12.701470,13.021220,13.310020", \ "10.893900,11.500250,11.830070,12.277070,12.813820,12.937140,13.229430", \ "10.953420,11.559440,11.818810,12.252530,12.658330,12.983250,13.276080", \ "11.079280,11.712850,12.023410,12.468950,12.956180,13.248560,13.270660"); } } internal_power () { related_pin : "B2"; when : "!A1 & A2 & B1 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.584496,8.221941,8.611194,9.018945,9.366783,9.559249,9.663326", \ "7.547157,8.221307,8.613134,9.030407,9.367738,9.561164,9.665311", \ "7.557580,8.210438,8.602641,9.007650,9.361005,9.551389,9.657221", \ "7.526323,8.143048,8.573179,8.984263,9.331323,9.521472,9.628119", \ "7.558538,8.149516,8.501478,8.967320,9.310716,9.509617,9.620179", \ "7.675004,8.271511,8.629096,9.061187,9.397676,9.569915,9.684024", \ "7.847004,8.407698,8.778844,9.246095,9.602768,9.793330,9.861372"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.603270,12.226840,12.561550,12.958640,13.535940,13.914540,13.959540", \ "11.577810,12.211400,12.490220,12.944600,13.532230,13.940080,14.040490", \ "11.545630,12.172030,12.487360,12.878500,13.460750,13.692760,14.010400", \ "11.509130,12.147900,12.468270,12.896710,13.484860,13.675990,13.993890", \ "11.547960,12.114670,12.461370,12.891850,13.352240,13.865940,13.912590", \ "11.539130,12.180410,12.482950,12.876960,13.450290,13.899050,14.088820", \ "11.714270,12.315140,12.650330,13.091200,13.536800,14.051980,14.218160"); } } internal_power () { related_pin : "B2"; when : "A1 & !A2 & B1 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.583492,8.223071,8.610910,9.034204,9.374775,9.561388,9.663800", \ "7.544384,8.200386,8.607526,9.013843,9.366089,9.556256,9.661177", \ "7.552922,8.191239,8.584061,9.000195,9.342088,9.532956,9.636984", \ "7.501169,8.154467,8.545901,8.960882,9.293116,9.490741,9.603052", \ "7.508656,8.132379,8.511474,8.946654,9.291457,9.490548,9.592422", \ "7.632028,8.243274,8.617795,9.027045,9.374397,9.559545,9.667532", \ "7.846513,8.405179,8.776619,9.241746,9.592306,9.786894,9.854542"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.694010,12.282330,12.600940,13.036820,13.408880,13.758960,13.763840", \ "11.628460,12.256730,12.570270,12.998110,13.385130,13.750930,13.756160", \ "11.618220,12.174140,12.545120,12.952760,13.436270,13.683030,13.689320", \ "11.618160,12.196870,12.526380,12.962110,13.393180,13.588650,13.867200", \ "11.584670,12.140600,12.522190,12.966680,13.450720,13.714080,13.720880", \ "11.630950,12.242630,12.521700,13.029900,13.511730,13.696310,13.702830", \ "11.791080,12.365500,12.697950,13.213110,13.565500,13.957040,13.970980"); } } internal_power () { related_pin : "B2"; when : "A1 & !A2 & B1 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.584496,8.221941,8.611194,9.018945,9.366783,9.559249,9.663326", \ "7.547157,8.221307,8.613134,9.030407,9.367738,9.561164,9.665311", \ "7.557580,8.210438,8.602641,9.007650,9.361005,9.551389,9.657221", \ "7.526323,8.143048,8.573179,8.984263,9.331323,9.521472,9.628119", \ "7.558538,8.149516,8.501478,8.967320,9.310716,9.509617,9.620179", \ "7.675004,8.271511,8.629096,9.061187,9.397676,9.569915,9.684024", \ "7.847004,8.407698,8.778844,9.246095,9.602768,9.793330,9.861372"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.603270,12.226840,12.561550,12.958640,13.535940,13.914540,13.959540", \ "11.577810,12.211400,12.490220,12.944600,13.532230,13.940080,14.040490", \ "11.545630,12.172030,12.487360,12.878500,13.460750,13.692760,14.010400", \ "11.509130,12.147900,12.468270,12.896710,13.484860,13.675990,13.993890", \ "11.547960,12.114670,12.461370,12.891850,13.352240,13.865940,13.912590", \ "11.539130,12.180410,12.482950,12.876960,13.450290,13.899050,14.088820", \ "11.714270,12.315140,12.650330,13.091200,13.536800,14.051980,14.218160"); } } internal_power () { related_pin : "B2"; when : "A1 & !A2 & B1 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.577936,8.203606,8.579155,9.027759,9.367494,9.561388,9.674206", \ "7.584294,8.206820,8.599920,9.023976,9.361192,9.562513,9.663785", \ "7.555065,8.176533,8.571680,9.012771,9.357223,9.552956,9.662279", \ "7.558151,8.152347,8.558619,8.983974,9.323711,9.529849,9.635413", \ "7.541542,8.139729,8.506134,8.969022,9.314346,9.521262,9.627134", \ "7.686351,8.239022,8.636678,9.059049,9.404574,9.583461,9.704567", \ "7.855176,8.408576,8.787992,9.248013,9.617964,9.810303,9.888983"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("12.261190,12.844390,13.156700,13.567660,14.142930,14.580180,14.735230", \ "12.229350,12.812500,13.151830,13.561950,14.134090,14.599900,14.671020", \ "12.195890,12.786440,13.151870,13.611810,14.014840,14.623480,14.695510", \ "12.160830,12.800320,13.095500,13.521070,14.015560,14.605770,14.677070", \ "12.145650,12.785240,13.106930,13.528690,14.155670,14.525810,14.599250", \ "12.191700,12.774620,13.107730,13.543530,14.004140,14.553950,14.628850", \ "12.335590,12.919970,13.215960,13.691310,14.311550,14.640900,14.714950"); } } internal_power () { related_pin : "C1"; when : "!A1 & !A2 & !B1 & !B2 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("8.195273,8.821474,9.241325,9.666300,10.051440,10.288890,10.438320", \ "8.148137,8.816879,9.220932,9.666262,10.036600,10.263340,10.417720", \ "8.112612,8.743821,9.188046,9.631426,9.994139,10.235870,10.374220", \ "8.116219,8.779041,9.186089,9.604170,9.975277,10.209460,10.364250", \ "8.214873,8.845431,9.231333,9.680606,10.046310,10.278410,10.433630", \ "8.460010,9.033471,9.420219,9.865395,10.219330,10.436490,10.595540", \ "8.770597,9.334724,9.729226,10.151620,10.542460,10.755000,10.873860"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.691670,12.305760,12.701710,13.113400,13.632340,13.848830,13.807590", \ "11.690890,12.247940,12.631000,13.160540,13.521540,13.791430,14.022390", \ "11.623680,12.180710,12.597900,13.058020,13.552980,13.668970,13.900880", \ "11.542440,12.156700,12.530530,13.064590,13.425370,13.760950,13.720370", \ "11.549060,12.162460,12.533160,13.015670,13.375940,13.775150,13.736210", \ "11.557800,12.173860,12.497980,13.036560,13.394530,13.723300,13.685030", \ "11.535980,12.170470,12.586600,13.092010,13.611640,13.733470,13.971420"); } } internal_power () { related_pin : "C1"; when : "!A1 & !A2 & !B1 & B2 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("8.103555,8.732711,9.171616,9.611985,9.980008,10.217350,10.377320", \ "8.065579,8.742154,9.147214,9.576181,9.955185,10.197470,10.350390", \ "8.034834,8.703967,9.109283,9.549166,9.910225,10.156850,10.306210", \ "8.019979,8.710264,9.107297,9.521456,9.902839,10.136550,10.286210", \ "8.120922,8.753935,9.160679,9.602160,9.974536,10.200980,10.353530", \ "8.388928,8.960308,9.342186,9.784914,10.135250,10.352620,10.515020", \ "8.653988,9.264414,9.627093,10.089890,10.455340,10.677510,10.780470"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.446930,11.994750,12.346590,12.863590,13.285260,13.699970,13.686920", \ "11.407400,12.010990,12.335980,12.791050,13.244810,13.661750,13.650020", \ "11.332150,11.935730,12.282970,12.739650,13.288230,13.584780,13.573190", \ "11.288690,11.892730,12.224890,12.709210,13.257970,13.499450,13.489020", \ "11.282560,11.886090,12.188390,12.591720,13.146290,13.447500,13.708120", \ "11.327180,11.875340,12.196800,12.659430,13.209670,13.420350,13.682680", \ "11.353930,11.928160,12.258610,12.713760,13.142950,13.670950,13.664880"); } } internal_power () { related_pin : "C1"; when : "!A1 & !A2 & B1 & !B2 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("8.079933,8.757696,9.138356,9.610225,9.989875,10.228550,10.380080", \ "8.075672,8.723407,9.113108,9.583740,9.954459,10.193750,10.352450", \ "8.048413,8.679331,9.084505,9.544067,9.910516,10.161280,10.310020", \ "8.057565,8.671013,9.083859,9.537086,9.901318,10.139940,10.298340", \ "8.136490,8.772765,9.153493,9.591430,9.982003,10.212260,10.369210", \ "8.395578,8.965752,9.360942,9.778068,10.153170,10.380590,10.544730", \ "8.669192,9.260717,9.615953,10.094140,10.478100,10.705300,10.826040"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("12.058260,12.640570,12.940170,13.489540,14.083460,14.330150,14.345110", \ "12.024820,12.588650,12.926460,13.487870,14.003200,14.278580,14.565970", \ "11.941370,12.561490,12.868060,13.351190,13.892390,14.198050,14.485190", \ "11.905420,12.469780,12.843480,13.333940,13.793010,14.119140,14.406520", \ "11.908100,12.471640,12.815880,13.332130,13.767390,14.074860,14.360670", \ "11.885750,12.506500,12.823060,13.310160,13.795390,14.310520,14.326740", \ "11.935420,12.571610,12.924430,13.409780,13.873360,14.169520,14.459990"); } } internal_power () { related_pin : "C1"; when : "!A1 & A2 & !B1 & !B2 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.951819,8.626615,9.015557,9.496929,9.885267,10.133420,10.311990", \ "7.932566,8.585064,8.993415,9.476122,9.864015,10.122700,10.289030", \ "7.911706,8.543507,8.979904,9.427794,9.819032,10.084700,10.247840", \ "7.899704,8.588521,8.966725,9.434223,9.815238,10.057260,10.229130", \ "8.040091,8.645454,9.063823,9.495589,9.877877,10.138820,10.292880", \ "8.287127,8.856770,9.266865,9.701843,10.059060,10.288030,10.461360", \ "8.579606,9.179653,9.561092,9.997481,10.391710,10.619090,10.739200"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.572660,12.136250,12.441290,12.932550,13.401570,13.617510,13.583700", \ "11.526620,12.089640,12.422000,12.849030,13.343390,13.572720,13.538890", \ "11.431710,12.021530,12.351710,12.776020,13.158460,13.478690,13.445820", \ "11.430800,11.963920,12.308970,12.716910,13.166820,13.320630,13.559320", \ "11.368260,11.958670,12.293190,12.667680,13.129300,13.378650,13.345290", \ "11.442950,11.977210,12.330800,12.678250,13.159020,13.437930,13.405610", \ "11.413040,12.039860,12.351770,12.862270,13.240210,13.546570,13.519080"); } } internal_power () { related_pin : "C1"; when : "!A1 & A2 & !B1 & B2 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.883062,8.581510,8.944515,9.432127,9.831556,10.083670,10.255200", \ "7.888100,8.558685,8.926774,9.424797,9.806754,10.055960,10.230140", \ "7.831754,8.519390,8.935315,9.380164,9.761426,10.017900,10.186570", \ "7.862113,8.530114,8.939594,9.382088,9.752418,9.998872,10.159850", \ "7.977403,8.568252,8.977915,9.450380,9.822333,10.066500,10.229430", \ "8.219732,8.794795,9.207719,9.640527,10.000870,10.218330,10.392060", \ "8.539324,9.116605,9.490756,9.928239,10.322720,10.546040,10.664270"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.314210,11.902110,12.166940,12.637840,13.157770,13.285010,13.549200", \ "11.288020,11.826190,12.161310,12.581820,13.101940,13.259220,13.523600", \ "11.186210,11.775700,12.070140,12.422870,12.947670,13.212350,13.476760", \ "11.163010,11.752290,12.053960,12.452730,12.937820,13.336580,13.411860", \ "11.133750,11.723360,12.033010,12.472400,12.976940,13.125900,13.391520", \ "11.152020,11.741930,12.066250,12.492750,13.010150,13.180240,13.445100", \ "11.243150,11.792080,12.116240,12.515550,13.041320,13.244960,13.512430"); } } internal_power () { related_pin : "C1"; when : "!A1 & A2 & B1 & !B2 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.920835,8.556713,8.973927,9.447439,9.830342,10.089440,10.261450", \ "7.871397,8.538856,8.948573,9.421483,9.804144,10.061310,10.233250", \ "7.873557,8.503438,8.910422,9.383644,9.765929,10.013660,10.190260", \ "7.897728,8.515534,8.909708,9.376078,9.756644,10.009040,10.172220", \ "7.958984,8.613833,8.985241,9.451677,9.823085,10.080570,10.242990", \ "8.188876,8.826620,9.197331,9.637669,10.015590,10.254920,10.422010", \ "8.529660,9.122876,9.483584,9.963072,10.357180,10.587940,10.704160"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.923610,12.512680,12.836590,13.306190,13.739350,14.210190,14.229490", \ "11.921630,12.471750,12.799960,13.235930,13.669900,14.169800,14.188990", \ "11.809710,12.441370,12.720610,13.206560,13.610380,14.113190,14.133490", \ "11.799150,12.398280,12.697700,13.098730,13.518510,14.060470,14.080690", \ "11.777310,12.376870,12.666710,13.026850,13.461450,14.045640,14.063640", \ "11.792770,12.342690,12.676200,13.055040,13.621190,13.823130,14.115790", \ "11.865990,12.422030,12.774090,13.149670,13.636250,14.065640,14.086930"); } } internal_power () { related_pin : "C1"; when : "A1 & !A2 & !B1 & !B2 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.974045,8.620342,9.025812,9.497746,9.887478,10.136590,10.312940", \ "7.922781,8.603736,9.017107,9.466152,9.866793,10.122650,10.291530", \ "7.929670,8.546294,8.974790,9.441741,9.829745,10.086010,10.253780", \ "7.932901,8.552841,8.966940,9.428923,9.818348,10.065440,10.236110", \ "8.055768,8.672425,9.060641,9.496124,9.889042,10.139450,10.301890", \ "8.250853,8.887850,9.268764,9.697585,10.078260,10.324330,10.487940", \ "8.618509,9.165785,9.574954,10.023420,10.421390,10.651690,10.775360"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("12.123700,12.739010,13.063690,13.515760,14.035310,14.336270,14.327920", \ "12.123890,12.673070,13.047410,13.429500,13.950770,14.280810,14.272220", \ "12.079410,12.628160,12.972300,13.356040,13.831300,14.180780,14.172200", \ "12.028770,12.577660,12.945270,13.404190,13.924700,14.030560,14.295060", \ "11.972020,12.578440,12.903790,13.280890,13.670080,14.094000,14.086530", \ "12.035180,12.601670,12.906360,13.431700,13.913730,14.138970,14.131790", \ "12.084450,12.651710,13.014580,13.424570,13.842710,14.121160,14.388220"); } } internal_power () { related_pin : "C1"; when : "A1 & !A2 & !B1 & B2 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.920835,8.556713,8.973927,9.447439,9.830342,10.089440,10.261450", \ "7.871397,8.538856,8.948573,9.421483,9.804144,10.061310,10.233250", \ "7.873557,8.503438,8.910422,9.383644,9.765929,10.013660,10.190260", \ "7.897728,8.515534,8.909708,9.376078,9.756644,10.009040,10.172220", \ "7.958984,8.613833,8.985241,9.451677,9.823085,10.080570,10.242990", \ "8.188876,8.826620,9.197331,9.637669,10.015590,10.254920,10.422010", \ "8.529660,9.122876,9.483584,9.963072,10.357180,10.587940,10.704160"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.923610,12.512680,12.836590,13.306190,13.739350,14.210190,14.229490", \ "11.921630,12.471750,12.799960,13.235930,13.669900,14.169800,14.188990", \ "11.809710,12.441370,12.720610,13.206560,13.610380,14.113190,14.133490", \ "11.799150,12.398280,12.697700,13.098730,13.518510,14.060470,14.080690", \ "11.777310,12.376870,12.666710,13.026850,13.461450,14.045640,14.063640", \ "11.792770,12.342690,12.676200,13.055040,13.621190,13.823130,14.115790", \ "11.865990,12.422030,12.774090,13.149670,13.636250,14.065640,14.086930"); } } internal_power () { related_pin : "C1"; when : "A1 & !A2 & B1 & !B2 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.912860,8.570584,8.951101,9.431194,9.835578,10.093780,10.267270", \ "7.889959,8.517375,8.932136,9.413815,9.810949,10.067890,10.244620", \ "7.858632,8.484048,8.921311,9.367770,9.771001,10.028810,10.194980", \ "7.869917,8.491420,8.907375,9.380513,9.764843,10.010830,10.179990", \ "7.968922,8.610879,9.003928,9.462320,9.847793,10.099700,10.261520", \ "8.268712,8.824725,9.175547,9.653906,10.038890,10.278880,10.451210", \ "8.568774,9.146196,9.534034,9.991588,10.393840,10.625310,10.755860"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("12.560450,13.162920,13.440930,13.841650,14.452810,14.872700,14.916840", \ "12.506820,13.144800,13.428400,13.897840,14.357510,14.821650,14.864740", \ "12.443260,13.081870,13.396870,13.866720,14.345600,14.754490,14.799900", \ "12.427490,13.035280,13.345510,13.753900,14.386770,14.706010,14.750540", \ "12.368110,13.016890,13.298140,13.734000,14.333530,14.698670,14.745780", \ "12.385620,13.009820,13.332050,13.767890,14.264500,14.748520,14.796670", \ "12.465580,13.090780,13.399160,13.826650,14.432500,14.658720,14.977630"); } } internal_power () { related_pin : "C2"; when : "!A1 & !A2 & !B1 & !B2 & C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("8.162647,8.838129,9.242418,9.673106,10.053110,10.288750,10.443260", \ "8.186366,8.818826,9.222733,9.655559,10.031260,10.270820,10.420990", \ "8.121681,8.753593,9.195556,9.640567,9.994001,10.240050,10.391430", \ "8.107243,8.767052,9.179196,9.615174,9.982350,10.211340,10.370370", \ "8.127729,8.772227,9.170012,9.592225,9.983330,10.214210,10.358230", \ "8.233527,8.840601,9.238867,9.689370,10.046320,10.265820,10.423730", \ "8.451581,9.025947,9.394642,9.879686,10.244680,10.461640,10.575630"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("12.201000,12.831000,13.228210,13.639560,14.184800,14.359680,14.615160", \ "12.196870,12.771190,13.202850,13.682190,14.169900,14.570990,14.555010", \ "12.134330,12.772090,13.115060,13.663860,14.169970,14.401120,14.383480", \ "12.140970,12.732210,13.084880,13.638920,14.156960,14.468090,14.453120", \ "12.139180,12.726600,13.075960,13.568660,14.098010,14.475080,14.461420", \ "12.132090,12.753850,13.139520,13.692820,14.078130,14.395920,14.380610", \ "12.170050,12.785430,13.182640,13.737420,14.143670,14.534850,14.524900"); } } internal_power () { related_pin : "C2"; when : "!A1 & !A2 & !B1 & B2 & C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("8.133767,8.764039,9.172898,9.604627,9.983857,10.224720,10.379430", \ "8.091720,8.730709,9.149477,9.592060,9.957558,10.201330,10.353170", \ "8.040606,8.714649,9.116805,9.552372,9.925051,10.162100,10.315960", \ "8.003227,8.694819,9.099282,9.529018,9.905643,10.142990,10.290790", \ "8.080212,8.689037,9.074258,9.532935,9.891117,10.133860,10.292510", \ "8.188053,8.810918,9.191780,9.611500,9.976957,10.190870,10.341510", \ "8.370045,8.950137,9.344882,9.806017,10.171470,10.389930,10.491370"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.991240,12.555140,12.928630,13.332830,13.851010,14.190360,14.477960", \ "11.946720,12.531270,12.850890,13.392120,13.968180,14.148430,14.435260", \ "11.911730,12.474970,12.859250,13.262320,13.734980,14.325490,14.290210", \ "11.884330,12.504020,12.842490,13.326700,13.900170,14.154070,14.441010", \ "11.866590,12.486800,12.835110,13.326790,13.768450,14.214870,14.375890", \ "11.895270,12.459650,12.817790,13.363830,13.805790,14.058070,14.337150", \ "11.952820,12.529280,12.891250,13.436250,13.932260,14.184280,14.475190"); } } internal_power () { related_pin : "C2"; when : "!A1 & !A2 & B1 & !B2 & C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("8.113478,8.752112,9.165716,9.609325,9.986410,10.224760,10.378930", \ "8.054793,8.722612,9.117051,9.585866,9.967053,10.197600,10.354550", \ "8.062458,8.683960,9.088570,9.547735,9.917753,10.167810,10.319800", \ "8.025329,8.667192,9.071107,9.535292,9.904739,10.152670,10.302480", \ "8.071128,8.676150,9.044725,9.523819,9.909069,10.139400,10.295720", \ "8.184853,8.796259,9.173400,9.621365,9.978967,10.205030,10.360010", \ "8.375257,8.968494,9.324919,9.802814,10.184920,10.401460,10.523800"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("12.571000,13.207220,13.532360,14.027150,14.563020,14.830060,15.193850", \ "12.520440,13.158920,13.520350,13.948050,14.499430,15.054750,15.095880", \ "12.536140,13.116440,13.476930,13.952350,14.460040,14.903900,14.944940", \ "12.513840,13.093380,13.446930,13.883460,14.382120,14.784290,15.123480", \ "12.499140,13.078750,13.451580,13.898770,14.519500,14.995170,15.038400", \ "12.473010,13.103330,13.441410,13.939720,14.553880,14.946690,15.015750", \ "12.569590,13.178230,13.519620,14.072080,14.562900,15.000620,15.044690"); } } internal_power () { related_pin : "C2"; when : "!A1 & A2 & !B1 & !B2 & C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.956064,8.621998,9.019930,9.499186,9.890711,10.141430,10.309910", \ "7.940332,8.609869,8.996670,9.482949,9.864837,10.122590,10.291150", \ "7.910378,8.545946,8.956558,9.454043,9.838692,10.090190,10.256200", \ "7.884521,8.531008,8.942665,9.434392,9.814459,10.069200,10.228130", \ "7.956198,8.580133,8.977855,9.429998,9.815889,10.055500,10.229060", \ "8.088437,8.675346,9.086089,9.514698,9.890626,10.119320,10.286360", \ "8.284703,8.884421,9.237430,9.718937,10.095490,10.325290,10.440300"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("12.079780,12.628910,12.959430,13.469980,13.970590,14.181910,14.173410", \ "12.027330,12.633510,12.978500,13.388920,13.892500,14.132290,14.396070", \ "12.036610,12.585900,12.941550,13.385530,13.887990,14.247880,14.240830", \ "12.002330,12.582980,12.926720,13.378230,13.747690,14.058920,14.323490", \ "11.985100,12.583840,12.895840,13.353550,13.724180,14.107430,14.099590", \ "11.985150,12.590780,12.901930,13.337060,13.840700,14.148680,14.140720", \ "12.054200,12.663790,13.006440,13.388730,13.905700,14.136590,14.403830"); } } internal_power () { related_pin : "C2"; when : "!A1 & A2 & !B1 & B2 & C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.922335,8.542610,8.959480,9.438086,9.832451,10.083660,10.256840", \ "7.868166,8.524107,8.965580,9.426284,9.810564,10.068370,10.236210", \ "7.851144,8.491268,8.940764,9.393936,9.766140,10.020340,10.193920", \ "7.842067,8.475448,8.924155,9.365283,9.751501,9.994614,10.166950", \ "7.873069,8.491250,8.916783,9.369897,9.739507,10.000170,10.162910", \ "8.041572,8.631747,9.011130,9.454871,9.830426,10.058570,10.228420", \ "8.207398,8.813780,9.212833,9.655456,10.034520,10.253280,10.369760"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.849690,12.467620,12.759130,13.126860,13.776900,14.099340,14.118100", \ "11.816240,12.426650,12.730190,13.198090,13.612190,14.065610,14.084840", \ "11.795790,12.401650,12.696640,13.085450,13.633670,13.935960,13.954510", \ "11.785060,12.334940,12.650860,13.039220,13.577320,13.828920,14.120740", \ "11.738570,12.368540,12.675450,13.062690,13.477110,13.792340,14.085130", \ "11.745460,12.352530,12.683710,13.054050,13.600300,13.833940,14.126740", \ "11.822500,12.436610,12.751270,13.115980,13.633860,14.081570,14.103530"); } } internal_power () { related_pin : "C2"; when : "!A1 & A2 & B1 & !B2 & C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.921729,8.569033,8.975111,9.448211,9.841747,10.091650,10.259720", \ "7.906083,8.541361,8.967324,9.424758,9.806719,10.067970,10.236500", \ "7.851501,8.520435,8.914618,9.387819,9.767159,10.032500,10.196420", \ "7.826556,8.494414,8.902051,9.368471,9.757184,10.002390,10.172040", \ "7.880518,8.523078,8.914952,9.375330,9.758678,10.002280,10.173290", \ "8.028920,8.607214,9.006200,9.473473,9.838863,10.073560,10.237960", \ "8.209577,8.810447,9.195224,9.646980,10.038390,10.279750,10.400950"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("12.450350,13.099550,13.400150,13.859160,14.449700,14.764340,14.808740", \ "12.470200,13.066570,13.331270,13.788900,14.383280,14.726800,14.864690", \ "12.442390,13.036280,13.350870,13.808200,14.398750,14.588070,14.905810", \ "12.376030,12.999730,13.290590,13.756390,14.348400,14.754470,14.799610", \ "12.391750,12.958760,13.328040,13.756580,14.266720,14.725760,14.772730", \ "12.398400,13.005170,13.281790,13.739620,14.236390,14.760110,14.808260", \ "12.478340,13.055260,13.372860,13.827000,14.356440,14.670020,14.989910"); } } internal_power () { related_pin : "C2"; when : "A1 & !A2 & !B1 & !B2 & C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.970040,8.619539,9.037927,9.497705,9.886161,10.141570,10.313800", \ "7.960424,8.577001,8.985813,9.479009,9.868505,10.117570,10.297160", \ "7.916096,8.567808,8.934830,9.451248,9.836220,10.089590,10.263380", \ "7.926364,8.564486,8.963043,9.422906,9.811380,10.069770,10.236100", \ "7.935053,8.537219,8.947585,9.429311,9.821408,10.069170,10.233470", \ "8.105843,8.677688,9.089592,9.531067,9.899004,10.135300,10.304130", \ "8.294702,8.885918,9.265712,9.707148,10.110780,10.343620,10.461260"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("12.693970,13.259060,13.611560,14.127810,14.537610,14.924420,14.924350", \ "12.638740,13.260470,13.601000,14.042730,14.595850,14.854560,14.871070", \ "12.644010,13.209290,13.570350,14.038000,14.451180,14.694590,14.983670", \ "12.611620,13.189060,13.525470,14.040040,14.581760,14.780420,14.797420", \ "12.598250,13.188960,13.543970,14.027780,14.570250,14.829480,14.846830", \ "12.589320,13.155570,13.545680,14.010610,14.424400,14.860160,14.877810", \ "12.681950,13.258620,13.588530,14.126800,14.596740,14.992080,15.044860"); } } internal_power () { related_pin : "C2"; when : "A1 & !A2 & !B1 & B2 & C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.921729,8.569033,8.975111,9.448211,9.841747,10.091650,10.259720", \ "7.906083,8.541361,8.967324,9.424758,9.806719,10.067970,10.236500", \ "7.851501,8.520435,8.914618,9.387819,9.767159,10.032500,10.196420", \ "7.826556,8.494414,8.902051,9.368471,9.757184,10.002390,10.172040", \ "7.880518,8.523078,8.914952,9.375330,9.758678,10.002280,10.173290", \ "8.028920,8.607214,9.006200,9.473473,9.838863,10.073560,10.237960", \ "8.209577,8.810447,9.195224,9.646980,10.038390,10.279750,10.400950"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("12.450350,13.099550,13.400150,13.859160,14.449700,14.764340,14.808740", \ "12.470200,13.066570,13.331270,13.788900,14.383280,14.726800,14.864690", \ "12.442390,13.036280,13.350870,13.808200,14.398750,14.588070,14.905810", \ "12.376030,12.999730,13.290590,13.756390,14.348400,14.754470,14.799610", \ "12.391750,12.958760,13.328040,13.756580,14.266720,14.725760,14.772730", \ "12.398400,13.005170,13.281790,13.739620,14.236390,14.760110,14.808260", \ "12.478340,13.055260,13.372860,13.827000,14.356440,14.670020,14.989910"); } } internal_power () { related_pin : "C2"; when : "A1 & !A2 & B1 & !B2 & C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.914268,8.558665,8.961551,9.442726,9.844369,10.104710,10.268340", \ "7.912715,8.519421,8.933631,9.409336,9.818830,10.071050,10.235590", \ "7.892633,8.484985,8.917967,9.373935,9.781465,10.036270,10.209370", \ "7.862459,8.469570,8.872666,9.356130,9.754379,10.013650,10.180370", \ "7.896991,8.510127,8.886437,9.376673,9.764256,10.015320,10.186840", \ "8.008881,8.641516,9.029704,9.477419,9.853568,10.086390,10.262320", \ "8.240935,8.820750,9.206872,9.679671,10.066800,10.301900,10.435810"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("13.112160,13.695540,14.040230,14.453220,14.955640,15.431370,15.503970", \ "13.070290,13.709720,14.037100,14.516290,15.071360,15.389970,15.733120", \ "13.037850,13.677210,14.005880,14.399840,14.934270,15.521960,15.596160", \ "13.031140,13.614500,13.980410,14.357170,14.924140,15.419360,15.489150", \ "12.992230,13.632510,13.916130,14.416480,14.885720,15.391500,15.462200", \ "13.000340,13.640340,13.951360,14.436230,15.029250,15.424450,15.498960", \ "13.046230,13.688140,14.022870,14.431500,15.055760,15.298940,15.645980"); } } } } /****************************************************************************************** Module : BUF_X1 Cell Description : Combinational cell (BUF_X1) with drive strength X1 *******************************************************************************************/ cell (BUF_X1) { drive_strength : 1; area : 0.798000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 15.635893; leakage_power () { when : "!A"; value : 14.694980; } leakage_power () { when : "A"; value : 16.576806; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 0.934558; fall_capacitance : 0.835243; rise_capacitance : 0.934558; } pin (Z) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 60.630530; function : "A"; timing () { related_pin : "A"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.0708781,0.0799760,0.0883476,0.101680,0.123550,0.161707,0.233215", \ "0.0751556,0.0842548,0.0926326,0.105966,0.127837,0.165999,0.237514", \ "0.0934562,0.102515,0.110900,0.124239,0.146129,0.184299,0.255817", \ "0.130117,0.139395,0.147802,0.161212,0.183189,0.221414,0.292945", \ "0.174481,0.185609,0.195347,0.209921,0.233174,0.272172,0.343749", \ "0.221801,0.235103,0.246515,0.263146,0.288364,0.329009,0.401715", \ "0.272256,0.287761,0.301109,0.320207,0.347993,0.390808,0.464664"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.0391454,0.0533610,0.0700411,0.102613,0.166950,0.295100,0.550855", \ "0.0444286,0.0585809,0.0752406,0.107834,0.172220,0.300375,0.556140", \ "0.0620858,0.0759784,0.0924744,0.125025,0.189554,0.317866,0.573763", \ "0.0841539,0.0983343,0.114672,0.146983,0.211311,0.339660,0.595664", \ "0.104734,0.120144,0.136504,0.168217,0.232335,0.360412,0.616412", \ "0.120616,0.138453,0.155672,0.187252,0.250842,0.378643,0.634426", \ "0.130215,0.150923,0.170111,0.202488,0.265630,0.392980,0.648459"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.0134747,0.0170755,0.0210456,0.0284455,0.0428003,0.0719847,0.132913", \ "0.0134746,0.0170778,0.0210463,0.0284470,0.0428020,0.0719895,0.132913", \ "0.0135014,0.0171178,0.0210811,0.0284677,0.0428108,0.0719877,0.132917", \ "0.0149214,0.0180242,0.0217111,0.0288672,0.0430251,0.0720846,0.132940", \ "0.0201962,0.0231199,0.0263674,0.0328044,0.0458941,0.0735089,0.133253", \ "0.0264179,0.0294164,0.0324698,0.0383715,0.0505470,0.0770491,0.135283", \ "0.0332650,0.0365889,0.0396941,0.0453048,0.0565980,0.0815219,0.137894"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.0133490,0.0251525,0.0402899,0.0708871,0.132190,0.254933,0.500088", \ "0.0133556,0.0251578,0.0402907,0.0708762,0.132177,0.254905,0.500091", \ "0.0136594,0.0252342,0.0403039,0.0708861,0.132213,0.254905,0.500100", \ "0.0157336,0.0262590,0.0408149,0.0710094,0.132203,0.254892,0.500087", \ "0.0197537,0.0286684,0.0419538,0.0715144,0.132431,0.254856,0.500095", \ "0.0253267,0.0333509,0.0447605,0.0724866,0.132867,0.255058,0.500098", \ "0.0316354,0.0399852,0.0499835,0.0747983,0.133583,0.255530,0.500187"); } } internal_power () { related_pin : "A"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("1.880540,2.032062,2.138057,2.249127,2.344183,2.392575,2.412218", \ "1.843872,1.991635,2.105520,2.216259,2.312652,2.360486,2.379517", \ "1.822718,1.961230,2.067722,2.185775,2.284412,2.334999,2.353782", \ "1.850108,1.982610,2.083650,2.203896,2.300669,2.357955,2.381824", \ "1.886146,2.012373,2.122655,2.267632,2.379322,2.438109,2.462601", \ "2.006130,2.089434,2.182237,2.306945,2.461012,2.579171,2.608568", \ "2.216015,2.275619,2.347070,2.464386,2.601657,2.731039,2.821186"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("1.322217,1.389253,1.415455,1.455393,1.414024,1.443255,1.481323", \ "1.314222,1.366202,1.401289,1.434262,1.447437,1.476336,1.448551", \ "1.279991,1.319262,1.347220,1.382652,1.392942,1.414007,1.391914", \ "1.296108,1.318836,1.329090,1.340881,1.342077,1.370137,1.423229", \ "1.394067,1.420223,1.412340,1.408745,1.395740,1.439381,1.431396", \ "1.517623,1.583862,1.570065,1.542303,1.550755,1.520263,1.586050", \ "1.707151,1.802463,1.807290,1.787183,1.767571,1.721458,1.776608"); } } } } /****************************************************************************************** Module : BUF_X2 Cell Description : Combinational cell (BUF_X2) with drive strength X2 *******************************************************************************************/ cell (BUF_X2) { drive_strength : 2; area : 1.064000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 31.419426; leakage_power () { when : "!A"; value : 29.556875; } leakage_power () { when : "A"; value : 33.281977; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.703888; fall_capacitance : 1.501189; rise_capacitance : 1.703888; } pin (Z) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 121.101300; function : "A"; timing () { related_pin : "A"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.0654251,0.0751458,0.0830572,0.0958179,0.117058,0.154643,0.225800", \ "0.0696790,0.0794017,0.0873153,0.100081,0.121325,0.158912,0.230073", \ "0.0881053,0.0977757,0.105686,0.118459,0.139719,0.177323,0.248491", \ "0.124145,0.134270,0.142280,0.155169,0.176536,0.214207,0.285387", \ "0.166585,0.178724,0.188024,0.202111,0.224779,0.263279,0.334460", \ "0.211971,0.226467,0.237373,0.253336,0.277832,0.317759,0.389987", \ "0.260517,0.277428,0.290179,0.308569,0.335490,0.377420,0.450633"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.0365563,0.0524679,0.0691049,0.101604,0.165843,0.293786,0.549284", \ "0.0418187,0.0576525,0.0742718,0.106805,0.171088,0.299075,0.554576", \ "0.0590730,0.0745870,0.0910524,0.123549,0.187981,0.316136,0.571791", \ "0.0798987,0.0956583,0.111982,0.144220,0.208487,0.336620,0.592420", \ "0.0988209,0.115915,0.132158,0.163843,0.227887,0.355805,0.611533", \ "0.112883,0.132647,0.149593,0.181060,0.244580,0.372274,0.627751", \ "0.120613,0.143581,0.162352,0.194425,0.257483,0.384689,0.639921"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.0124758,0.0164076,0.0202979,0.0276322,0.0419995,0.0713822,0.132651", \ "0.0124742,0.0164089,0.0202993,0.0276329,0.0419987,0.0713809,0.132650", \ "0.0125049,0.0164570,0.0203376,0.0276574,0.0420086,0.0713862,0.132654", \ "0.0144100,0.0177086,0.0211985,0.0281819,0.0422875,0.0714962,0.132671", \ "0.0196628,0.0227560,0.0259146,0.0322406,0.0453228,0.0731000,0.132999", \ "0.0258529,0.0290215,0.0319455,0.0377088,0.0497974,0.0764133,0.135059", \ "0.0325895,0.0361455,0.0391256,0.0445509,0.0557046,0.0806917,0.137464"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.0123826,0.0256574,0.0408165,0.0713701,0.132587,0.255090,0.500027", \ "0.0123851,0.0256598,0.0408116,0.0713744,0.132591,0.255120,0.500020", \ "0.0127791,0.0257343,0.0408297,0.0713756,0.132575,0.255093,0.500022", \ "0.0148846,0.0266863,0.0413374,0.0715346,0.132586,0.255084,0.500023", \ "0.0190388,0.0289178,0.0423713,0.0720143,0.132920,0.255087,0.500039", \ "0.0246157,0.0334562,0.0449909,0.0729310,0.133314,0.255423,0.500031", \ "0.0309206,0.0400852,0.0500541,0.0751218,0.134041,0.255853,0.500217"); } } internal_power () { related_pin : "A"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("3.650182,3.963202,4.155831,4.343659,4.499586,4.582138,4.616892", \ "3.580890,3.889359,4.086158,4.275357,4.432313,4.512995,4.543207", \ "3.530996,3.825934,4.025297,4.221139,4.387027,4.469919,4.502668", \ "3.610596,3.867002,4.056753,4.252131,4.425916,4.519110,4.564426", \ "3.673099,3.901563,4.109608,4.358179,4.578550,4.691518,4.733159", \ "3.902252,4.084293,4.251359,4.489230,4.751948,4.977789,5.036892", \ "4.369032,4.461168,4.591811,4.808201,5.065183,5.289731,5.470716"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("2.616087,2.776211,2.838975,2.855639,2.898404,2.877069,2.830208", \ "2.595985,2.721079,2.803534,2.858289,2.881916,2.808062,2.897510", \ "2.533271,2.606005,2.661105,2.698390,2.747756,2.827216,2.795387", \ "2.580497,2.613070,2.646775,2.695842,2.689963,2.780857,2.763743", \ "2.768909,2.817368,2.813639,2.793967,2.747501,2.840085,2.834317", \ "3.033440,3.166767,3.164411,3.121696,3.116587,3.143834,3.207700", \ "3.389122,3.614789,3.619506,3.587322,3.555929,3.538143,3.529544"); } } } } /****************************************************************************************** Module : BUF_X4 Cell Description : Combinational cell (BUF_X4) with drive strength X4 *******************************************************************************************/ cell (BUF_X4) { drive_strength : 4; area : 1.862000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 62.826825; leakage_power () { when : "!A"; value : 59.104155; } leakage_power () { when : "A"; value : 66.549495; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.251947; fall_capacitance : 2.826141; rise_capacitance : 3.251947; } pin (Z) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 242.237000; function : "A"; timing () { related_pin : "A"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.0613894,0.0714740,0.0791523,0.0915984,0.112477,0.149756,0.220813", \ "0.0656464,0.0757259,0.0834074,0.0958576,0.116741,0.154020,0.225081", \ "0.0841773,0.0942128,0.101895,0.114371,0.135268,0.172532,0.243603", \ "0.119649,0.130304,0.138182,0.150853,0.171904,0.209246,0.280266", \ "0.160703,0.173474,0.182566,0.196436,0.218842,0.257106,0.328171", \ "0.204695,0.219957,0.230629,0.246207,0.270288,0.309843,0.381869", \ "0.251925,0.269710,0.282204,0.300174,0.326573,0.367954,0.440831"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.0342832,0.0511635,0.0678158,0.100319,0.164557,0.292517,0.548130", \ "0.0395404,0.0563211,0.0729595,0.105509,0.169808,0.297808,0.553413", \ "0.0564149,0.0728487,0.0893278,0.121863,0.186311,0.314506,0.570264", \ "0.0762072,0.0929304,0.109233,0.141544,0.205842,0.334090,0.589954", \ "0.0938267,0.111969,0.128169,0.159886,0.224014,0.352113,0.607970", \ "0.106428,0.127443,0.144301,0.175726,0.239267,0.366995,0.622636", \ "0.112637,0.137087,0.155735,0.187697,0.250756,0.378000,0.633342"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.0117488,0.0158434,0.0196938,0.0270029,0.0414139,0.0709939,0.132578", \ "0.0117505,0.0158476,0.0196954,0.0270036,0.0414144,0.0709924,0.132579", \ "0.0117824,0.0158962,0.0197341,0.0270242,0.0414231,0.0709982,0.132579", \ "0.0140346,0.0174457,0.0208328,0.0276695,0.0417523,0.0711204,0.132602", \ "0.0193212,0.0224631,0.0255428,0.0317889,0.0448594,0.0728251,0.132947", \ "0.0255048,0.0287315,0.0315616,0.0372203,0.0492468,0.0759760,0.135020", \ "0.0322634,0.0358683,0.0387414,0.0440362,0.0550766,0.0801373,0.137290"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.0113834,0.0254006,0.0405800,0.0711536,0.132353,0.254870,0.499864", \ "0.0113910,0.0254053,0.0405801,0.0711547,0.132378,0.254903,0.499870", \ "0.0118574,0.0254867,0.0405966,0.0711515,0.132384,0.254869,0.499858", \ "0.0140535,0.0264206,0.0411076,0.0713457,0.132383,0.254878,0.499860", \ "0.0183380,0.0286132,0.0421116,0.0718071,0.132741,0.254910,0.499873", \ "0.0239252,0.0331485,0.0446859,0.0727164,0.133131,0.255264,0.499873", \ "0.0302333,0.0398306,0.0497219,0.0748756,0.133895,0.255691,0.500147"); } } internal_power () { related_pin : "A"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("6.942631,7.598131,7.962043,8.325723,8.587283,8.722252,8.778503", \ "6.763994,7.440912,7.821546,8.185527,8.455514,8.590026,8.646906", \ "6.710262,7.328070,7.682943,8.061157,8.357428,8.496698,8.566335", \ "6.867097,7.417182,7.770536,8.146896,8.454097,8.619744,8.698548", \ "6.959613,7.482482,7.838202,8.338393,8.783454,8.969474,9.055951", \ "7.536323,7.869465,8.172527,8.613543,9.108422,9.539705,9.663524", \ "8.458546,8.615795,8.857769,9.246877,9.733852,10.169690,10.546100"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("4.973504,5.288824,5.449772,5.534191,5.464265,5.567306,5.456311", \ "4.889543,5.203480,5.343692,5.403046,5.355161,5.426767,5.587394", \ "4.778904,4.977057,5.085166,5.202219,5.335845,5.420916,5.403493", \ "4.894561,4.967405,5.030994,5.113921,5.283325,5.333128,5.406466", \ "5.269357,5.402402,5.413073,5.376531,5.478485,5.385101,5.627996", \ "5.809384,6.087143,6.084669,6.065648,6.037415,5.948135,6.196587", \ "6.553760,6.994624,7.035482,7.068185,7.012665,6.969789,6.948435"); } } } } /****************************************************************************************** Module : BUF_X8 Cell Description : Combinational cell (BUF_X8) with drive strength X8 *******************************************************************************************/ cell (BUF_X8) { drive_strength : 8; area : 3.458000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 125.653982; leakage_power () { when : "!A"; value : 118.208500; } leakage_power () { when : "A"; value : 133.099465; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 6.359827; fall_capacitance : 5.528340; rise_capacitance : 6.359827; } pin (Z) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 483.910400; function : "A"; timing () { related_pin : "A"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,15.125300,30.250600,60.501100,121.002000,242.005000,484.009000"); values ("0.0612447,0.0716705,0.0793576,0.0918205,0.112730,0.150044,0.221133", \ "0.0655552,0.0759843,0.0836700,0.0961400,0.117052,0.154369,0.225457", \ "0.0841120,0.0945053,0.102207,0.114691,0.135609,0.172907,0.244009", \ "0.119489,0.130511,0.138476,0.151166,0.172212,0.209570,0.280612", \ "0.160382,0.173564,0.182651,0.196704,0.219072,0.257328,0.328385", \ "0.204233,0.219967,0.230619,0.246326,0.270437,0.309967,0.381954", \ "0.251298,0.269653,0.282122,0.300053,0.326453,0.367886,0.440766"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,15.125300,30.250600,60.501100,121.002000,242.005000,484.009000"); values ("0.0344242,0.0518664,0.0685400,0.101049,0.165282,0.293202,0.548745", \ "0.0396642,0.0570072,0.0736677,0.106220,0.170508,0.298465,0.554015", \ "0.0564548,0.0734507,0.0899748,0.122520,0.186962,0.315114,0.570803", \ "0.0761169,0.0933963,0.109766,0.142167,0.206465,0.334660,0.590458", \ "0.0935440,0.112307,0.128571,0.160441,0.224626,0.352673,0.608436", \ "0.105956,0.127661,0.144566,0.176044,0.239677,0.367507,0.623063", \ "0.112004,0.137219,0.155872,0.187892,0.250992,0.378216,0.633530"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,15.125300,30.250600,60.501100,121.002000,242.005000,484.009000"); values ("0.0116942,0.0159199,0.0197805,0.0271082,0.0415390,0.0711286,0.132717", \ "0.0116942,0.0159216,0.0197830,0.0271092,0.0415386,0.0711290,0.132713", \ "0.0117239,0.0159709,0.0198209,0.0271297,0.0415479,0.0711330,0.132721", \ "0.0139948,0.0175204,0.0209144,0.0277736,0.0418772,0.0712563,0.132738", \ "0.0192632,0.0225058,0.0256000,0.0318544,0.0449675,0.0729625,0.133082", \ "0.0254461,0.0287584,0.0315920,0.0372566,0.0493157,0.0760957,0.135160", \ "0.0321963,0.0358931,0.0387627,0.0440669,0.0551341,0.0802438,0.137431"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,15.125300,30.250600,60.501100,121.002000,242.005000,484.009000"); values ("0.0112202,0.0255522,0.0407008,0.0712446,0.132445,0.254876,0.499796", \ "0.0112273,0.0255523,0.0407025,0.0712464,0.132444,0.254880,0.499792", \ "0.0117003,0.0256437,0.0407204,0.0712434,0.132453,0.254894,0.499783", \ "0.0138826,0.0265855,0.0412502,0.0714487,0.132444,0.254879,0.499788", \ "0.0181490,0.0287477,0.0422641,0.0719164,0.132815,0.254932,0.499807", \ "0.0237036,0.0332193,0.0448197,0.0728467,0.133203,0.255292,0.499807", \ "0.0299902,0.0398642,0.0498034,0.0750029,0.133978,0.255715,0.500100"); } } internal_power () { related_pin : "A"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,15.125300,30.250600,60.501100,121.002000,242.005000,484.009000"); values ("13.686530,15.067410,15.789170,16.503120,17.031780,17.316030,17.422170", \ "13.433460,14.815730,15.527970,16.261820,16.785550,17.068450,17.177180", \ "13.299260,14.551640,15.267490,16.027580,16.595700,16.903400,17.024310", \ "13.664710,14.741670,15.442050,16.212370,16.807040,17.137460,17.287840", \ "13.869610,14.879780,15.574530,16.597570,17.452460,17.845020,18.016630", \ "15.034340,15.671930,16.233840,17.125910,18.129410,18.993980,19.232110", \ "16.935950,17.184650,17.634580,18.377500,19.385200,20.222750,20.997640"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,15.125300,30.250600,60.501100,121.002000,242.005000,484.009000"); values ("9.884620,10.569560,10.869920,10.927580,10.820230,11.032870,10.824740", \ "9.722313,10.387450,10.609570,10.927330,11.067960,10.754320,11.094140", \ "9.444010,9.955423,10.188560,10.268790,10.586080,10.889470,10.740920", \ "9.761815,9.957729,10.102370,10.145290,10.496000,10.307420,10.758970", \ "10.471590,10.797070,10.765330,10.654290,10.893550,10.904960,11.209150", \ "11.547840,12.157020,12.172280,12.083280,12.028450,11.850620,12.361710", \ "13.070250,13.960130,14.067470,14.107150,13.998290,13.915700,13.896320"); } } } } /****************************************************************************************** Module : BUF_X16 Cell Description : Combinational cell (BUF_X16) with drive strength X16 *******************************************************************************************/ cell (BUF_X16) { drive_strength : 16; area : 6.650000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 251.307680; leakage_power () { when : "!A"; value : 236.417000; } leakage_power () { when : "A"; value : 266.198360; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 12.270698; fall_capacitance : 10.754012; rise_capacitance : 12.270698; } pin (Z) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 965.357700; function : "A"; timing () { related_pin : "A"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,30.174200,60.348500,120.697000,241.394000,482.788000,965.576000"); values ("0.0617812,0.0725025,0.0802301,0.0927225,0.113639,0.150930,0.221947", \ "0.0659950,0.0767154,0.0844433,0.0969409,0.117861,0.155153,0.226172", \ "0.0845027,0.0951992,0.102935,0.115437,0.136358,0.173634,0.244662", \ "0.119945,0.131319,0.139311,0.151992,0.173032,0.210359,0.281332", \ "0.160921,0.174497,0.183678,0.197812,0.220150,0.258362,0.329345", \ "0.204834,0.221057,0.231794,0.247686,0.271795,0.311277,0.383189", \ "0.252013,0.270884,0.283454,0.301553,0.328060,0.369434,0.442262"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,30.174200,60.348500,120.697000,241.394000,482.788000,965.576000"); values ("0.0345365,0.0521865,0.0688632,0.101390,0.165668,0.293681,0.549397", \ "0.0396915,0.0572496,0.0739121,0.106482,0.170812,0.298856,0.554580", \ "0.0566289,0.0738492,0.0903606,0.122911,0.187393,0.315627,0.571493", \ "0.0765225,0.0940672,0.110441,0.142858,0.207183,0.335440,0.591411", \ "0.0940512,0.113211,0.129463,0.161471,0.225639,0.353735,0.609644", \ "0.106494,0.128768,0.145695,0.177282,0.240960,0.368818,0.624538", \ "0.112617,0.138471,0.157207,0.189240,0.252343,0.379701,0.635227"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,30.174200,60.348500,120.697000,241.394000,482.788000,965.576000"); values ("0.0115594,0.0158500,0.0197061,0.0270151,0.0414171,0.0709650,0.132491", \ "0.0115588,0.0158528,0.0197079,0.0270165,0.0414174,0.0709633,0.132490", \ "0.0115876,0.0159014,0.0197455,0.0270385,0.0414255,0.0709663,0.132494", \ "0.0138551,0.0174226,0.0208119,0.0276660,0.0417496,0.0710886,0.132515", \ "0.0191455,0.0224530,0.0255358,0.0317628,0.0448401,0.0727837,0.132854", \ "0.0253184,0.0287215,0.0315635,0.0371909,0.0492205,0.0759418,0.134928", \ "0.0320205,0.0358510,0.0387409,0.0440356,0.0550674,0.0801107,0.137208"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,30.174200,60.348500,120.697000,241.394000,482.788000,965.576000"); values ("0.0107327,0.0252342,0.0404043,0.0709666,0.132208,0.254721,0.499782", \ "0.0107423,0.0252362,0.0404025,0.0709743,0.132221,0.254723,0.499778", \ "0.0112236,0.0253195,0.0404176,0.0709690,0.132206,0.254732,0.499789", \ "0.0135236,0.0262656,0.0409373,0.0711639,0.132222,0.254731,0.499777", \ "0.0178714,0.0284781,0.0419567,0.0716335,0.132562,0.254774,0.499788", \ "0.0233965,0.0330322,0.0445487,0.0725542,0.132958,0.255129,0.499823", \ "0.0296173,0.0397161,0.0495983,0.0747166,0.133725,0.255542,0.500111"); } } internal_power () { related_pin : "A"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,30.174200,60.348500,120.697000,241.394000,482.788000,965.576000"); values ("27.464430,30.306840,31.751470,33.240870,34.312850,34.861250,35.071090", \ "26.801550,29.738080,31.200140,32.686060,33.788070,34.350520,34.591980", \ "26.674720,29.245290,30.758790,32.274940,33.430120,34.027670,34.260580", \ "27.272810,29.649170,31.054520,32.619570,33.839820,34.527160,34.784430", \ "27.673880,29.877470,31.416110,33.446660,35.106040,35.920020,36.215590", \ "30.187370,31.476240,32.698270,34.525310,36.490370,38.162400,38.670580", \ "33.974980,34.555530,35.429390,37.076060,39.020850,40.693130,42.221410"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,30.174200,60.348500,120.697000,241.394000,482.788000,965.576000"); values ("19.288020,20.708440,21.321450,21.427060,21.288530,22.185920,22.153500", \ "19.008110,20.293930,20.785560,21.405310,21.732290,21.055640,21.628660", \ "18.606150,19.496400,19.931250,20.041400,20.743170,21.295930,20.896720", \ "19.015550,19.482120,19.725850,19.758920,20.549540,21.152400,20.872470", \ "20.457120,21.199400,21.218800,21.243260,21.239940,21.911520,21.710090", \ "22.573860,23.980160,23.906070,23.884750,23.689370,23.042140,23.964600", \ "25.616390,27.611190,27.845120,27.844810,27.356200,27.122520,27.258670"); } } } } /****************************************************************************************** Module : BUF_X32 Cell Description : Combinational cell (BUF_X32) with drive strength X32 *******************************************************************************************/ cell (BUF_X32) { drive_strength : 32; area : 13.034000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 502.614932; leakage_power () { when : "!A"; value : 472.833050; } leakage_power () { when : "A"; value : 532.396815; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 25.711127; fall_capacitance : 22.376615; rise_capacitance : 25.711127; } pin (Z) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 1904.300000; function : "A"; timing () { related_pin : "A"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,59.509400,119.019000,238.037000,476.075000,952.150000,1904.300000"); values ("0.0610600,0.0718310,0.0795535,0.0920486,0.112969,0.150240,0.221162", \ "0.0653800,0.0761562,0.0838801,0.0963808,0.117305,0.154579,0.225500", \ "0.0839292,0.0946873,0.102414,0.114920,0.135847,0.173106,0.244038", \ "0.119263,0.130736,0.138697,0.151384,0.172436,0.209745,0.280626", \ "0.160073,0.173668,0.182867,0.196953,0.219288,0.257500,0.328404", \ "0.203840,0.220041,0.230742,0.246610,0.270671,0.310131,0.381967", \ "0.250867,0.269712,0.282205,0.300309,0.326699,0.368036,0.440773"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,59.509400,119.019000,238.037000,476.075000,952.150000,1904.300000"); values ("0.0342948,0.0521867,0.0688713,0.101364,0.165558,0.293389,0.548758", \ "0.0395291,0.0573249,0.0739985,0.106539,0.170779,0.298645,0.554019", \ "0.0563111,0.0737841,0.0903127,0.122840,0.187243,0.315301,0.570813", \ "0.0759229,0.0937198,0.110163,0.142560,0.206805,0.334889,0.590504", \ "0.0932507,0.112551,0.128920,0.160970,0.225045,0.352966,0.608514", \ "0.105523,0.127856,0.144797,0.176537,0.240133,0.367815,0.623170", \ "0.111466,0.137354,0.156037,0.188123,0.251240,0.378435,0.633602"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,59.509400,119.019000,238.037000,476.075000,952.150000,1904.300000"); values ("0.0116078,0.0159312,0.0197941,0.0271177,0.0415353,0.0710857,0.132594", \ "0.0116111,0.0159325,0.0197955,0.0271180,0.0415357,0.0710848,0.132591", \ "0.0116388,0.0159811,0.0198339,0.0271396,0.0415451,0.0710887,0.132591", \ "0.0139271,0.0175190,0.0209200,0.0277795,0.0418721,0.0712153,0.132619", \ "0.0192116,0.0225192,0.0256048,0.0318551,0.0449626,0.0729277,0.132969", \ "0.0253811,0.0287789,0.0316123,0.0372528,0.0493062,0.0760597,0.135050", \ "0.0321096,0.0359172,0.0387898,0.0440669,0.0551213,0.0802077,0.137317"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,59.509400,119.019000,238.037000,476.075000,952.150000,1904.300000"); values ("0.0109399,0.0254855,0.0406096,0.0711116,0.132246,0.254569,0.499248", \ "0.0109504,0.0254904,0.0406071,0.0711194,0.132256,0.254574,0.499243", \ "0.0114363,0.0255817,0.0406261,0.0711178,0.132237,0.254569,0.499246", \ "0.0136443,0.0265334,0.0411642,0.0713147,0.132265,0.254576,0.499252", \ "0.0179300,0.0287006,0.0421920,0.0717914,0.132621,0.254624,0.499253", \ "0.0234464,0.0331658,0.0447542,0.0727218,0.133008,0.254990,0.499282", \ "0.0296991,0.0397979,0.0497303,0.0748915,0.133777,0.255397,0.499584"); } } internal_power () { related_pin : "A"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,59.509400,119.019000,238.037000,476.075000,952.150000,1904.300000"); values ("54.645030,60.063020,62.919960,65.801060,67.973850,69.013510,69.499100", \ "53.586300,59.001280,61.847200,64.776170,66.990500,68.078910,68.511680", \ "53.025360,57.987610,61.019090,64.018560,66.278650,67.445970,67.916140", \ "54.214080,58.895050,61.688410,64.719130,67.145980,68.463800,69.042200", \ "55.474060,59.422330,62.341560,66.374050,69.719090,71.213640,71.924900", \ "59.851280,62.545670,64.877800,68.497800,72.355710,75.857990,76.777190", \ "67.530870,68.690540,70.477490,73.686910,77.556440,80.865410,83.766270"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,59.509400,119.019000,238.037000,476.075000,952.150000,1904.300000"); values ("38.391870,41.330590,42.247500,43.520950,44.086250,43.209600,42.461110", \ "38.010930,40.744210,41.617050,42.519970,43.320470,42.461510,43.521400", \ "37.013210,38.733810,39.593410,40.429370,41.450950,42.671830,42.158250", \ "38.005340,38.938230,39.462000,39.788490,41.198880,41.490670,42.238480", \ "41.039910,42.461170,42.414410,42.076180,42.679490,42.021290,44.022730", \ "45.049760,47.626410,47.722820,47.282840,47.191800,47.084220,48.622000", \ "51.215780,55.167090,55.402860,55.757730,55.079340,54.751040,54.744060"); } } } } /****************************************************************************************** Module : CLKBUF_X1 Cell Description : Combinational cell (CLKBUF_X1) with drive strength X1 *******************************************************************************************/ cell (CLKBUF_X1) { drive_strength : 1; area : 0.798000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 8.438935; leakage_power () { when : "!A"; value : 8.430670; } leakage_power () { when : "A"; value : 8.447200; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 0.757742; fall_capacitance : 0.658443; rise_capacitance : 0.757742; } pin (Z) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 60.695900; function : "A"; timing () { related_pin : "A"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("0.0695673,0.0827002,0.0954798,0.117467,0.157422,0.234419,0.387435", \ "0.0744651,0.0875948,0.100380,0.122371,0.162334,0.239328,0.392347", \ "0.0929574,0.106033,0.118814,0.140836,0.180831,0.257849,0.410886", \ "0.128160,0.141448,0.154325,0.176454,0.216516,0.293569,0.446629", \ "0.168773,0.184043,0.197861,0.221131,0.261982,0.339024,0.491898", \ "0.210156,0.227902,0.243457,0.268352,0.310346,0.388196,0.541016", \ "0.251523,0.272108,0.289895,0.317029,0.360771,0.439261,0.592466"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("0.0531082,0.0687391,0.0858145,0.118409,0.182714,0.310924,0.566966", \ "0.0581571,0.0737856,0.0908611,0.123480,0.187786,0.315979,0.572020", \ "0.0768094,0.0922935,0.109327,0.141951,0.206328,0.334600,0.590676", \ "0.106998,0.123066,0.140103,0.172616,0.236893,0.365184,0.621293", \ "0.138521,0.156555,0.173897,0.206611,0.270735,0.398768,0.654798", \ "0.168328,0.189402,0.208424,0.241753,0.305657,0.433478,0.689277", \ "0.195222,0.219770,0.241332,0.276270,0.340230,0.467618,0.723194"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("0.0137003,0.0204209,0.0283071,0.0440673,0.0765174,0.143900,0.280809", \ "0.0137025,0.0204226,0.0283086,0.0440676,0.0765288,0.143893,0.280807", \ "0.0137386,0.0204746,0.0283480,0.0440852,0.0765269,0.143895,0.280817", \ "0.0152363,0.0213835,0.0289232,0.0443875,0.0766281,0.143915,0.280811", \ "0.0198951,0.0257135,0.0326850,0.0471624,0.0780732,0.144141,0.280800", \ "0.0258530,0.0314200,0.0378267,0.0512257,0.0808562,0.145841,0.281030", \ "0.0326507,0.0384659,0.0445513,0.0568580,0.0846168,0.147700,0.282342"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("0.0149765,0.0260882,0.0405300,0.0705613,0.131799,0.254615,0.500108", \ "0.0149697,0.0260901,0.0405297,0.0705674,0.131796,0.254596,0.500123", \ "0.0150570,0.0261490,0.0405604,0.0705863,0.131790,0.254626,0.500109", \ "0.0175402,0.0275422,0.0412353,0.0707081,0.131805,0.254622,0.500108", \ "0.0222977,0.0310656,0.0435514,0.0717519,0.132023,0.254566,0.500117", \ "0.0285141,0.0367094,0.0476419,0.0736666,0.132738,0.254789,0.500112", \ "0.0354543,0.0441063,0.0540307,0.0773160,0.133931,0.255423,0.500275"); } } internal_power () { related_pin : "A"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("1.620720,1.758807,1.835648,1.897518,1.927637,1.943194,1.947728", \ "1.607073,1.749325,1.830267,1.881554,1.914308,1.930039,1.935520", \ "1.587693,1.719222,1.796564,1.861298,1.893691,1.910415,1.917416", \ "1.592580,1.716218,1.794868,1.854346,1.892548,1.914444,1.925723", \ "1.565961,1.714587,1.801317,1.889065,1.929148,1.957790,1.971421", \ "1.616684,1.731697,1.823127,1.921574,2.013206,2.042587,2.059214", \ "1.731379,1.822379,1.906157,2.001043,2.088119,2.176395,2.195231"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("1.088711,1.159005,1.187719,1.182618,1.171939,1.187615,1.216294", \ "1.072932,1.147184,1.160080,1.150695,1.202998,1.155352,1.184859", \ "1.041164,1.103118,1.129926,1.161988,1.129410,1.153000,1.183373", \ "1.041465,1.093880,1.094818,1.109144,1.120427,1.128711,1.163209", \ "1.083728,1.145449,1.147227,1.119634,1.179946,1.163076,1.184885", \ "1.161191,1.240620,1.265453,1.247121,1.247318,1.230774,1.278897", \ "1.273446,1.380382,1.402051,1.412340,1.393427,1.353435,1.400703"); } } } } /****************************************************************************************** Module : CLKBUF_X2 Cell Description : Combinational cell (CLKBUF_X2) with drive strength X2 *******************************************************************************************/ cell (CLKBUF_X2) { drive_strength : 2; area : 1.064000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 17.237911; leakage_power () { when : "!A"; value : 17.332370; } leakage_power () { when : "A"; value : 17.143453; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.361247; fall_capacitance : 1.149877; rise_capacitance : 1.361247; } pin (Z) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 121.306600; function : "A"; timing () { related_pin : "A"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); values ("0.0637662,0.0779622,0.0902513,0.111730,0.151301,0.228167,0.381178", \ "0.0686030,0.0827999,0.0950941,0.116577,0.156150,0.233020,0.386029", \ "0.0871606,0.101274,0.113574,0.135091,0.174702,0.251596,0.404633", \ "0.121509,0.136119,0.148566,0.170197,0.209876,0.286786,0.439846", \ "0.160172,0.176847,0.190207,0.212927,0.253406,0.330299,0.483160", \ "0.199656,0.219079,0.233953,0.258140,0.299514,0.377109,0.529961", \ "0.239320,0.261871,0.278847,0.305110,0.348022,0.426043,0.579234"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); values ("0.0467022,0.0638213,0.0806476,0.113126,0.177382,0.305563,0.561631", \ "0.0517390,0.0688423,0.0856773,0.118177,0.182436,0.310616,0.566687", \ "0.0702540,0.0871993,0.103993,0.136512,0.200859,0.329104,0.585217", \ "0.0980736,0.115753,0.132549,0.164941,0.229236,0.357495,0.613619", \ "0.126192,0.146026,0.162977,0.195463,0.259535,0.387547,0.643631", \ "0.152111,0.175348,0.193779,0.226649,0.290437,0.418319,0.674108", \ "0.174720,0.201837,0.222703,0.256906,0.320589,0.448020,0.703605"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); values ("0.0123980,0.0198585,0.0277443,0.0436018,0.0763404,0.144026,0.280988", \ "0.0124015,0.0198609,0.0277485,0.0436026,0.0763394,0.144020,0.280986", \ "0.0124430,0.0199165,0.0277834,0.0436190,0.0763426,0.144018,0.280982", \ "0.0143422,0.0210981,0.0285084,0.0439742,0.0764640,0.144037,0.280990", \ "0.0189462,0.0252712,0.0321960,0.0467505,0.0779877,0.144284,0.280986", \ "0.0248014,0.0308610,0.0371575,0.0505625,0.0804890,0.146003,0.281310", \ "0.0315114,0.0377798,0.0436825,0.0559578,0.0839780,0.147649,0.282676"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); values ("0.0133306,0.0259157,0.0405887,0.0708588,0.132143,0.254910,0.500399", \ "0.0133330,0.0259181,0.0405933,0.0708675,0.132134,0.254904,0.500409", \ "0.0134934,0.0259974,0.0406164,0.0708581,0.132130,0.254911,0.500406", \ "0.0162850,0.0274256,0.0413280,0.0710073,0.132131,0.254897,0.500437", \ "0.0211248,0.0307145,0.0433639,0.0719363,0.132430,0.254881,0.500409", \ "0.0272739,0.0362088,0.0471632,0.0736078,0.133080,0.255230,0.500409", \ "0.0342151,0.0435602,0.0533537,0.0769282,0.134164,0.255804,0.500618"); } } internal_power () { related_pin : "A"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); values ("3.123672,3.421742,3.539576,3.643693,3.692275,3.718004,3.725619", \ "3.108922,3.385183,3.521965,3.609795,3.659005,3.687364,3.694360", \ "3.051739,3.331215,3.458575,3.557007,3.619406,3.648292,3.660900", \ "3.082941,3.320404,3.452832,3.554096,3.625898,3.665096,3.686717", \ "3.030016,3.298970,3.467326,3.638371,3.714079,3.761130,3.788397", \ "3.136544,3.358189,3.528584,3.702344,3.887241,3.952604,3.981739", \ "3.393279,3.563510,3.719088,3.889412,4.049134,4.235136,4.274666"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); values ("2.145056,2.302683,2.322846,2.323491,2.417234,2.317210,2.367346", \ "2.108863,2.244176,2.327637,2.252726,2.351352,2.386045,2.301122", \ "2.044452,2.179449,2.198319,2.231094,2.203964,2.244961,2.300238", \ "2.048704,2.169979,2.187649,2.233859,2.285251,2.266932,2.327979", \ "2.146730,2.283687,2.285391,2.301105,2.343781,2.270028,2.343353", \ "2.283961,2.493578,2.506485,2.477900,2.510646,2.502434,2.525222", \ "2.531672,2.791157,2.851969,2.814017,2.782628,2.695602,2.780164"); } } } } /****************************************************************************************** Module : CLKBUF_X3 Cell Description : Combinational cell (CLKBUF_X3) with drive strength X3 *******************************************************************************************/ cell (CLKBUF_X3) { drive_strength : 3; area : 1.330000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 22.979517; leakage_power () { when : "!A"; value : 23.168600; } leakage_power () { when : "A"; value : 22.790433; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.379920; fall_capacitance : 1.171624; rise_capacitance : 1.379920; } pin (Z) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 181.866500; function : "A"; timing () { related_pin : "A"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,5.683910,11.367800,22.735600,45.471300,90.942500,181.885000"); values ("0.0761643,0.0928606,0.106213,0.128856,0.169375,0.246584,0.399463", \ "0.0810770,0.0977745,0.111131,0.133776,0.174296,0.251509,0.404386", \ "0.0994972,0.116131,0.129490,0.152163,0.192713,0.269934,0.422818", \ "0.135759,0.152287,0.165603,0.188318,0.228925,0.306257,0.459141", \ "0.180079,0.198840,0.212869,0.236497,0.277575,0.354826,0.507616", \ "0.225670,0.247375,0.263067,0.288247,0.330559,0.408495,0.560940", \ "0.271704,0.296739,0.314568,0.341894,0.385896,0.464447,0.617326"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,5.683910,11.367800,22.735600,45.471300,90.942500,181.885000"); values ("0.0526558,0.0718685,0.0892260,0.121990,0.186296,0.314361,0.570180", \ "0.0577444,0.0769507,0.0943103,0.127091,0.191401,0.319470,0.575277", \ "0.0764594,0.0954959,0.112808,0.145602,0.209978,0.338117,0.593968", \ "0.107417,0.127082,0.144370,0.177027,0.241299,0.369515,0.625403", \ "0.139727,0.162024,0.179654,0.212558,0.276591,0.404394,0.660270", \ "0.170434,0.196448,0.215796,0.249356,0.313146,0.440668,0.696069", \ "0.198256,0.228355,0.250309,0.285542,0.349393,0.476396,0.731407"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,5.683910,11.367800,22.735600,45.471300,90.942500,181.885000"); values ("0.0148249,0.0227590,0.0306036,0.0462047,0.0783001,0.145129,0.281564", \ "0.0148273,0.0227579,0.0306060,0.0462046,0.0782973,0.145136,0.281562", \ "0.0148363,0.0227933,0.0306362,0.0462225,0.0783037,0.145125,0.281559", \ "0.0157873,0.0233009,0.0309820,0.0464128,0.0783752,0.145139,0.281564", \ "0.0210407,0.0277373,0.0346751,0.0489993,0.0795376,0.145346,0.281569", \ "0.0276538,0.0339945,0.0402959,0.0535333,0.0828097,0.147024,0.281719", \ "0.0352146,0.0417588,0.0475756,0.0596758,0.0870359,0.149353,0.283104"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,5.683910,11.367800,22.735600,45.471300,90.942500,181.885000"); values ("0.0142585,0.0270791,0.0413787,0.0711561,0.132133,0.254677,0.499858", \ "0.0142584,0.0270772,0.0413749,0.0711525,0.132118,0.254672,0.499851", \ "0.0143198,0.0271366,0.0414111,0.0711628,0.132124,0.254676,0.499850", \ "0.0171150,0.0285337,0.0420844,0.0713233,0.132141,0.254670,0.499853", \ "0.0224955,0.0324386,0.0447520,0.0725921,0.132412,0.254655,0.499863", \ "0.0292130,0.0386405,0.0493644,0.0749035,0.133308,0.254972,0.499848", \ "0.0368343,0.0467783,0.0564765,0.0791387,0.134810,0.255647,0.500050"); } } internal_power () { related_pin : "A"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,5.683910,11.367800,22.735600,45.471300,90.942500,181.885000"); values ("3.819636,4.226060,4.415689,4.580644,4.686753,4.732504,4.752384", \ "3.794589,4.184449,4.392317,4.564034,4.658208,4.708280,4.726595", \ "3.757470,4.126298,4.336295,4.507706,4.614626,4.663518,4.690543", \ "3.772913,4.110314,4.300660,4.467442,4.593448,4.662726,4.696983", \ "3.798944,4.140041,4.345992,4.535180,4.656821,4.738251,4.781604", \ "3.977033,4.207021,4.368520,4.604779,4.823518,4.902235,4.951432", \ "4.293647,4.411286,4.573201,4.784482,4.985151,5.168235,5.226057"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,5.683910,11.367800,22.735600,45.471300,90.942500,181.885000"); values ("2.935705,3.259876,3.369566,3.378625,3.356005,3.413690,3.318221", \ "2.899200,3.226972,3.317936,3.300477,3.464246,3.334810,3.441978", \ "2.865753,3.150672,3.248016,3.323559,3.272449,3.353111,3.262630", \ "2.870792,3.094668,3.183689,3.179435,3.190819,3.253297,3.376222", \ "2.926392,3.225006,3.273148,3.314323,3.309347,3.416218,3.350473", \ "3.083774,3.411584,3.505816,3.434668,3.423978,3.545607,3.591451", \ "3.378507,3.714131,3.761165,3.814074,3.801897,3.725553,3.690523"); } } } } /****************************************************************************************** Module : CLKGATETST_X1 Cell Description : Pos.edge clock gating cell with pre scan, drive strength X1 *******************************************************************************************/ cell (CLKGATETST_X1) { drive_strength : 1; statetable ("CK E SE","IQ") { table : "L L L : - : L ,\ L L H : - : H ,\ L H L : - : H ,\ L H H : - : H ,\ H - - : - : N " ; } clock_gating_integrated_cell : latch_posedge_precontrol; area : 3.990000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 39.657409; leakage_power () { when : "!CK & !E & !SE & !GCK"; value : 45.174400; } leakage_power () { when : "!CK & !E & SE & !GCK"; value : 38.963651; } leakage_power () { when : "!CK & E & !SE & !GCK"; value : 38.292020; } leakage_power () { when : "!CK & E & SE & !GCK"; value : 40.013934; } leakage_power () { when : "CK & !E & !SE & !GCK"; value : 44.231249; } leakage_power () { when : "CK & !E & !SE & GCK"; value : 53.807116; } leakage_power () { when : "CK & !E & SE & !GCK"; value : 34.968626; } leakage_power () { when : "CK & !E & SE & GCK"; value : 36.580833; } leakage_power () { when : "CK & E & !SE & !GCK"; value : 34.297090; } leakage_power () { when : "CK & E & !SE & GCK"; value : 35.909392; } leakage_power () { when : "CK & E & SE & !GCK"; value : 36.019193; } leakage_power () { when : "CK & E & SE & GCK"; value : 37.631400; } pin (IQ) { direction : internal; internal_node : IQ; } pin (CK) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock_gate_clock_pin : true; capacitance : 1.743830; fall_capacitance : 1.575015; rise_capacitance : 1.743830; timing () { related_pin : "CK"; timing_type : min_pulse_width; fall_constraint(Pulse_width_3) { index_1 ("0.00231025,0.112657,0.500000"); values ("0.296909,0.299900,0.520512"); } } internal_power () { when : "!E & !SE & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.789279,2.761574,2.738438,2.768477,2.860662,3.024827,3.259690"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.505517,1.487896,1.450517,1.453704,1.519447,1.658827,1.892185"); } } internal_power () { when : "!E & SE & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.310477,5.277401,5.254082,5.291083,5.387173,5.550050,5.804950"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "E & !SE & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.313054,5.278523,5.256285,5.292923,5.388816,5.550570,5.803076"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "E & SE & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.310459,5.277613,5.254267,5.290408,5.387234,5.549199,5.801726"); } rise_power(scalar) {values ("0.0"); } } } pin (E) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock_gate_enable_pin : true; capacitance : 0.853210; fall_capacitance : 0.811359; rise_capacitance : 0.853210; timing () { related_pin : "CK"; timing_type : hold_rising; fall_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.111449,-0.083061,-0.111229", \ "-0.159437,-0.126035,-0.160958", \ "0.129655,0.190382,0.124101"); } rise_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.007611,0.019713,0.043079", \ "0.016277,0.028226,0.018455", \ "0.178765,0.193727,0.160126"); } } timing () { related_pin : "CK"; timing_type : setup_rising; fall_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.199231,0.183309,0.209883", \ "0.255653,0.240199,0.266602", \ "0.407904,0.390349,0.415680"); } rise_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.274034,0.212714,0.279609", \ "0.322762,0.262042,0.329027", \ "0.371166,0.310441,0.376738"); } } internal_power () { when : "!CK & !SE & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.495223,4.474285,4.449702,4.437764,4.441991,4.484019,4.575189"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.533784,3.514280,3.485699,3.476911,3.505558,3.601298,3.745206"); } } internal_power () { when : "!CK & SE & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.356683,0.359060,0.360031,0.360429,0.360293,0.361481,0.360846"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.246491,-0.270495,-0.298905,-0.313636,-0.321065,-0.326108,-0.329180"); } } internal_power () { when : "CK & !SE & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.847503,1.826525,1.803642,1.791968,1.800664,1.852437,1.942273"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.803301,0.784610,0.764566,0.764950,0.802205,0.892921,1.045147"); } } internal_power () { when : "CK & !SE & GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.794160,1.773725,1.750830,1.739960,1.748927,1.799087,1.894219"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.792636,0.774190,0.752675,0.749348,0.784522,0.869510,1.021115"); } } internal_power () { when : "CK & SE & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.356329,0.358921,0.359565,0.360222,0.360157,0.361291,0.360732"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.247322,-0.270815,-0.299579,-0.314063,-0.321692,-0.326424,-0.329821"); } } internal_power () { when : "CK & SE & GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.356085,0.358796,0.359689,0.360143,0.360084,0.361193,0.360646"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.247360,-0.270861,-0.299616,-0.314094,-0.321735,-0.326484,-0.329868"); } } } pin (SE) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock_gate_test_pin : true; capacitance : 0.759421; fall_capacitance : 0.708611; rise_capacitance : 0.759421; timing () { related_pin : "CK"; timing_type : hold_rising; fall_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.101546,-0.073686,-0.101355", \ "-0.148593,-0.118549,-0.150455", \ "0.147390,0.208353,0.142229"); } rise_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.007830,0.020144,0.044800", \ "0.034169,0.046698,0.028839", \ "0.207568,0.218915,0.189556"); } } timing () { related_pin : "CK"; timing_type : setup_rising; fall_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.184882,0.169071,0.195613", \ "0.237798,0.222412,0.248953", \ "0.414871,0.396447,0.420715"); } rise_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.270981,0.209619,0.277015", \ "0.315990,0.255177,0.321837", \ "0.353430,0.292469,0.358610"); } } internal_power () { when : "!CK & !E & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.219019,4.184686,4.162806,4.183045,4.246316,4.363649,4.540053"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.299246,3.298213,3.274977,3.265898,3.303789,3.416144,3.586806"); } } internal_power () { when : "!CK & E & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.104742,0.106007,0.105956,0.105840,0.105521,0.105744,0.105400"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.101324,-0.101095,-0.100736,-0.100577,-0.100711,-0.100889,-0.101165"); } } internal_power () { when : "CK & !E & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.572122,1.539946,1.517464,1.540543,1.610186,1.735366,1.907040"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.566991,0.567514,0.557267,0.558042,0.604082,0.711618,0.886915"); } } internal_power () { when : "CK & !E & GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.519551,1.486783,1.463605,1.488997,1.559661,1.685684,1.860745"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.559472,0.559796,0.544279,0.540760,0.584226,0.688489,0.863995"); } } internal_power () { when : "CK & E & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.104588,0.105682,0.105659,0.105353,0.105283,0.105533,0.105252"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.101804,-0.101449,-0.101083,-0.101059,-0.101187,-0.101377,-0.101613"); } } internal_power () { when : "CK & E & GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.101435,0.102523,0.102488,0.102402,0.102146,0.102327,0.102046"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.101023,-0.100675,-0.100299,-0.100243,-0.100318,-0.100422,-0.100580"); } } } pin (GCK) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 60.730000; state_function : "(IQ & CK)"; clock_gate_out_pin : true; timing () { related_pin : "CK"; when : "!E & SE"; sdf_cond : "(E == 1'b0) && (SE == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("0.075353,0.089319,0.102681,0.125288,0.165731,0.242912,0.395933", \ "0.079722,0.093687,0.107057,0.129673,0.170115,0.247307,0.400336", \ "0.097740,0.111635,0.124998,0.147638,0.188120,0.265328,0.418367", \ "0.134086,0.148077,0.161482,0.184211,0.224777,0.302016,0.455084", \ "0.178335,0.194290,0.208917,0.232757,0.274009,0.351315,0.504238", \ "0.225787,0.244106,0.260359,0.285766,0.328458,0.406767,0.559657", \ "0.276245,0.297423,0.315759,0.343297,0.387906,0.466926,0.620654"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("0.052544,0.068414,0.085598,0.118247,0.182505,0.310667,0.566778", \ "0.056915,0.072781,0.089963,0.122628,0.186900,0.315042,0.571216", \ "0.073305,0.089012,0.106153,0.138829,0.203156,0.331388,0.587524", \ "0.096777,0.113214,0.130479,0.163162,0.227442,0.355645,0.611905", \ "0.117624,0.135864,0.153526,0.186236,0.250655,0.378885,0.634983", \ "0.132908,0.154187,0.173317,0.206403,0.270375,0.398777,0.654681", \ "0.141870,0.166767,0.188393,0.222891,0.286727,0.414357,0.670562"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("0.014025,0.020992,0.028970,0.044635,0.076784,0.143801,0.280609", \ "0.014025,0.020993,0.028970,0.044631,0.076784,0.143793,0.280618", \ "0.014053,0.021038,0.029005,0.044650,0.076785,0.143806,0.280594", \ "0.015137,0.021680,0.029434,0.044897,0.076888,0.143826,0.280602", \ "0.019596,0.025786,0.032943,0.047486,0.078163,0.144052,0.280642", \ "0.025072,0.031113,0.037826,0.051455,0.081117,0.145713,0.280786", \ "0.031279,0.037580,0.043992,0.056739,0.084834,0.147846,0.282203"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("0.014363,0.025503,0.039898,0.069862,0.130992,0.253767,0.499516", \ "0.014372,0.025509,0.039912,0.069866,0.131004,0.253773,0.499559", \ "0.014478,0.025585,0.039935,0.069880,0.130992,0.253795,0.499471", \ "0.016846,0.026979,0.040810,0.070126,0.130985,0.253790,0.499547", \ "0.021444,0.029975,0.042510,0.071039,0.131533,0.253823,0.499445", \ "0.027342,0.035402,0.046101,0.072398,0.132037,0.254360,0.499473", \ "0.033945,0.042557,0.052157,0.075459,0.132844,0.254884,0.499973"); } } timing () { related_pin : "CK"; when : "E & !SE"; sdf_cond : "(E == 1'b1) && (SE == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("0.075353,0.089319,0.102681,0.125288,0.165733,0.242912,0.395934", \ "0.079722,0.093687,0.107057,0.129673,0.170115,0.247307,0.400336", \ "0.097740,0.111635,0.124998,0.147638,0.188120,0.265328,0.418367", \ "0.134086,0.148077,0.161482,0.184211,0.224777,0.302016,0.455084", \ "0.178335,0.194290,0.208917,0.232757,0.274009,0.351315,0.504238", \ "0.225787,0.244106,0.260359,0.285766,0.328441,0.406767,0.559657", \ "0.276245,0.297423,0.315759,0.343297,0.387906,0.466926,0.620654"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("0.052546,0.068414,0.085598,0.118247,0.182506,0.310652,0.566777", \ "0.056915,0.072781,0.089963,0.122628,0.186900,0.315042,0.571216", \ "0.073305,0.089012,0.106153,0.138829,0.203156,0.331388,0.587524", \ "0.096777,0.113214,0.130479,0.163162,0.227442,0.355645,0.611905", \ "0.117624,0.135864,0.153526,0.186236,0.250655,0.378885,0.634983", \ "0.132908,0.154187,0.173317,0.206403,0.270375,0.398777,0.654681", \ "0.141870,0.166767,0.188393,0.222891,0.286727,0.414357,0.670627"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("0.014025,0.020992,0.028970,0.044635,0.076801,0.143801,0.280610", \ "0.014025,0.020993,0.028970,0.044631,0.076784,0.143793,0.280618", \ "0.014053,0.021038,0.029005,0.044650,0.076785,0.143806,0.280594", \ "0.015137,0.021680,0.029434,0.044897,0.076888,0.143826,0.280602", \ "0.019596,0.025786,0.032943,0.047486,0.078163,0.144052,0.280642", \ "0.025072,0.031113,0.037826,0.051455,0.081119,0.145713,0.280786", \ "0.031279,0.037580,0.043992,0.056740,0.084834,0.147846,0.282203"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("0.014366,0.025503,0.039898,0.069862,0.130991,0.253785,0.499507", \ "0.014372,0.025509,0.039912,0.069866,0.131004,0.253773,0.499559", \ "0.014478,0.025585,0.039935,0.069880,0.130992,0.253795,0.499472", \ "0.016846,0.026979,0.040810,0.070126,0.130985,0.253790,0.499547", \ "0.021444,0.029975,0.042510,0.071039,0.131533,0.253823,0.499445", \ "0.027342,0.035402,0.046101,0.072398,0.132037,0.254360,0.499473", \ "0.033945,0.042557,0.052157,0.075459,0.132844,0.254884,0.499981"); } } timing () { related_pin : "CK"; when : "E & SE"; sdf_cond : "(E == 1'b1) && (SE == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("0.075353,0.089319,0.102681,0.125288,0.165730,0.242912,0.395934", \ "0.079722,0.093687,0.107056,0.129673,0.170114,0.247306,0.400336", \ "0.097740,0.111635,0.124998,0.147638,0.188123,0.265329,0.418375", \ "0.134086,0.148077,0.161481,0.184211,0.224777,0.302016,0.455084", \ "0.178335,0.194290,0.208916,0.232757,0.274009,0.351315,0.504238", \ "0.225787,0.244106,0.260359,0.285766,0.328457,0.406767,0.559657", \ "0.276245,0.297423,0.315759,0.343297,0.387906,0.466926,0.620654"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("0.052544,0.068413,0.085598,0.118245,0.182503,0.310687,0.566776", \ "0.056917,0.072780,0.089962,0.122625,0.186899,0.315050,0.571163", \ "0.073305,0.089012,0.106153,0.138828,0.203156,0.331388,0.587523", \ "0.096775,0.113214,0.130478,0.163162,0.227442,0.355645,0.611903", \ "0.117618,0.135864,0.153536,0.186236,0.250655,0.378885,0.634983", \ "0.132908,0.154187,0.173317,0.206403,0.270375,0.398775,0.654681", \ "0.141870,0.166767,0.188393,0.222891,0.286727,0.414357,0.670562"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("0.014025,0.020992,0.028971,0.044635,0.076785,0.143801,0.280609", \ "0.014025,0.020993,0.028971,0.044631,0.076784,0.143793,0.280617", \ "0.014053,0.021038,0.029005,0.044651,0.076796,0.143805,0.280601", \ "0.015137,0.021680,0.029434,0.044896,0.076889,0.143826,0.280602", \ "0.019596,0.025786,0.032943,0.047486,0.078149,0.144052,0.280642", \ "0.025072,0.031113,0.037826,0.051455,0.081118,0.145713,0.280786", \ "0.031280,0.037580,0.043992,0.056740,0.084834,0.147846,0.282202"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("0.014358,0.025503,0.039899,0.069862,0.131018,0.253804,0.499516", \ "0.014372,0.025509,0.039912,0.069869,0.130992,0.253747,0.499507", \ "0.014478,0.025585,0.039935,0.069880,0.130992,0.253795,0.499472", \ "0.016844,0.026979,0.040810,0.070126,0.130985,0.253790,0.499490", \ "0.021451,0.029975,0.042495,0.071039,0.131533,0.253823,0.499445", \ "0.027343,0.035402,0.046101,0.072398,0.132038,0.254359,0.499473", \ "0.033946,0.042557,0.052157,0.075459,0.132844,0.254884,0.499973"); } } timing () { related_pin : "CK"; timing_type : combinational_fall; when : "!E & !SE"; sdf_cond : "(E == 1'b0) && (SE == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("0.076001,0.090492,0.104271,0.126780,0.166328,0.242802,0.395612", \ "0.080385,0.094883,0.108663,0.131171,0.170722,0.247203,0.400011", \ "0.098386,0.112793,0.126583,0.149163,0.188759,0.265253,0.418083", \ "0.134830,0.149303,0.163159,0.185713,0.225332,0.301870,0.454696", \ "0.179534,0.196142,0.211112,0.234299,0.273901,0.350267,0.503022", \ "0.227334,0.246489,0.262943,0.287067,0.327029,0.403752,0.556220", \ "0.278628,0.300637,0.318743,0.343931,0.384150,0.460527,0.613362"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("0.014568,0.021860,0.029512,0.043913,0.075006,0.142254,0.279322", \ "0.014574,0.021860,0.029503,0.043901,0.075007,0.142247,0.279323", \ "0.014584,0.021913,0.029585,0.043975,0.075047,0.142255,0.279317", \ "0.015664,0.022526,0.029945,0.044108,0.075065,0.142246,0.279322", \ "0.020395,0.026743,0.033089,0.045714,0.075512,0.142298,0.279328", \ "0.026264,0.032167,0.037325,0.048083,0.076648,0.142871,0.279313", \ "0.032825,0.038411,0.042269,0.050880,0.077712,0.143278,0.279829"); } } internal_power () { related_pin : "CK"; when : "!E & !SE"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("4.187333,5.057128,5.775987,6.423957,6.584040,6.626162,6.651207", \ "4.105828,5.014140,5.727738,6.354838,6.519592,6.564979,6.589807", \ "4.044930,4.939653,5.639456,6.299343,6.456843,6.504692,6.530980", \ "4.100593,4.976713,5.674510,6.332085,6.498209,6.547722,6.578529", \ "4.165319,5.079573,5.861352,6.490685,6.661602,6.715729,6.750656", \ "4.405003,5.289440,6.017702,6.697827,6.965084,7.028872,7.063283", \ "4.784362,5.643979,6.354984,7.026640,7.302207,7.481083,7.519579"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "CK"; when : "!E & SE"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("3.734929,4.110861,4.295684,4.420236,4.473311,4.490480,4.494736", \ "3.675032,4.038646,4.229760,4.357140,4.411188,4.428597,4.433225", \ "3.601301,3.979101,4.165209,4.292530,4.350410,4.370395,4.377802", \ "3.642505,4.012645,4.197249,4.329426,4.391173,4.415488,4.426262", \ "3.678609,4.088686,4.333856,4.488698,4.554310,4.585090,4.597282", \ "3.852697,4.219859,4.458007,4.682258,4.846905,4.878101,4.894909", \ "4.177216,4.527255,4.758879,5.004880,5.180117,5.325376,5.340034"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("2.485409,2.920468,3.072983,3.107196,3.076983,3.121126,3.112519", \ "2.438118,2.877573,3.039298,3.044597,3.081722,3.022554,3.051090", \ "2.367389,2.793651,2.960721,2.984868,2.996822,3.006756,2.968097", \ "2.442882,2.835164,2.947136,2.970143,3.013356,2.960817,2.992989", \ "2.679053,3.017155,3.089250,3.112226,3.064592,3.132666,3.117863", \ "2.989005,3.338675,3.430705,3.425588,3.342361,3.354550,3.404142", \ "3.375018,3.770353,3.878845,3.870307,3.856589,3.775155,3.804423"); } } internal_power () { related_pin : "CK"; when : "E & !SE"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("3.734942,4.110864,4.295683,4.420229,4.474858,4.490485,4.494843", \ "3.675006,4.038650,4.229709,4.357136,4.411183,4.428591,4.433226", \ "3.601307,3.979104,4.165209,4.292520,4.350410,4.370391,4.377798", \ "3.642511,4.012649,4.197247,4.329423,4.391168,4.415488,4.426660", \ "3.678615,4.088690,4.333855,4.488691,4.554311,4.585084,4.597276", \ "3.852702,4.219863,4.458008,4.682252,4.845539,4.878098,4.894907", \ "4.177221,4.527259,4.758879,5.004880,5.180103,5.325368,5.340027"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("2.485516,2.920555,3.073177,3.107187,3.076964,3.085013,3.112584", \ "2.438078,2.877578,3.039339,3.044831,3.082139,3.022556,3.051082", \ "2.367392,2.793556,2.960486,2.984563,2.996388,3.007016,2.967640", \ "2.442938,2.835165,2.947134,2.970146,3.013352,2.960820,2.992989", \ "2.679056,3.017162,3.089243,3.112227,3.064592,3.132674,3.117862", \ "2.989008,3.338646,3.430689,3.425580,3.342173,3.354570,3.404140", \ "3.375020,3.770354,3.878846,3.870304,3.856581,3.774803,3.806890"); } } internal_power () { related_pin : "CK"; when : "E & SE"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("3.734836,4.111155,4.295775,4.420246,4.473188,4.490462,4.494852", \ "3.674243,4.038910,4.232076,4.357178,4.411140,4.428604,4.433196", \ "3.601169,3.979363,4.165330,4.292628,4.350110,4.370362,4.379041", \ "3.642368,4.012909,4.197346,4.329474,4.391166,4.415503,4.426286", \ "3.678494,4.088915,4.333984,4.488731,4.554592,4.585082,4.597290", \ "3.852598,4.220075,4.458139,4.682364,4.845604,4.877909,4.894784", \ "4.177140,4.527436,4.759008,5.004932,5.180007,5.325356,5.339719"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("2.485491,2.917721,3.069303,3.106596,3.076879,3.085469,3.112491", \ "2.438203,2.877407,3.038368,3.044818,3.082684,3.022412,3.049527", \ "2.367475,2.793561,2.960731,2.984407,2.996824,3.006778,2.968108", \ "2.442858,2.834992,2.946825,2.970099,3.013318,2.960820,2.994015", \ "2.679023,3.017005,3.086824,3.112214,3.064583,3.133508,3.117856", \ "2.988862,3.338537,3.431314,3.425322,3.342362,3.354434,3.404146", \ "3.374872,3.770224,3.878809,3.870292,3.856566,3.775137,3.804424"); } } } } /****************************************************************************************** Module : CLKGATETST_X2 Cell Description : Pos.edge clock gating cell with pre scan, drive strength X2 *******************************************************************************************/ cell (CLKGATETST_X2) { drive_strength : 2; statetable ("CK E SE","IQ") { table : "L L L : - : L ,\ L L H : - : H ,\ L H L : - : H ,\ L H H : - : H ,\ H - - : - : N " ; } clock_gating_integrated_cell : latch_posedge_precontrol; area : 4.256000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 51.987893; leakage_power () { when : "!CK & !E & !SE & !GCK"; value : 52.624205; } leakage_power () { when : "!CK & !E & SE & !GCK"; value : 53.613886; } leakage_power () { when : "!CK & E & !SE & !GCK"; value : 52.942255; } leakage_power () { when : "!CK & E & SE & !GCK"; value : 54.664168; } leakage_power () { when : "CK & !E & !SE & !GCK"; value : 53.981204; } leakage_power () { when : "CK & !E & !SE & GCK"; value : 68.213211; } leakage_power () { when : "CK & !E & SE & !GCK"; value : 44.712975; } leakage_power () { when : "CK & !E & SE & GCK"; value : 50.972962; } leakage_power () { when : "CK & E & !SE & !GCK"; value : 44.041439; } leakage_power () { when : "CK & E & !SE & GCK"; value : 50.301426; } leakage_power () { when : "CK & E & SE & !GCK"; value : 45.763542; } leakage_power () { when : "CK & E & SE & GCK"; value : 52.023434; } pin (IQ) { direction : internal; internal_node : IQ; } pin (CK) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock_gate_clock_pin : true; capacitance : 2.729200; fall_capacitance : 2.489427; rise_capacitance : 2.729200; timing () { related_pin : "CK"; timing_type : min_pulse_width; fall_constraint(Pulse_width_3) { index_1 ("0.00231025,0.112657,0.500000"); values ("0.328659,0.328451,0.551350"); } } internal_power () { when : "!E & !SE & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.486756,3.458488,3.432691,3.460599,3.554215,3.715580,3.947361"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.911242,0.895838,0.861547,0.864614,0.927385,1.064334,1.296708"); } } internal_power () { when : "!E & SE & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.987410,5.954209,5.929074,5.961753,6.058990,6.222000,6.468924"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "E & !SE & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.989462,5.956372,5.930966,5.963088,6.061481,6.223378,6.475278"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "E & SE & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.987541,5.954388,5.928980,5.961355,6.059723,6.221953,6.468889"); } rise_power(scalar) {values ("0.0"); } } } pin (E) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock_gate_enable_pin : true; capacitance : 0.847270; fall_capacitance : 0.806613; rise_capacitance : 0.847270; timing () { related_pin : "CK"; timing_type : hold_rising; fall_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.108368,-0.079059,-0.102510", \ "-0.158562,-0.127095,-0.153831", \ "0.108119,0.172411,0.105303"); } rise_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.007508,0.022263,0.048566", \ "0.013899,0.029562,0.024399", \ "0.173124,0.185078,0.161198"); } } timing () { related_pin : "CK"; timing_type : setup_rising; fall_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.193736,0.175571,0.198856", \ "0.250419,0.232397,0.255489", \ "0.399986,0.380401,0.401580"); } rise_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.300291,0.236238,0.303608", \ "0.348621,0.283886,0.351906", \ "0.392702,0.328412,0.395538"); } } internal_power () { when : "!CK & !SE & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.597998,4.576942,4.550947,4.539841,4.543785,4.587336,4.686771"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.419373,3.399569,3.371218,3.361638,3.393194,3.485479,3.636850"); } } internal_power () { when : "!CK & SE & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.356762,0.359506,0.360393,0.360658,0.360552,0.361740,0.360905"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.246488,-0.270419,-0.298691,-0.313494,-0.320867,-0.326046,-0.328998"); } } internal_power () { when : "CK & !SE & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.776054,1.755301,1.732462,1.721386,1.733523,1.783023,1.870758"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.726311,0.707851,0.688063,0.687844,0.727660,0.822364,0.978794"); } } internal_power () { when : "CK & !SE & GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.722644,1.701816,1.677933,1.669502,1.679211,1.730385,1.823858"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.717574,0.698811,0.676838,0.673227,0.710712,0.801617,0.954028"); } } internal_power () { when : "CK & SE & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.356589,0.359215,0.359725,0.360409,0.360403,0.361506,0.360783"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.247272,-0.270635,-0.299419,-0.313877,-0.321548,-0.326311,-0.329698"); } } internal_power () { when : "CK & SE & GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.356485,0.359100,0.359926,0.360345,0.360333,0.361406,0.360697"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.247310,-0.270658,-0.299437,-0.313882,-0.321568,-0.326334,-0.329725"); } } } pin (SE) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock_gate_test_pin : true; capacitance : 0.791949; fall_capacitance : 0.739681; rise_capacitance : 0.791949; timing () { related_pin : "CK"; timing_type : hold_rising; fall_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.098425,-0.069361,-0.092627", \ "-0.146480,-0.116433,-0.142668", \ "0.127121,0.191024,0.123430"); } rise_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.007411,0.022397,0.050953", \ "0.031491,0.045845,0.033801", \ "0.199609,0.211180,0.187840"); } } timing () { related_pin : "CK"; timing_type : setup_rising; fall_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.179080,0.161332,0.184262", \ "0.232565,0.215234,0.237840", \ "0.405687,0.385536,0.405944"); } rise_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.297849,0.233143,0.301014", \ "0.341233,0.277021,0.344715", \ "0.373699,0.309799,0.377410"); } } internal_power () { when : "!CK & !E & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.319405,4.286034,4.263092,4.285219,4.350573,4.470224,4.652628"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.181156,3.180580,3.156361,3.149223,3.190572,3.303402,3.481782"); } } internal_power () { when : "!CK & E & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.104874,0.106122,0.106052,0.105934,0.105542,0.105873,0.105416"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.101175,-0.100887,-0.100524,-0.100501,-0.100581,-0.100763,-0.101044"); } } internal_power () { when : "CK & !E & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.500380,1.466874,1.446055,1.471849,1.543604,1.668358,1.841111"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.489014,0.488616,0.477559,0.480930,0.530794,0.643339,0.824429"); } } internal_power () { when : "CK & !E & GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.447142,1.413074,1.392023,1.419344,1.491244,1.619168,1.796200"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.484280,0.483658,0.466767,0.463868,0.510378,0.620285,0.801675"); } } internal_power () { when : "CK & E & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.104649,0.105639,0.105625,0.105277,0.105195,0.105522,0.105175"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.101672,-0.101282,-0.101000,-0.100969,-0.101086,-0.101276,-0.101511"); } } internal_power () { when : "CK & E & GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.101563,0.102574,0.102558,0.102458,0.102134,0.102414,0.102044"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.100890,-0.100506,-0.100209,-0.100151,-0.100217,-0.100329,-0.100477"); } } } pin (GCK) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 121.361300; state_function : "(IQ & CK)"; clock_gate_out_pin : true; timing () { related_pin : "CK"; when : "!E & SE"; sdf_cond : "(E == 1'b0) && (SE == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); values ("0.070210,0.085546,0.098509,0.120716,0.160846,0.237926,0.390971", \ "0.074545,0.089874,0.102844,0.125057,0.165199,0.242279,0.395315", \ "0.092640,0.107881,0.120845,0.143101,0.183279,0.260381,0.413431", \ "0.128517,0.144038,0.157081,0.179433,0.219669,0.296803,0.449885", \ "0.171033,0.188771,0.203052,0.226567,0.267604,0.344742,0.497618", \ "0.216872,0.237247,0.253024,0.278094,0.320379,0.398430,0.551285", \ "0.265576,0.289153,0.306717,0.333892,0.377979,0.456818,0.610361"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); values ("0.049083,0.066863,0.083941,0.116534,0.180797,0.308972,0.565068", \ "0.053451,0.071220,0.088307,0.120914,0.185194,0.313348,0.569463", \ "0.069785,0.087383,0.104422,0.137045,0.201394,0.329630,0.585907", \ "0.092222,0.110572,0.127788,0.160480,0.224747,0.352997,0.609274", \ "0.111602,0.132091,0.149603,0.182313,0.246751,0.374887,0.630892", \ "0.125549,0.149341,0.168213,0.201083,0.265100,0.393473,0.649385", \ "0.132813,0.160809,0.182072,0.216854,0.280025,0.407766,0.663940"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); values ("0.012779,0.020556,0.028553,0.044333,0.076664,0.143925,0.280886", \ "0.012781,0.020561,0.028559,0.044332,0.076668,0.143948,0.280844", \ "0.012808,0.020609,0.028595,0.044350,0.076667,0.143937,0.280861", \ "0.014239,0.021410,0.029111,0.044622,0.076783,0.143971,0.280889", \ "0.018643,0.025519,0.032687,0.047312,0.078143,0.144181,0.280863", \ "0.024039,0.030765,0.037474,0.051155,0.080949,0.145900,0.281064", \ "0.030135,0.037174,0.043619,0.056385,0.084543,0.147909,0.282469"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); values ("0.013220,0.025741,0.040220,0.070255,0.131457,0.254245,0.499911", \ "0.013227,0.025748,0.040225,0.070280,0.131480,0.254300,0.499907", \ "0.013380,0.025832,0.040260,0.070284,0.131477,0.254254,0.500097", \ "0.015919,0.027210,0.041147,0.070576,0.131476,0.254301,0.500108", \ "0.020635,0.030104,0.042722,0.071420,0.132008,0.254352,0.499943", \ "0.026483,0.035448,0.046184,0.072695,0.132503,0.254840,0.500001", \ "0.033040,0.042599,0.052104,0.075556,0.133298,0.255382,0.500398"); } } timing () { related_pin : "CK"; when : "E & !SE"; sdf_cond : "(E == 1'b1) && (SE == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); values ("0.070210,0.085546,0.098509,0.120716,0.160846,0.237931,0.390971", \ "0.074545,0.089874,0.102844,0.125057,0.165199,0.242279,0.395315", \ "0.092640,0.107881,0.120845,0.143101,0.183279,0.260381,0.413431", \ "0.128517,0.144038,0.157080,0.179433,0.219669,0.296803,0.449885", \ "0.171033,0.188771,0.203052,0.226567,0.267604,0.344742,0.497618", \ "0.216668,0.237247,0.253024,0.278094,0.320379,0.398430,0.551285", \ "0.265576,0.289152,0.306717,0.333892,0.377979,0.456818,0.610371"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); values ("0.049083,0.066863,0.083941,0.116534,0.180798,0.308957,0.565069", \ "0.053451,0.071220,0.088307,0.120914,0.185194,0.313348,0.569463", \ "0.069785,0.087383,0.104422,0.137045,0.201394,0.329630,0.585907", \ "0.092222,0.110572,0.127787,0.160480,0.224747,0.352997,0.609274", \ "0.111602,0.132091,0.149603,0.182313,0.246751,0.374887,0.630892", \ "0.125549,0.149341,0.168213,0.201083,0.265100,0.393473,0.649385", \ "0.132813,0.160809,0.182072,0.216854,0.280025,0.407766,0.663940"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); values ("0.012779,0.020556,0.028553,0.044333,0.076664,0.143940,0.280886", \ "0.012781,0.020561,0.028559,0.044332,0.076668,0.143948,0.280844", \ "0.012808,0.020609,0.028595,0.044350,0.076667,0.143937,0.280861", \ "0.014239,0.021410,0.029110,0.044622,0.076783,0.143971,0.280889", \ "0.018643,0.025519,0.032687,0.047312,0.078143,0.144181,0.280863", \ "0.024065,0.030765,0.037474,0.051155,0.080949,0.145900,0.281064", \ "0.030135,0.037175,0.043619,0.056385,0.084543,0.147909,0.282463"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); values ("0.013220,0.025741,0.040220,0.070255,0.131457,0.254306,0.499910", \ "0.013227,0.025748,0.040225,0.070280,0.131480,0.254300,0.499907", \ "0.013380,0.025832,0.040260,0.070284,0.131477,0.254254,0.500097", \ "0.015919,0.027210,0.041145,0.070576,0.131476,0.254301,0.500108", \ "0.020635,0.030104,0.042722,0.071420,0.132008,0.254352,0.499943", \ "0.026483,0.035448,0.046184,0.072695,0.132511,0.254866,0.500001", \ "0.033040,0.042599,0.052104,0.075556,0.133298,0.255382,0.500398"); } } timing () { related_pin : "CK"; when : "E & SE"; sdf_cond : "(E == 1'b1) && (SE == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); values ("0.070210,0.085546,0.098508,0.120716,0.160852,0.237932,0.390971", \ "0.074545,0.089874,0.102847,0.125057,0.165199,0.242279,0.395315", \ "0.092640,0.107876,0.120845,0.143101,0.183279,0.260381,0.413431", \ "0.128517,0.144038,0.157081,0.179433,0.219669,0.296803,0.449885", \ "0.171033,0.188771,0.203052,0.226567,0.267604,0.344742,0.497621", \ "0.216668,0.237247,0.253023,0.278094,0.320378,0.398430,0.551258", \ "0.265576,0.289153,0.306718,0.333892,0.377979,0.456818,0.610354"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); values ("0.049082,0.066860,0.083941,0.116531,0.180805,0.308991,0.565077", \ "0.053456,0.071220,0.088306,0.120913,0.185195,0.313349,0.569507", \ "0.069785,0.087384,0.104424,0.137044,0.201394,0.329629,0.585835", \ "0.092222,0.110572,0.127787,0.160480,0.224747,0.352997,0.609274", \ "0.111602,0.132091,0.149603,0.182307,0.246751,0.374887,0.630892", \ "0.125549,0.149341,0.168213,0.201083,0.265100,0.393473,0.649385", \ "0.132814,0.160809,0.182072,0.216854,0.280025,0.407766,0.663940"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); values ("0.012779,0.020556,0.028552,0.044334,0.076662,0.143942,0.280887", \ "0.012781,0.020561,0.028555,0.044332,0.076667,0.143948,0.280844", \ "0.012808,0.020612,0.028595,0.044350,0.076667,0.143937,0.280861", \ "0.014239,0.021409,0.029111,0.044622,0.076786,0.143971,0.280889", \ "0.018643,0.025519,0.032687,0.047311,0.078142,0.144181,0.280852", \ "0.024065,0.030765,0.037475,0.051156,0.080950,0.145900,0.281049", \ "0.030135,0.037174,0.043619,0.056385,0.084544,0.147909,0.282463"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); values ("0.013218,0.025741,0.040222,0.070260,0.131474,0.254289,0.499906", \ "0.013222,0.025745,0.040225,0.070283,0.131478,0.254304,0.499893", \ "0.013380,0.025832,0.040260,0.070283,0.131488,0.254239,0.500095", \ "0.015919,0.027210,0.041147,0.070576,0.131476,0.254302,0.500109", \ "0.020635,0.030104,0.042723,0.071421,0.132013,0.254351,0.499943", \ "0.026483,0.035448,0.046184,0.072695,0.132503,0.254864,0.500001", \ "0.033040,0.042599,0.052104,0.075556,0.133298,0.255382,0.500398"); } } timing () { related_pin : "CK"; timing_type : combinational_fall; when : "!E & !SE"; sdf_cond : "(E == 1'b0) && (SE == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); values ("0.070534,0.086257,0.099640,0.122466,0.162689,0.239245,0.391973", \ "0.074877,0.090599,0.103990,0.126820,0.167044,0.243603,0.396354", \ "0.092954,0.108583,0.121963,0.144837,0.185129,0.261719,0.414475", \ "0.128882,0.144781,0.158259,0.181210,0.221518,0.298122,0.450908", \ "0.171726,0.189992,0.204752,0.228751,0.269408,0.345802,0.498466", \ "0.217528,0.238614,0.255009,0.280636,0.322217,0.398886,0.551194", \ "0.266782,0.291039,0.309490,0.337280,0.379543,0.456019,0.608601"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); values ("0.013076,0.021236,0.029515,0.045079,0.076139,0.142640,0.279563", \ "0.013078,0.021242,0.029515,0.045075,0.076136,0.142637,0.279565", \ "0.013102,0.021286,0.029553,0.045114,0.076172,0.142653,0.279570", \ "0.014546,0.022075,0.030062,0.045369,0.076235,0.142651,0.279565", \ "0.019097,0.026366,0.033757,0.047831,0.077080,0.142730,0.279575", \ "0.024785,0.031958,0.038818,0.051456,0.078870,0.143455,0.279665", \ "0.031195,0.038786,0.045200,0.056088,0.080878,0.144091,0.280136"); } } internal_power () { related_pin : "CK"; when : "!E & !SE"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); values ("5.684193,6.863548,7.784204,8.726084,8.954029,9.019218,9.056746", \ "5.578411,6.792118,7.710085,8.637290,8.864546,8.920464,8.960464", \ "5.475859,6.653625,7.573276,8.507680,8.762359,8.828941,8.871203", \ "5.511998,6.694339,7.607949,8.545896,8.814374,8.889945,8.939112", \ "5.544034,6.765809,7.744000,8.770351,9.058972,9.144211,9.200768", \ "5.868462,6.953826,7.889867,9.039991,9.499116,9.606070,9.661216", \ "6.436119,7.437777,8.350518,9.515899,10.004240,10.283490,10.344840"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "CK"; when : "!E & SE"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); values ("5.348914,6.070256,6.361171,6.549651,6.632730,6.650525,6.664798", \ "5.233162,5.990247,6.258045,6.454850,6.539271,6.558581,6.573861", \ "5.165037,5.857783,6.151140,6.352011,6.436756,6.468959,6.483276", \ "5.173609,5.896725,6.189767,6.390514,6.495335,6.535248,6.555526", \ "5.218505,5.958325,6.350600,6.613603,6.738217,6.780187,6.810473", \ "5.473305,6.151900,6.520120,6.886851,7.166149,7.225680,7.249957", \ "6.016277,6.605829,6.965711,7.342859,7.637901,7.881084,7.912573"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); values ("3.411087,3.904878,4.041203,4.053337,4.119914,4.019658,4.079526", \ "3.328552,3.849568,3.955775,4.049324,4.023409,4.049881,3.974966", \ "3.228974,3.715039,3.821561,3.914779,3.887396,3.929214,3.995848", \ "3.318936,3.750063,3.879356,3.839101,3.950013,3.860868,3.936324", \ "3.575110,4.001634,4.060765,4.050543,4.024100,4.087455,4.155057", \ "3.903034,4.488690,4.573988,4.559666,4.476481,4.518543,4.492110", \ "4.441119,5.097827,5.250989,5.211106,5.230028,5.168637,5.114334"); } } internal_power () { related_pin : "CK"; when : "E & !SE"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); values ("5.348893,6.070253,6.361166,6.549555,6.632724,6.650619,6.664795", \ "5.233140,5.990245,6.258042,6.454849,6.539270,6.558576,6.573852", \ "5.165014,5.857781,6.151121,6.351988,6.436751,6.468959,6.483277", \ "5.173593,5.896694,6.189704,6.391047,6.495286,6.535242,6.555914", \ "5.218487,5.958325,6.350592,6.613597,6.738211,6.780187,6.810468", \ "5.474168,6.151999,6.520114,6.886845,7.166141,7.225678,7.249906", \ "6.016265,6.611443,6.965708,7.342799,7.637893,7.881080,7.912375"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); values ("3.411314,3.904749,4.041209,4.054859,4.119902,4.017771,4.079479", \ "3.328576,3.849586,3.955783,4.048841,4.023602,4.049872,3.974961", \ "3.228999,3.715058,3.821787,3.914772,3.887388,3.929208,3.995844", \ "3.318957,3.750222,3.879125,3.839102,3.949566,3.860868,3.936319", \ "3.575207,4.001587,4.060767,4.050543,4.024097,4.087292,4.155051", \ "3.903053,4.488706,4.574294,4.559665,4.477050,4.517855,4.492106", \ "4.441193,5.097844,5.250988,5.211100,5.230026,5.168005,5.114333"); } } internal_power () { related_pin : "CK"; when : "E & SE"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); values ("5.348730,6.070564,6.361376,6.548310,6.632049,6.653037,6.664675", \ "5.232983,5.990567,6.263225,6.454863,6.539288,6.560854,6.573855", \ "5.164864,5.857940,6.151286,6.351743,6.436763,6.469016,6.483627", \ "5.173455,5.897047,6.189933,6.390726,6.496669,6.535262,6.555948", \ "5.218405,5.958601,6.350736,6.613558,6.738227,6.780192,6.809608", \ "5.474116,6.153888,6.520255,6.886898,7.166029,7.225727,7.250515", \ "6.016224,6.611986,6.965846,7.342567,7.637894,7.881079,7.912530"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); values ("3.397284,3.905050,4.046460,4.100887,4.119621,4.020088,4.080301", \ "3.355475,3.849247,3.955498,4.048168,4.016207,4.049633,3.974886", \ "3.229120,3.714860,3.821543,3.937404,3.887291,3.929117,3.987779", \ "3.319007,3.750087,3.879348,3.839107,3.949965,3.860778,3.936223", \ "3.578014,4.001533,4.060684,4.050389,4.023560,4.087253,4.155000", \ "3.903015,4.488078,4.574220,4.559624,4.476436,4.515450,4.491653", \ "4.441123,5.097778,5.250921,5.211067,5.229997,5.168003,5.114277"); } } } } /****************************************************************************************** Module : CLKGATETST_X4 Cell Description : Pos.edge clock gating cell with pre scan, drive strength X4 *******************************************************************************************/ cell (CLKGATETST_X4) { drive_strength : 4; statetable ("CK E SE","IQ") { table : "L L L : - : L ,\ L L H : - : H ,\ L H L : - : H ,\ L H H : - : H ,\ H - - : - : N " ; } clock_gating_integrated_cell : latch_posedge_precontrol; area : 5.320000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 83.305221; leakage_power () { when : "!CK & !E & !SE & !GCK"; value : 73.732730; } leakage_power () { when : "!CK & !E & SE & !GCK"; value : 67.692601; } leakage_power () { when : "!CK & E & !SE & !GCK"; value : 67.021065; } leakage_power () { when : "!CK & E & SE & !GCK"; value : 68.742978; } leakage_power () { when : "CK & !E & !SE & !GCK"; value : 99.073885; } leakage_power () { when : "CK & !E & !SE & GCK"; value : 101.234185; } leakage_power () { when : "CK & !E & SE & !GCK"; value : 89.822376; } leakage_power () { when : "CK & !E & SE & GCK"; value : 83.979971; } leakage_power () { when : "CK & E & !SE & !GCK"; value : 89.150840; } leakage_power () { when : "CK & E & !SE & GCK"; value : 83.308435; } leakage_power () { when : "CK & E & SE & !GCK"; value : 90.873038; } leakage_power () { when : "CK & E & SE & GCK"; value : 85.030538; } pin (IQ) { direction : internal; internal_node : IQ; } pin (CK) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock_gate_clock_pin : true; capacitance : 4.244863; fall_capacitance : 3.791795; rise_capacitance : 4.244863; timing () { related_pin : "CK"; timing_type : min_pulse_width; fall_constraint(Pulse_width_3) { index_1 ("0.00231025,0.112657,0.500000"); values ("0.514880,0.481135,0.617947"); } } internal_power () { when : "!E & !SE & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.302827,4.194656,4.134069,4.143865,4.227523,4.379983,4.616419"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.122156,0.105938,0.070632,0.074359,0.139940,0.278359,0.506821"); } } internal_power () { when : "!E & SE & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("8.592704,8.477815,8.411137,8.429649,8.517431,8.683988,8.942084"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "E & !SE & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("8.595440,8.478321,8.414822,8.427924,8.520556,8.686714,8.941965"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "E & SE & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("8.593137,8.471359,8.413747,8.425681,8.516629,8.685007,8.940236"); } rise_power(scalar) {values ("0.0"); } } } pin (E) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock_gate_enable_pin : true; capacitance : 0.902640; fall_capacitance : 0.864614; rise_capacitance : 0.902640; timing () { related_pin : "CK"; timing_type : hold_rising; fall_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.126307,-0.089670,-0.093551", \ "-0.178900,-0.140529,-0.146384", \ "-0.100902,-0.000238,-0.003461"); } rise_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.007976,0.021134,0.046097", \ "0.006688,0.021560,0.016249", \ "0.185162,0.191930,0.164406"); } } timing () { related_pin : "CK"; timing_type : setup_rising; fall_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.228236,0.210857,0.239719", \ "0.284898,0.267659,0.296344", \ "0.442108,0.423725,0.450257"); } rise_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.498137,0.397193,0.398307", \ "0.549334,0.448026,0.450610", \ "0.601729,0.501067,0.504305"); } } internal_power () { when : "!CK & !SE & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("6.525643,6.506436,6.472656,6.469113,6.474553,6.512319,6.604763"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.997709,4.979248,4.955489,4.945950,4.974378,5.055332,5.212651"); } } internal_power () { when : "!CK & SE & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.356556,0.359303,0.360184,0.360649,0.360341,0.361723,0.360795"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.246109,-0.270073,-0.298304,-0.313251,-0.320518,-0.325801,-0.328543"); } } internal_power () { when : "CK & !SE & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.045064,2.026947,2.002274,1.990004,1.998398,2.050130,2.131447"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.802836,0.784638,0.765112,0.764894,0.800587,0.887685,1.036248"); } } internal_power () { when : "CK & !SE & GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.993576,1.974927,1.950172,1.938485,1.945947,1.995003,2.085695"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.793994,0.776093,0.755112,0.750654,0.783877,0.868371,1.013460"); } } internal_power () { when : "CK & SE & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.356724,0.359248,0.359556,0.360550,0.360206,0.361652,0.360689"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.247081,-0.270361,-0.299119,-0.313622,-0.321262,-0.326001,-0.329369"); } } internal_power () { when : "CK & SE & GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.356619,0.359157,0.359950,0.360441,0.360131,0.361515,0.360596"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.247182,-0.270456,-0.299188,-0.313702,-0.321352,-0.326091,-0.329377"); } } } pin (SE) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock_gate_test_pin : true; capacitance : 0.791061; fall_capacitance : 0.746503; rise_capacitance : 0.791061; timing () { related_pin : "CK"; timing_type : hold_rising; fall_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.115671,-0.078669,-0.082998", \ "-0.165471,-0.126955,-0.132545", \ "-0.084433,0.016449,0.013995"); } rise_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.007963,0.021490,0.048389", \ "0.022022,0.036809,0.023889", \ "0.216099,0.228056,0.198813"); } } timing () { related_pin : "CK"; timing_type : setup_rising; fall_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.213887,0.196619,0.225125", \ "0.266735,0.249560,0.278368", \ "0.450659,0.431427,0.457307"); } rise_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.495083,0.393478,0.395064", \ "0.543178,0.441785,0.443420", \ "0.585260,0.484379,0.486848"); } } internal_power () { when : "!CK & !E & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("6.250934,6.211302,6.185655,6.205006,6.274066,6.388985,6.560849"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.764659,4.760257,4.749568,4.742487,4.770829,4.866856,5.053043"); } } internal_power () { when : "!CK & E & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.105253,0.106258,0.106172,0.106040,0.105544,0.106011,0.105426"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.100716,-0.100403,-0.100064,-0.099976,-0.100134,-0.100340,-0.100625"); } } internal_power () { when : "CK & !E & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.770527,1.740706,1.714811,1.737758,1.802655,1.925550,2.093857"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.566679,0.568638,0.559337,0.559803,0.602104,0.703478,0.874881"); } } internal_power () { when : "CK & !E & GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.719630,1.688967,1.663542,1.685736,1.751243,1.876454,2.049697"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.560818,0.562676,0.549194,0.543932,0.583012,0.682426,0.851655"); } } internal_power () { when : "CK & E & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.104880,0.106056,0.105976,0.105363,0.105305,0.105916,0.105270"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.101634,-0.101042,-0.100634,-0.100616,-0.100742,-0.100926,-0.101162"); } } internal_power () { when : "CK & E & GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.101693,0.102852,0.102779,0.102665,0.102190,0.102674,0.102066"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.100853,-0.100309,-0.099890,-0.099838,-0.099917,-0.100032,-0.100171"); } } } pin (GCK) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 242.583500; state_function : "(IQ & CK)"; clock_gate_out_pin : true; timing () { related_pin : "CK"; when : "!E & SE"; sdf_cond : "(E == 1'b0) && (SE == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); values ("0.077073,0.094062,0.107382,0.130000,0.170602,0.248147,0.401601", \ "0.081587,0.098574,0.111898,0.134518,0.175123,0.252672,0.406109", \ "0.099933,0.116853,0.130169,0.152807,0.193431,0.271000,0.424457", \ "0.136782,0.153717,0.167025,0.189717,0.230403,0.308011,0.461499", \ "0.182846,0.202049,0.216342,0.239900,0.281063,0.358640,0.512111", \ "0.232175,0.254270,0.270061,0.295064,0.337373,0.415786,0.569151", \ "0.284774,0.310098,0.327611,0.354697,0.398306,0.477578,0.631316"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); values ("0.056215,0.075484,0.092701,0.125405,0.189780,0.318106,0.574470", \ "0.061117,0.080386,0.097611,0.130313,0.194710,0.323025,0.579488", \ "0.074800,0.093969,0.111172,0.143902,0.208314,0.336667,0.593140", \ "0.094711,0.114439,0.131791,0.164577,0.228974,0.357339,0.613805", \ "0.115722,0.136621,0.154335,0.187268,0.251814,0.380211,0.636595", \ "0.134050,0.157177,0.175606,0.208943,0.273312,0.401810,0.658134", \ "0.146675,0.173234,0.193124,0.227097,0.291669,0.419754,0.676456"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); values ("0.013116,0.021396,0.029332,0.045043,0.077390,0.144599,0.281409", \ "0.013119,0.021399,0.029335,0.045040,0.077403,0.144599,0.281352", \ "0.013132,0.021433,0.029363,0.045050,0.077391,0.144589,0.281363", \ "0.013859,0.021835,0.029637,0.045200,0.077451,0.144614,0.281408", \ "0.018171,0.025478,0.032624,0.047260,0.078379,0.144790,0.281465", \ "0.023455,0.030507,0.037094,0.050842,0.080990,0.146160,0.281636", \ "0.029351,0.036587,0.042732,0.055467,0.084123,0.147979,0.282834"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); values ("0.013398,0.026266,0.040594,0.070535,0.131771,0.254639,0.500467", \ "0.013404,0.026269,0.040593,0.070558,0.131769,0.254695,0.500562", \ "0.013445,0.026290,0.040606,0.070531,0.131764,0.254661,0.500560", \ "0.014669,0.027110,0.041109,0.070672,0.131752,0.254616,0.500469", \ "0.016925,0.028760,0.042255,0.071322,0.132012,0.254688,0.500546", \ "0.020824,0.031806,0.044376,0.072328,0.132475,0.254895,0.500436", \ "0.025950,0.036697,0.048104,0.074344,0.133219,0.255424,0.500679"); } } timing () { related_pin : "CK"; when : "E & !SE"; sdf_cond : "(E == 1'b1) && (SE == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); values ("0.077074,0.094062,0.107382,0.130000,0.170602,0.248152,0.401601", \ "0.081587,0.098574,0.111898,0.134518,0.175123,0.252672,0.406108", \ "0.099933,0.116853,0.130169,0.152807,0.193431,0.271000,0.424457", \ "0.136782,0.153717,0.167025,0.189711,0.230403,0.308011,0.461499", \ "0.182846,0.202049,0.216342,0.239900,0.281063,0.358641,0.512111", \ "0.232175,0.254270,0.270061,0.295064,0.337373,0.415786,0.569151", \ "0.284774,0.310098,0.327611,0.354697,0.398306,0.477578,0.631316"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); values ("0.056215,0.075484,0.092701,0.125405,0.189780,0.318106,0.574524", \ "0.061117,0.080386,0.097611,0.130313,0.194710,0.323025,0.579488", \ "0.074800,0.093969,0.111172,0.143899,0.208314,0.336667,0.593140", \ "0.094711,0.114439,0.131791,0.164577,0.228974,0.357339,0.613805", \ "0.115722,0.136621,0.154335,0.187268,0.251814,0.380211,0.636595", \ "0.134050,0.157177,0.175606,0.208943,0.273313,0.401810,0.658134", \ "0.146675,0.173234,0.193124,0.227097,0.291669,0.419754,0.676456"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); values ("0.013116,0.021396,0.029332,0.045045,0.077390,0.144593,0.281409", \ "0.013120,0.021399,0.029336,0.045040,0.077403,0.144599,0.281352", \ "0.013132,0.021433,0.029363,0.045050,0.077391,0.144590,0.281373", \ "0.013859,0.021835,0.029637,0.045201,0.077451,0.144614,0.281408", \ "0.018171,0.025478,0.032624,0.047260,0.078379,0.144790,0.281465", \ "0.023455,0.030507,0.037094,0.050842,0.080990,0.146160,0.281636", \ "0.029351,0.036587,0.042732,0.055467,0.084123,0.147979,0.282834"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); values ("0.013400,0.026266,0.040594,0.070535,0.131770,0.254640,0.500584", \ "0.013404,0.026269,0.040593,0.070558,0.131769,0.254696,0.500562", \ "0.013445,0.026290,0.040606,0.070535,0.131764,0.254661,0.500560", \ "0.014669,0.027110,0.041109,0.070672,0.131752,0.254618,0.500469", \ "0.016925,0.028760,0.042255,0.071322,0.132012,0.254688,0.500546", \ "0.020824,0.031806,0.044376,0.072328,0.132479,0.254894,0.500436", \ "0.025950,0.036697,0.048104,0.074344,0.133219,0.255424,0.500679"); } } timing () { related_pin : "CK"; when : "E & SE"; sdf_cond : "(E == 1'b1) && (SE == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); values ("0.077075,0.094062,0.107382,0.130001,0.170603,0.248148,0.401588", \ "0.081586,0.098574,0.111900,0.134519,0.175123,0.252672,0.406108", \ "0.099935,0.116860,0.130169,0.152806,0.193433,0.271000,0.424475", \ "0.136766,0.153717,0.167025,0.189718,0.230403,0.308010,0.461499", \ "0.182846,0.202048,0.216342,0.239900,0.281063,0.358667,0.512111", \ "0.232183,0.254270,0.270061,0.295065,0.337373,0.415787,0.569102", \ "0.284774,0.310098,0.327612,0.354697,0.398307,0.477579,0.631315"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); values ("0.056215,0.075483,0.092701,0.125404,0.189780,0.318106,0.574524", \ "0.061116,0.080385,0.097607,0.130312,0.194703,0.323024,0.579391", \ "0.074800,0.093969,0.111172,0.143891,0.208312,0.336666,0.593094", \ "0.094711,0.114439,0.131791,0.164577,0.228974,0.357341,0.613805", \ "0.115723,0.136621,0.154335,0.187268,0.251814,0.380211,0.636595", \ "0.134049,0.157177,0.175606,0.208943,0.273318,0.401785,0.658134", \ "0.146675,0.173234,0.193124,0.227097,0.291668,0.419754,0.676456"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); values ("0.013115,0.021396,0.029332,0.045043,0.077392,0.144595,0.281355", \ "0.013121,0.021399,0.029336,0.045035,0.077402,0.144597,0.281352", \ "0.013132,0.021431,0.029363,0.045049,0.077389,0.144592,0.281420", \ "0.013862,0.021835,0.029637,0.045200,0.077451,0.144619,0.281408", \ "0.018168,0.025477,0.032625,0.047258,0.078370,0.144782,0.281465", \ "0.023454,0.030508,0.037098,0.050842,0.080990,0.146160,0.281637", \ "0.029352,0.036587,0.042734,0.055467,0.084120,0.147978,0.282834"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); values ("0.013403,0.026267,0.040594,0.070536,0.131755,0.254665,0.500515", \ "0.013405,0.026269,0.040602,0.070558,0.131768,0.254688,0.500457", \ "0.013445,0.026291,0.040606,0.070537,0.131765,0.254668,0.500490", \ "0.014669,0.027110,0.041109,0.070672,0.131752,0.254612,0.500469", \ "0.016925,0.028760,0.042254,0.071323,0.132012,0.254688,0.500547", \ "0.020824,0.031806,0.044376,0.072328,0.132454,0.254912,0.500436", \ "0.025950,0.036697,0.048104,0.074344,0.133219,0.255423,0.500679"); } } timing () { related_pin : "CK"; timing_type : combinational_fall; when : "!E & !SE"; sdf_cond : "(E == 1'b0) && (SE == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); values ("0.077566,0.094821,0.108431,0.131702,0.173286,0.250769,0.403469", \ "0.082088,0.099337,0.112955,0.136233,0.177805,0.255286,0.407990", \ "0.100413,0.117606,0.131204,0.154506,0.196113,0.273613,0.426332", \ "0.137295,0.154484,0.168099,0.191393,0.233028,0.310534,0.463276", \ "0.183917,0.203250,0.217860,0.241968,0.283819,0.361154,0.513724", \ "0.233498,0.255686,0.271969,0.297558,0.340377,0.417803,0.570288", \ "0.286483,0.312005,0.329707,0.357295,0.401027,0.478277,0.630843"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); values ("0.013356,0.021871,0.030137,0.046448,0.078297,0.143655,0.279702", \ "0.013352,0.021878,0.030140,0.046441,0.078279,0.143665,0.279703", \ "0.013369,0.021908,0.030171,0.046457,0.078278,0.143644,0.279703", \ "0.014039,0.022273,0.030406,0.046569,0.078285,0.143641,0.279718", \ "0.018368,0.025934,0.033416,0.048515,0.078833,0.143665,0.279669", \ "0.023731,0.031048,0.038044,0.052183,0.080787,0.144224,0.279686", \ "0.029577,0.037056,0.043701,0.056683,0.082946,0.144810,0.280062"); } } internal_power () { related_pin : "CK"; when : "!E & !SE"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); values ("9.879391,11.429080,12.502860,14.431740,15.503370,15.632040,15.670270", \ "9.678609,11.299580,12.357370,14.334700,15.374740,15.500940,15.539140", \ "9.604576,11.163740,12.193810,14.178730,15.243640,15.376700,15.426580", \ "9.796831,11.229230,12.269000,14.244350,15.293730,15.456690,15.515430", \ "9.937342,11.468030,12.607610,14.602300,15.631660,15.786670,15.865430", \ "10.511790,11.827880,13.013400,15.107510,16.263880,16.429980,16.509580", \ "11.433010,12.663130,13.768860,15.852730,16.975020,17.380040,17.469410"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "CK"; when : "!E & SE"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); values ("9.365712,10.293980,10.612040,10.815810,10.874680,10.876570,10.916280", \ "9.184576,10.154590,10.472210,10.684230,10.740850,10.745500,10.785170", \ "9.101812,10.001960,10.334980,10.542400,10.608050,10.636260,10.672580", \ "9.268410,10.087120,10.387310,10.603540,10.695990,10.736610,10.789070", \ "9.353381,10.232710,10.686830,10.949540,11.049810,11.112750,11.183750", \ "9.833131,10.575040,10.987240,11.396670,11.703940,11.801650,11.878070", \ "10.701170,11.310770,11.695900,12.143280,12.482750,12.842130,12.905290"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); values ("6.114787,6.888060,7.111014,7.233211,7.172468,7.355452,7.547536", \ "6.024443,6.812954,6.989382,7.206235,7.233684,7.407605,7.612436", \ "5.864924,6.643376,6.871084,6.937007,7.160723,7.342358,7.268956", \ "5.787732,6.571192,6.662160,6.788914,7.090968,7.280443,7.191525", \ "6.023105,6.786057,6.892930,6.940591,6.962908,7.173037,7.371401", \ "6.468445,7.317987,7.503918,7.519100,7.607694,7.515542,7.752659", \ "7.044537,8.073697,8.207352,8.427233,8.375204,8.362449,8.566489"); } } internal_power () { related_pin : "CK"; when : "E & !SE"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); values ("9.365736,10.293980,10.612190,10.813520,10.874550,10.882960,10.916280", \ "9.184583,10.154640,10.472220,10.684200,10.740850,10.745500,10.785160", \ "9.101816,10.001970,10.334990,10.542400,10.608040,10.636270,10.672590", \ "9.268458,10.087350,10.387590,10.604240,10.695860,10.736610,10.789060", \ "9.353385,10.232710,10.686800,10.949520,11.049730,11.112750,11.183750", \ "9.833122,10.575160,10.987360,11.396690,11.703930,11.801640,11.878080", \ "10.701160,11.310780,11.695770,12.143280,12.482740,12.842130,12.905700"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); values ("6.076880,6.888065,7.111029,7.243823,7.171865,7.355343,7.548984", \ "6.024610,6.813575,6.989266,7.205947,7.233688,7.407550,7.612396", \ "5.865101,6.643385,6.871089,6.864407,7.160724,7.342377,7.268945", \ "5.787565,6.571200,6.662168,6.788923,7.090971,7.280311,7.191529", \ "6.023118,6.786067,6.892939,6.940591,6.962914,7.173036,7.371406", \ "6.464439,7.317988,7.503921,7.519098,7.607531,7.515559,7.752662", \ "7.044574,8.073713,8.207361,8.427234,8.375205,8.362455,8.566488"); } } internal_power () { related_pin : "CK"; when : "E & SE"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); values ("9.366590,10.294120,10.610270,10.816200,10.874340,10.886350,10.915920", \ "9.185695,10.129690,10.472550,10.682240,10.740860,10.754930,10.785130", \ "9.102443,10.017130,10.334880,10.542540,10.611810,10.636310,10.673320", \ "9.225076,10.087290,10.383510,10.603940,10.695670,10.736220,10.789090", \ "9.353933,10.232750,10.681010,10.936100,11.061530,11.119000,11.183610", \ "9.833200,10.585020,10.956220,11.404740,11.703860,11.801640,11.875010", \ "10.701320,11.310670,11.702160,12.143240,12.482740,12.842220,12.905450"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); values ("6.077539,6.887857,7.110814,7.149756,7.310854,7.355158,7.538361", \ "6.024895,6.783993,6.943075,7.206403,7.294990,7.409122,7.603636", \ "5.858063,6.642946,6.870810,6.864582,7.160386,7.353897,7.255211", \ "5.788156,6.570861,6.661858,6.788937,7.090966,7.280904,7.191629", \ "6.023414,6.785687,6.893459,6.940635,6.962678,7.173068,7.371434", \ "6.459324,7.317418,7.503472,7.519111,7.611950,7.504612,7.752777", \ "7.045616,8.073299,8.207814,8.427240,8.374514,8.362453,8.566594"); } } } } /****************************************************************************************** Module : CLKGATETST_X8 Cell Description : Pos.edge clock gating cell with pre scan, drive strength X8 *******************************************************************************************/ cell (CLKGATETST_X8) { drive_strength : 8; statetable ("CK E SE","IQ") { table : "L L L : - : L ,\ L L H : - : H ,\ L H L : - : H ,\ L H H : - : H ,\ H - - : - : N " ; } clock_gating_integrated_cell : latch_posedge_precontrol; area : 7.714000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 144.250578; leakage_power () { when : "!CK & !E & !SE & !GCK"; value : 115.791700; } leakage_power () { when : "!CK & !E & SE & !GCK"; value : 115.115556; } leakage_power () { when : "!CK & E & !SE & !GCK"; value : 114.443450; } leakage_power () { when : "!CK & E & SE & !GCK"; value : 116.166123; } leakage_power () { when : "CK & !E & !SE & !GCK"; value : 169.700020; } leakage_power () { when : "CK & !E & !SE & GCK"; value : 167.386105; } leakage_power () { when : "CK & !E & SE & !GCK"; value : 160.463426; } leakage_power () { when : "CK & !E & SE & GCK"; value : 150.084961; } leakage_power () { when : "CK & E & !SE & !GCK"; value : 159.792271; } leakage_power () { when : "CK & E & !SE & GCK"; value : 149.413805; } leakage_power () { when : "CK & E & SE & !GCK"; value : 161.513993; } leakage_power () { when : "CK & E & SE & GCK"; value : 151.135528; } pin (IQ) { direction : internal; internal_node : IQ; } pin (CK) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock_gate_clock_pin : true; capacitance : 7.641196; fall_capacitance : 6.839882; rise_capacitance : 7.641196; timing () { related_pin : "CK"; timing_type : min_pulse_width; fall_constraint(Pulse_width_3) { index_1 ("0.00231025,0.112657,0.500000"); values ("0.832984,0.764158,0.884007"); } } internal_power () { when : "!E & !SE & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("6.113805,5.929796,5.833829,5.827616,5.900808,6.052180,6.280488"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-1.413170,-1.428000,-1.467660,-1.468080,-1.400550,-1.264140,-1.037670"); } } internal_power () { when : "!E & SE & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("14.266520,14.062800,13.956560,13.950660,14.039460,14.195700,14.453730"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "E & !SE & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("14.265740,14.082570,13.958300,13.952880,14.036630,14.218040,14.451930"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "E & SE & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("14.265920,14.076970,13.956490,13.957630,14.034690,14.196280,14.469740"); } rise_power(scalar) {values ("0.0"); } } } pin (E) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock_gate_enable_pin : true; capacitance : 0.871774; fall_capacitance : 0.833751; rise_capacitance : 0.871774; timing () { related_pin : "CK"; timing_type : hold_rising; fall_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.134494,-0.101946,-0.101069", \ "-0.188873,-0.155345,-0.154337", \ "-0.319269,-0.280854,-0.256572"); } rise_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.008585,0.021711,0.047086", \ "-0.007306,0.010491,0.011614", \ "0.169927,0.182944,0.146605"); } } timing () { related_pin : "CK"; timing_type : setup_rising; fall_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.255410,0.237476,0.271826", \ "0.311988,0.294184,0.328374", \ "0.470295,0.451324,0.483827"); } rise_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.818109,0.681958,0.654511", \ "0.869491,0.733243,0.704888", \ "0.921604,0.785401,0.757423"); } } internal_power () { when : "!CK & !SE & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("9.709291,9.689080,9.663475,9.652810,9.656859,9.702048,9.801908"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("8.548825,8.533889,8.511228,8.504870,8.530724,8.621876,8.771658"); } } internal_power () { when : "!CK & SE & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.357084,0.359704,0.360535,0.360959,0.360393,0.362158,0.360831"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.245412,-0.269521,-0.297335,-0.312665,-0.319653,-0.325348,-0.327795"); } } internal_power () { when : "CK & !SE & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.010837,1.991883,1.966546,1.956003,1.964269,2.015965,2.096183"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.802786,0.784857,0.765915,0.765061,0.801261,0.888991,1.038356"); } } internal_power () { when : "CK & !SE & GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.957170,1.938247,1.913744,1.901512,1.910390,1.959840,2.047912"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.795773,0.777883,0.756694,0.751905,0.785605,0.870440,1.017232"); } } internal_power () { when : "CK & SE & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.356935,0.359727,0.359557,0.360951,0.360255,0.362200,0.360717"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.246810,-0.269759,-0.298516,-0.313013,-0.320654,-0.325394,-0.328764"); } } internal_power () { when : "CK & SE & GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.356802,0.359618,0.360332,0.360808,0.360179,0.362019,0.360628"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.246921,-0.269887,-0.298618,-0.313128,-0.320781,-0.325520,-0.328894"); } } } pin (SE) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock_gate_test_pin : true; capacitance : 0.778610; fall_capacitance : 0.732910; rise_capacitance : 0.778610; timing () { related_pin : "CK"; timing_type : hold_rising; fall_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.123049,-0.090431,-0.090197", \ "-0.173810,-0.140793,-0.139045", \ "-0.310786,-0.267234,-0.240459"); } rise_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.008333,0.022312,0.048985", \ "0.002642,0.019027,0.014846", \ "0.203556,0.213339,0.179100"); } } timing () { related_pin : "CK"; timing_type : setup_rising; fall_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.241060,0.223238,0.257556", \ "0.293825,0.276397,0.310725", \ "0.478530,0.459989,0.491212"); } rise_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.815055,0.678863,0.653214", \ "0.862718,0.726378,0.698351", \ "0.903869,0.768071,0.741309"); } } internal_power () { when : "!CK & !E & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("9.433449,9.401638,9.376642,9.398096,9.457402,9.579480,9.754773"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("8.314012,8.302379,8.300606,8.295888,8.333604,8.429254,8.607119"); } } internal_power () { when : "!CK & E & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.105401,0.106563,0.106405,0.106379,0.105585,0.106461,0.105482"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.100058,-0.099444,-0.099111,-0.099113,-0.099270,-0.099494,-0.099838"); } } internal_power () { when : "CK & !E & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.735881,1.705340,1.680028,1.703687,1.768568,1.893044,2.059727"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.566206,0.568343,0.558982,0.559781,0.602972,0.705153,0.877716"); } } internal_power () { when : "CK & !E & GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.682666,1.651981,1.627494,1.649728,1.714563,1.838773,2.011841"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.564183,0.566149,0.551221,0.546814,0.585733,0.685961,0.856086"); } } internal_power () { when : "CK & E & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.105154,0.106532,0.106347,0.105316,0.105253,0.106405,0.105254"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.101367,-0.100425,-0.100021,-0.100002,-0.100130,-0.100312,-0.100544"); } } internal_power () { when : "CK & E & GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.101986,0.103336,0.103158,0.103047,0.102221,0.103169,0.102095"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.100607,-0.099732,-0.099319,-0.099268,-0.099349,-0.099460,-0.099599"); } } } pin (GCK) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 483.983600; state_function : "(IQ & CK)"; clock_gate_out_pin : true; timing () { related_pin : "CK"; when : "!E & SE"; sdf_cond : "(E == 1'b0) && (SE == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); values ("0.077424,0.094831,0.108134,0.130805,0.171522,0.249188,0.402627", \ "0.081943,0.099350,0.112658,0.135332,0.176053,0.253705,0.407157", \ "0.100325,0.117675,0.130976,0.153658,0.194396,0.272066,0.425508", \ "0.137183,0.154572,0.167873,0.190607,0.231381,0.309074,0.462525", \ "0.183309,0.203023,0.217297,0.240922,0.282150,0.359830,0.513205", \ "0.232685,0.255455,0.271163,0.296202,0.338626,0.417123,0.570478", \ "0.285349,0.311671,0.329080,0.356247,0.399794,0.479162,0.632934"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); values ("0.058336,0.078466,0.095783,0.128529,0.192865,0.321074,0.577207", \ "0.063222,0.083357,0.100684,0.133438,0.197779,0.325993,0.582170", \ "0.076880,0.096898,0.114196,0.146959,0.211344,0.339578,0.595707", \ "0.096682,0.117224,0.134666,0.167472,0.231847,0.360103,0.616315", \ "0.117494,0.139139,0.156929,0.189939,0.254488,0.382740,0.638908", \ "0.135913,0.159595,0.178098,0.211470,0.275958,0.404385,0.660459", \ "0.148907,0.175921,0.195661,0.229768,0.294450,0.422857,0.679119"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); values ("0.013144,0.021616,0.029577,0.045336,0.077698,0.144890,0.281538", \ "0.013145,0.021626,0.029578,0.045329,0.077723,0.144876,0.281541", \ "0.013176,0.021666,0.029614,0.045350,0.077710,0.144874,0.281540", \ "0.013915,0.022084,0.029899,0.045506,0.077767,0.144885,0.281500", \ "0.018218,0.025728,0.032893,0.047571,0.078678,0.145077,0.281560", \ "0.023512,0.030771,0.037408,0.051206,0.081352,0.146428,0.281768", \ "0.029419,0.036897,0.043104,0.055887,0.084531,0.148285,0.282938"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); values ("0.013996,0.027068,0.041275,0.071057,0.132182,0.254941,0.500484", \ "0.013996,0.027064,0.041275,0.071076,0.132161,0.254932,0.500468", \ "0.014014,0.027079,0.041275,0.071048,0.132147,0.254872,0.500464", \ "0.015217,0.027864,0.041769,0.071177,0.132126,0.254865,0.500544", \ "0.017318,0.029438,0.042884,0.071842,0.132388,0.254951,0.500452", \ "0.020949,0.032272,0.044915,0.072840,0.132870,0.255123,0.500436", \ "0.025943,0.036883,0.048449,0.074798,0.133624,0.255618,0.500642"); } } timing () { related_pin : "CK"; when : "E & !SE"; sdf_cond : "(E == 1'b1) && (SE == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); values ("0.077425,0.094831,0.108133,0.130805,0.171526,0.249188,0.402627", \ "0.081943,0.099350,0.112658,0.135333,0.176053,0.253705,0.407157", \ "0.100325,0.117675,0.130976,0.153658,0.194396,0.272066,0.425535", \ "0.137183,0.154572,0.167873,0.190607,0.231381,0.309074,0.462525", \ "0.183309,0.203023,0.217297,0.240922,0.282150,0.359830,0.513214", \ "0.232685,0.255455,0.271163,0.296202,0.338626,0.417123,0.570478", \ "0.285349,0.311671,0.329080,0.356247,0.399794,0.479162,0.632934"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); values ("0.058330,0.078466,0.095783,0.128519,0.192865,0.321077,0.577206", \ "0.063222,0.083357,0.100683,0.133438,0.197779,0.325993,0.582170", \ "0.076880,0.096898,0.114196,0.146959,0.211344,0.339578,0.595707", \ "0.096682,0.117224,0.134666,0.167472,0.231847,0.360103,0.616319", \ "0.117494,0.139139,0.156929,0.189939,0.254488,0.382740,0.638908", \ "0.135913,0.159595,0.178098,0.211470,0.275958,0.404387,0.660462", \ "0.148907,0.175921,0.195661,0.229768,0.294450,0.422856,0.679119"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); values ("0.013144,0.021616,0.029577,0.045336,0.077723,0.144890,0.281538", \ "0.013145,0.021626,0.029578,0.045328,0.077723,0.144877,0.281540", \ "0.013176,0.021666,0.029614,0.045350,0.077710,0.144875,0.281518", \ "0.013915,0.022084,0.029899,0.045507,0.077761,0.144885,0.281500", \ "0.018218,0.025728,0.032893,0.047571,0.078678,0.145077,0.281596", \ "0.023512,0.030771,0.037408,0.051206,0.081352,0.146428,0.281768", \ "0.029419,0.036897,0.043104,0.055887,0.084531,0.148285,0.282938"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); values ("0.013996,0.027067,0.041276,0.071057,0.132181,0.254900,0.500488", \ "0.013996,0.027064,0.041275,0.071076,0.132161,0.254931,0.500469", \ "0.014014,0.027079,0.041275,0.071048,0.132147,0.254872,0.500463", \ "0.015217,0.027864,0.041769,0.071177,0.132126,0.254865,0.500486", \ "0.017318,0.029438,0.042884,0.071842,0.132388,0.254951,0.500452", \ "0.020949,0.032272,0.044915,0.072840,0.132870,0.255152,0.500386", \ "0.025943,0.036883,0.048449,0.074798,0.133624,0.255618,0.500642"); } } timing () { related_pin : "CK"; when : "E & SE"; sdf_cond : "(E == 1'b1) && (SE == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); values ("0.077425,0.094831,0.108134,0.130805,0.171520,0.249188,0.402645", \ "0.081943,0.099347,0.112659,0.135333,0.176053,0.253705,0.407156", \ "0.100325,0.117675,0.130976,0.153658,0.194396,0.272066,0.425542", \ "0.137183,0.154572,0.167872,0.190607,0.231382,0.309074,0.462526", \ "0.183309,0.203023,0.217296,0.240922,0.282150,0.359832,0.513209", \ "0.232685,0.255407,0.271163,0.296202,0.338625,0.417129,0.570422", \ "0.285349,0.311671,0.329080,0.356248,0.399794,0.479160,0.632935"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); values ("0.058333,0.078465,0.095782,0.128518,0.192864,0.321088,0.577205", \ "0.063221,0.083356,0.100682,0.133438,0.197778,0.325992,0.582167", \ "0.076880,0.096897,0.114196,0.146956,0.211336,0.339582,0.595710", \ "0.096682,0.117224,0.134666,0.167472,0.231847,0.360105,0.616319", \ "0.117504,0.139139,0.156929,0.189940,0.254488,0.382740,0.638891", \ "0.135885,0.159595,0.178098,0.211470,0.275958,0.404385,0.660457", \ "0.148886,0.175921,0.195660,0.229768,0.294450,0.422856,0.679119"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); values ("0.013144,0.021616,0.029576,0.045336,0.077702,0.144876,0.281529", \ "0.013145,0.021625,0.029580,0.045323,0.077723,0.144887,0.281543", \ "0.013176,0.021666,0.029614,0.045350,0.077712,0.144874,0.281534", \ "0.013915,0.022084,0.029900,0.045505,0.077766,0.144885,0.281505", \ "0.018218,0.025727,0.032891,0.047569,0.078678,0.145065,0.281568", \ "0.023512,0.030776,0.037407,0.051206,0.081349,0.146413,0.281739", \ "0.029419,0.036897,0.043104,0.055888,0.084531,0.148287,0.282943"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); values ("0.013993,0.027068,0.041276,0.071056,0.132182,0.254899,0.500486", \ "0.013995,0.027064,0.041277,0.071076,0.132161,0.254931,0.500462", \ "0.014015,0.027079,0.041275,0.071050,0.132123,0.254865,0.500462", \ "0.015218,0.027864,0.041769,0.071177,0.132125,0.254852,0.500481", \ "0.017318,0.029438,0.042884,0.071843,0.132388,0.254951,0.500457", \ "0.020950,0.032271,0.044915,0.072840,0.132869,0.255123,0.500436", \ "0.025939,0.036883,0.048448,0.074798,0.133625,0.255618,0.500642"); } } timing () { related_pin : "CK"; timing_type : combinational_fall; when : "!E & !SE"; sdf_cond : "(E == 1'b0) && (SE == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); values ("0.077916,0.095488,0.108925,0.131909,0.173429,0.252284,0.405625", \ "0.082435,0.100002,0.113448,0.136431,0.177958,0.256805,0.410144", \ "0.100778,0.118284,0.131718,0.154730,0.196283,0.275147,0.428512", \ "0.137633,0.155158,0.168619,0.191623,0.233238,0.312124,0.465490", \ "0.184161,0.203896,0.218315,0.242113,0.284134,0.362866,0.516115", \ "0.233629,0.256252,0.272331,0.297650,0.340931,0.420298,0.573238", \ "0.286872,0.312475,0.330277,0.357352,0.402169,0.481972,0.635201"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); values ("0.013333,0.021915,0.030033,0.046205,0.079378,0.145900,0.280506", \ "0.013336,0.021918,0.030035,0.046214,0.079379,0.145890,0.280499", \ "0.013345,0.021957,0.030061,0.046218,0.079381,0.145896,0.280512", \ "0.014039,0.022332,0.030316,0.046362,0.079417,0.145877,0.280526", \ "0.018356,0.025945,0.033289,0.048379,0.080212,0.145939,0.280502", \ "0.023721,0.031015,0.037842,0.052140,0.082947,0.146954,0.280537", \ "0.029591,0.037064,0.043483,0.056910,0.086162,0.148296,0.281042"); } } internal_power () { related_pin : "CK"; when : "!E & !SE"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); values ("17.159210,19.536790,20.873300,22.899570,26.934160,28.148820,28.240270", \ "16.986850,19.311660,20.628370,22.650840,26.718180,27.922110,28.011170", \ "16.861590,19.108550,20.358080,22.433610,26.499680,27.701460,27.815270", \ "17.035930,19.199290,20.466170,22.481540,26.606730,27.868720,27.992490", \ "17.443440,19.657650,21.062160,23.087790,27.177190,28.468370,28.601960", \ "18.317770,20.272980,21.628460,23.948790,28.299700,29.596370,29.758860", \ "20.047970,21.692440,22.960550,25.189300,29.613630,31.258410,31.463670"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "CK"; when : "!E & SE"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); values ("16.704620,18.391320,18.953240,19.391030,19.469070,19.391990,19.370250", \ "16.384550,18.151090,18.717360,19.126490,19.230860,19.164270,19.143780", \ "16.254120,17.898040,18.481400,18.905370,19.007690,18.960280,18.949850", \ "16.569240,17.971360,18.561790,18.976030,19.129450,19.144620,19.153710", \ "16.704100,18.386950,19.115900,19.564370,19.772180,19.805770,19.854230", \ "17.573700,18.954350,19.636850,20.391710,20.899910,21.005670,21.083800", \ "19.253290,20.290360,20.982230,21.706660,22.266070,22.843260,22.896160"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); values ("10.862700,12.130170,12.498760,12.524260,13.098890,13.484340,13.436700", \ "10.772690,11.947250,12.184960,12.696310,12.965250,13.213930,13.578950", \ "10.461010,11.730990,12.098940,12.182210,12.613120,13.000650,13.482950", \ "10.393060,11.625880,11.733610,12.141370,12.489360,12.888820,13.371930", \ "10.801410,12.013080,12.067760,12.276790,12.756800,13.199850,13.095930", \ "11.431460,12.865300,13.155020,13.171820,13.343830,13.724920,13.733570", \ "12.497010,14.103250,14.600940,14.734170,14.901110,14.603010,15.090660"); } } internal_power () { related_pin : "CK"; when : "E & !SE"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); values ("16.704590,18.391480,18.960110,19.391030,19.450720,19.391980,19.368760", \ "16.384580,18.151120,18.717270,19.125140,19.230830,19.164260,19.143780", \ "16.254130,17.898030,18.481290,18.905320,19.007650,18.958790,18.949560", \ "16.569030,17.971400,18.562320,18.975940,19.139460,19.144610,19.153810", \ "16.704120,18.387100,19.115910,19.564190,19.772170,19.805770,19.854170", \ "17.573760,18.954340,19.636990,20.391700,20.899910,21.005680,21.083840", \ "19.253340,20.290340,20.982240,21.706570,22.266130,22.843270,22.896160"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); values ("10.862030,12.130790,12.498790,12.523800,13.099620,13.489780,13.437850", \ "10.773000,11.947300,12.185010,12.697270,12.963820,13.213670,13.577290", \ "10.461030,11.731050,12.098990,12.181780,12.613120,13.000640,13.482800", \ "10.393080,11.625940,11.733630,12.140830,12.488650,12.888830,12.798270", \ "10.801420,12.013140,12.067510,12.276800,12.756820,13.199690,13.095900", \ "11.431400,12.865360,13.155030,13.171830,13.343830,13.717280,13.744200", \ "12.497030,14.103320,14.600600,14.734170,14.901060,14.601830,15.090650"); } } internal_power () { related_pin : "CK"; when : "E & SE"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); values ("16.705410,18.391130,18.955170,19.390880,19.468650,19.392410,19.372740", \ "16.385430,18.152340,18.722660,19.148200,19.230630,19.164200,19.144330", \ "16.286500,17.898630,18.489380,18.906650,19.009510,18.961540,18.948780", \ "16.570240,18.031420,18.543690,18.981480,19.129410,19.144610,19.153860", \ "16.704870,18.374790,19.100310,19.558550,19.771890,19.805910,19.854070", \ "17.574320,18.876580,19.637290,20.392480,20.910880,21.006100,21.065870", \ "19.253650,20.286770,20.982240,21.690230,22.266050,22.842440,22.903730"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); values ("10.862880,12.132200,12.500970,12.523750,13.098510,13.494030,13.436720", \ "10.772970,11.949420,12.186890,12.703880,12.925400,13.193320,13.580410", \ "10.461690,11.733000,12.101090,12.226430,12.611940,13.003440,13.479980", \ "10.393640,11.627930,11.731490,12.165260,12.488910,12.991540,12.800940", \ "10.801540,12.015260,12.067470,12.276840,12.738620,13.199150,13.124680", \ "11.432720,12.867800,13.154790,13.171460,13.343330,13.724120,13.732480", \ "12.497960,14.106560,14.600470,14.733830,14.900640,14.602360,15.089530"); } } } } /****************************************************************************************** Module : CLKGATE_X1 Cell Description : Pos.edge clock gating cell with drive strength X1 *******************************************************************************************/ cell (CLKGATE_X1) { drive_strength : 1; statetable ("CK E","IQ") { table : "L L : - : L ,\ L H : - : H ,\ H - : - : N " ; } clock_gating_integrated_cell : latch_posedge; area : 3.458000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 32.734234; leakage_power () { when : "!CK & !E & !GCK"; value : 26.429855; } leakage_power () { when : "!CK & E & !GCK"; value : 38.041401; } leakage_power () { when : "CK & !E & !GCK"; value : 27.320584; } leakage_power () { when : "CK & !E & GCK"; value : 34.607056; } leakage_power () { when : "CK & E & !GCK"; value : 34.353263; } leakage_power () { when : "CK & E & GCK"; value : 35.653244; } pin (IQ) { direction : internal; internal_node : IQ; } pin (CK) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock_gate_clock_pin : true; capacitance : 1.775640; fall_capacitance : 1.606243; rise_capacitance : 1.775640; timing () { related_pin : "CK"; timing_type : min_pulse_width; fall_constraint(Pulse_width_3) { index_1 ("0.00231025,0.112657,0.500000"); values ("0.177544,0.223558,0.500500"); } } internal_power () { when : "!E & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.688614,2.659069,2.637077,2.670866,2.770347,2.940501,3.179663"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.999828,0.980395,0.943967,0.948123,1.017509,1.169637,1.414259"); } } internal_power () { when : "E & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.699480,4.664476,4.640359,4.670833,4.771801,4.949131,5.219257"); } rise_power(scalar) {values ("0.0"); } } } pin (E) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock_gate_enable_pin : true; capacitance : 0.892164; fall_capacitance : 0.836248; rise_capacitance : 0.892164; timing () { related_pin : "CK"; timing_type : hold_rising; fall_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.061754,-0.028264,-0.011332", \ "-0.041360,-0.023386,-0.061090", \ "0.256334,0.282162,0.205338"); } rise_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.001182,0.031105,0.047605", \ "0.053877,0.095239,0.113273", \ "0.199058,0.240700,0.256505"); } } timing () { related_pin : "CK"; timing_type : setup_rising; fall_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.139695,0.103141,0.079834", \ "0.196855,0.159689,0.138809", \ "0.342662,0.307231,0.286770"); } rise_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.129314,0.104998,0.186208", \ "0.174998,0.150327,0.230323", \ "0.244483,0.218658,0.295499"); } } internal_power () { when : "!CK & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.743149,2.730026,2.711780,2.701114,2.711880,2.765958,2.863712"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.050448,2.032233,2.009639,1.991001,1.996319,2.040690,2.142206"); } } internal_power () { when : "CK & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.414243,0.398652,0.390789,0.387260,0.384839,0.384295,0.383635"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.346002,-0.347516,-0.350252,-0.352124,-0.353035,-0.353582,-0.354047"); } } internal_power () { when : "CK & GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.357047,0.360071,0.360864,0.360684,0.360612,0.360785,0.360972"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.252251,-0.275325,-0.303478,-0.318217,-0.325676,-0.330190,-0.333518"); } } } pin (GCK) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 60.699540; state_function : "(CK & IQ)"; clock_gate_out_pin : true; timing () { related_pin : "CK"; when : "E"; sdf_cond : "(E == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("0.076476,0.090319,0.103632,0.126257,0.166779,0.244022,0.397068", \ "0.080851,0.094691,0.108009,0.130638,0.171166,0.248421,0.401451", \ "0.098861,0.112626,0.125947,0.148596,0.189151,0.266427,0.419497", \ "0.135265,0.149101,0.162444,0.185201,0.225837,0.303152,0.456237", \ "0.179712,0.195568,0.210055,0.233938,0.275282,0.352612,0.505569", \ "0.227519,0.245575,0.261699,0.287217,0.329964,0.408303,0.561272", \ "0.278157,0.299185,0.317209,0.344854,0.389300,0.469001,0.622502"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("0.053170,0.068954,0.086124,0.118780,0.183088,0.311257,0.567431", \ "0.057567,0.073337,0.090514,0.123184,0.187487,0.315668,0.571834", \ "0.074028,0.089662,0.106774,0.139456,0.203840,0.332068,0.588287", \ "0.097750,0.114069,0.131335,0.164105,0.228386,0.356591,0.612889", \ "0.118978,0.136803,0.154547,0.187441,0.251892,0.380178,0.636109", \ "0.134551,0.155610,0.174724,0.207955,0.271995,0.400364,0.656282", \ "0.143857,0.168480,0.190066,0.224591,0.288479,0.416233,0.672379"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("0.014285,0.021190,0.029160,0.044911,0.077105,0.144062,0.280813", \ "0.014288,0.021188,0.029162,0.044914,0.077096,0.144054,0.280811", \ "0.014312,0.021233,0.029199,0.044922,0.077106,0.144045,0.280794", \ "0.015329,0.021848,0.029604,0.045148,0.077197,0.144065,0.280835", \ "0.019762,0.025880,0.033084,0.047718,0.078445,0.144311,0.280815", \ "0.025191,0.031160,0.037914,0.051699,0.081470,0.146002,0.280979", \ "0.031395,0.037557,0.044051,0.057016,0.085261,0.148155,0.282358"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("0.014688,0.025859,0.040271,0.070260,0.131436,0.254230,0.499855", \ "0.014680,0.025861,0.040275,0.070240,0.131433,0.254161,0.499910", \ "0.014791,0.025927,0.040313,0.070247,0.131412,0.254167,0.499913", \ "0.017117,0.027318,0.041189,0.070507,0.131466,0.254173,0.499995", \ "0.021649,0.030309,0.042880,0.071457,0.131942,0.254305,0.499847", \ "0.027573,0.035669,0.046461,0.072834,0.132494,0.254821,0.499891", \ "0.034168,0.042823,0.052504,0.075914,0.133288,0.255392,0.500246"); } } timing () { related_pin : "CK"; timing_type : combinational_fall; when : "!E"; sdf_cond : "(E == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("0.076470,0.090305,0.103607,0.126208,0.166753,0.246426,0.399360", \ "0.080859,0.094696,0.108002,0.130601,0.171154,0.250836,0.403779", \ "0.098864,0.112633,0.125929,0.148557,0.189135,0.268821,0.421788", \ "0.135234,0.149089,0.162472,0.185165,0.225816,0.305570,0.458536", \ "0.179824,0.195562,0.210084,0.233846,0.275273,0.355167,0.507774", \ "0.227396,0.245577,0.261708,0.287257,0.330033,0.410933,0.563071", \ "0.278258,0.298983,0.317090,0.344595,0.389714,0.471145,0.623277"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("0.014279,0.021172,0.029129,0.044867,0.077771,0.146430,0.279673", \ "0.014282,0.021172,0.029129,0.044870,0.077784,0.146428,0.279735", \ "0.014308,0.021220,0.029162,0.044886,0.077762,0.146436,0.279670", \ "0.015328,0.021827,0.029570,0.045117,0.077877,0.146435,0.279733", \ "0.019749,0.025851,0.033029,0.047687,0.079366,0.146534,0.279663", \ "0.025194,0.031112,0.037836,0.051670,0.082923,0.147676,0.279607", \ "0.031340,0.037512,0.043948,0.057063,0.087461,0.149041,0.280150"); } } internal_power () { related_pin : "CK"; when : "!E"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("3.788482,4.208614,4.502323,5.005516,6.103150,6.294630,6.321197", \ "3.710922,4.140877,4.437694,4.946033,6.041275,6.232361,6.258656", \ "3.639653,4.067356,4.370422,4.881325,5.969206,6.173163,6.202482", \ "3.714541,4.112472,4.407584,4.927319,6.026493,6.226167,6.257244", \ "3.775290,4.231124,4.601836,5.165565,6.210308,6.402013,6.435228", \ "3.978702,4.429940,4.791101,5.500203,6.543305,6.704603,6.745484", \ "4.370211,4.802913,5.199437,6.000059,6.919013,7.169625,7.211014"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "CK"; when : "E"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("3.624209,3.880598,4.023792,4.118085,4.155177,4.166912,4.169239", \ "3.564441,3.809565,3.956560,4.054983,4.092595,4.104764,4.107720", \ "3.484234,3.755503,3.887654,3.991644,4.032794,4.047334,4.052640", \ "3.551057,3.783230,3.927777,4.033037,4.078214,4.097422,4.106645", \ "3.575519,3.868373,4.067855,4.193507,4.249839,4.271824,4.285856", \ "3.734263,3.993002,4.202767,4.398195,4.547563,4.575215,4.588501", \ "4.071546,4.316131,4.507637,4.723695,4.884272,5.032048,5.042438"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("2.402314,2.581497,2.632278,2.670006,2.653782,2.664779,2.679095", \ "2.363955,2.547998,2.604816,2.611309,2.594001,2.606397,2.614428", \ "2.294372,2.468969,2.526410,2.529740,2.584470,2.599121,2.540900", \ "2.322724,2.477298,2.534668,2.545943,2.572711,2.554345,2.571790", \ "2.511147,2.649532,2.669435,2.664832,2.688020,2.679755,2.716007", \ "2.785580,2.959799,2.989026,2.972166,2.927844,2.947956,3.011902", \ "3.154854,3.390109,3.456362,3.444297,3.442119,3.437359,3.384962"); } } } } /****************************************************************************************** Module : CLKGATE_X2 Cell Description : Pos.edge clock gating cell with drive strength X2 *******************************************************************************************/ cell (CLKGATE_X2) { drive_strength : 2; statetable ("CK E","IQ") { table : "L L : - : L ,\ L H : - : H ,\ H - : - : N " ; } clock_gating_integrated_cell : latch_posedge; area : 3.724000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 44.466908; leakage_power () { when : "!CK & !E & !GCK"; value : 33.888685; } leakage_power () { when : "!CK & E & !GCK"; value : 52.708736; } leakage_power () { when : "CK & !E & !GCK"; value : 37.080694; } leakage_power () { when : "CK & !E & GCK"; value : 48.981886; } leakage_power () { when : "CK & E & !GCK"; value : 44.113373; } leakage_power () { when : "CK & E & GCK"; value : 50.028073; } pin (IQ) { direction : internal; internal_node : IQ; } pin (CK) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock_gate_clock_pin : true; capacitance : 2.477448; fall_capacitance : 2.241796; rise_capacitance : 2.477448; timing () { related_pin : "CK"; timing_type : min_pulse_width; fall_constraint(Pulse_width_3) { index_1 ("0.00231025,0.112657,0.500000"); values ("0.231579,0.258936,0.500500"); } } internal_power () { when : "!E & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.165292,3.135549,3.113734,3.144689,3.243831,3.409110,3.647820"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.830389,0.811719,0.772236,0.775134,0.843131,0.996129,1.228717"); } } internal_power () { when : "E & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("6.054940,6.020976,5.996978,6.022921,6.121595,6.296885,6.560089"); } rise_power(scalar) {values ("0.0"); } } } pin (E) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock_gate_enable_pin : true; capacitance : 0.868526; fall_capacitance : 0.812672; rise_capacitance : 0.868526; timing () { related_pin : "CK"; timing_type : hold_rising; fall_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.078496,-0.034980,-0.010022", \ "-0.087650,-0.054441,-0.062866", \ "0.205662,0.244295,0.168412"); } rise_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.001535,0.029815,0.043330", \ "0.051023,0.090470,0.106978", \ "0.200497,0.239236,0.256297"); } } timing () { related_pin : "CK"; timing_type : setup_rising; fall_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.149160,0.114594,0.094753", \ "0.206398,0.170923,0.152536", \ "0.354063,0.320710,0.301877"); } rise_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.181828,0.143380,0.221882", \ "0.226716,0.189022,0.266275", \ "0.295156,0.256526,0.332426"); } } internal_power () { when : "!CK & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.180770,3.167216,3.148265,3.137344,3.145559,3.188915,3.291903"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.906072,2.889302,2.865003,2.842708,2.845897,2.886264,2.984841"); } } internal_power () { when : "CK & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.412399,0.398376,0.390720,0.387777,0.385203,0.384353,0.383293"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.345681,-0.347580,-0.350250,-0.351863,-0.352800,-0.353428,-0.353760"); } } internal_power () { when : "CK & GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.357485,0.360282,0.361292,0.360859,0.360838,0.360945,0.361024"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.251940,-0.274264,-0.303819,-0.318003,-0.325531,-0.330010,-0.333321"); } } } pin (GCK) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 121.242400; state_function : "(CK & IQ)"; clock_gate_out_pin : true; timing () { related_pin : "CK"; when : "E"; sdf_cond : "(E == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.790840,7.581690,15.163400,30.326700,60.653500,121.307000"); values ("0.073433,0.088841,0.101875,0.124214,0.164491,0.241573,0.394455", \ "0.077800,0.093200,0.106245,0.128590,0.168870,0.245952,0.398823", \ "0.095832,0.111152,0.124190,0.146566,0.186877,0.263985,0.416860", \ "0.132021,0.147479,0.160582,0.183041,0.223433,0.300580,0.453485", \ "0.175565,0.193256,0.207508,0.231093,0.272198,0.349348,0.502140", \ "0.222358,0.242620,0.258437,0.283615,0.326003,0.404130,0.556874", \ "0.272052,0.295386,0.313103,0.340368,0.384675,0.463663,0.617123"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.790840,7.581690,15.163400,30.326700,60.653500,121.307000"); values ("0.050918,0.068671,0.085780,0.118391,0.182609,0.310627,0.566506", \ "0.055306,0.073047,0.090159,0.122774,0.187008,0.315030,0.570898", \ "0.071777,0.089345,0.106412,0.139045,0.203343,0.331441,0.587342", \ "0.095002,0.113334,0.130584,0.163269,0.227472,0.355556,0.611474", \ "0.115284,0.135734,0.153309,0.186070,0.250472,0.378413,0.634314", \ "0.130147,0.153849,0.172769,0.205803,0.269989,0.397964,0.653663", \ "0.138422,0.166262,0.187567,0.221881,0.285666,0.413362,0.669224"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.790840,7.581690,15.163400,30.326700,60.653500,121.307000"); values ("0.013349,0.021065,0.029013,0.044781,0.077066,0.144080,0.280762", \ "0.013343,0.021064,0.029017,0.044785,0.077072,0.144081,0.280779", \ "0.013373,0.021113,0.029049,0.044801,0.077060,0.144080,0.280746", \ "0.014574,0.021780,0.029500,0.045041,0.077159,0.144100,0.280752", \ "0.018966,0.025813,0.032975,0.047645,0.078441,0.144349,0.280782", \ "0.024337,0.031035,0.037726,0.051510,0.081356,0.146037,0.280981", \ "0.030414,0.037406,0.043801,0.056737,0.085051,0.148116,0.282353"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.790840,7.581690,15.163400,30.326700,60.653500,121.307000"); values ("0.013738,0.026255,0.040710,0.070681,0.131802,0.254420,0.499835", \ "0.013739,0.026258,0.040704,0.070690,0.131801,0.254458,0.499802", \ "0.013857,0.026333,0.040738,0.070697,0.131789,0.254471,0.499809", \ "0.016332,0.027709,0.041631,0.070969,0.131823,0.254473,0.499860", \ "0.020989,0.030586,0.043245,0.071887,0.132321,0.254502,0.499773", \ "0.026821,0.035912,0.046754,0.073212,0.132855,0.255052,0.499880", \ "0.033356,0.043028,0.052714,0.076210,0.133660,0.255654,0.500261"); } } timing () { related_pin : "CK"; timing_type : combinational_fall; when : "!E"; sdf_cond : "(E == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.790840,7.581690,15.163400,30.326700,60.653500,121.307000"); values ("0.073430,0.088827,0.101859,0.124180,0.164449,0.243214,0.396964", \ "0.077804,0.093197,0.106237,0.128561,0.168834,0.247609,0.401350", \ "0.095831,0.111140,0.124173,0.146524,0.186833,0.265621,0.419395", \ "0.131995,0.147490,0.160603,0.183018,0.223394,0.302228,0.456004", \ "0.175608,0.193276,0.207483,0.231044,0.272164,0.351232,0.504591", \ "0.222251,0.242620,0.258395,0.283514,0.325938,0.406445,0.559116", \ "0.272063,0.295314,0.313036,0.340442,0.384756,0.466282,0.618768"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.790840,7.581690,15.163400,30.326700,60.653500,121.307000"); values ("0.013341,0.021058,0.028995,0.044741,0.077395,0.146732,0.280224", \ "0.013343,0.021060,0.028995,0.044744,0.077396,0.146734,0.280225", \ "0.013367,0.021104,0.029030,0.044758,0.077392,0.146728,0.280238", \ "0.014569,0.021771,0.029474,0.045007,0.077487,0.146750,0.280205", \ "0.018954,0.025790,0.032937,0.047600,0.078890,0.146912,0.280058", \ "0.024334,0.030998,0.037673,0.051463,0.082077,0.148381,0.279885", \ "0.030398,0.037356,0.043726,0.056669,0.086200,0.150227,0.280427"); } } internal_power () { related_pin : "CK"; when : "!E"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.790840,7.581690,15.163400,30.326700,60.653500,121.307000"); values ("5.431824,6.137278,6.523659,7.051132,8.519992,8.835932,8.877360", \ "5.360368,6.056780,6.427826,6.965970,8.431124,8.744142,8.785365", \ "5.247195,5.936297,6.319080,6.855196,8.331153,8.647094,8.697130", \ "5.307453,5.984056,6.349874,6.916526,8.383794,8.719733,8.772181", \ "5.402219,6.119962,6.573793,7.215836,8.677914,8.969730,9.025503", \ "5.672313,6.356179,6.827724,7.563964,9.160020,9.419195,9.482222", \ "6.218694,6.869895,7.346204,8.209349,9.711251,10.103360,10.166260"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "CK"; when : "E"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.790840,7.581690,15.163400,30.326700,60.653500,121.307000"); values ("5.363056,5.828083,6.052368,6.196549,6.258693,6.279491,6.287861", \ "5.246017,5.745739,5.950612,6.105721,6.167777,6.187299,6.197170", \ "5.175798,5.623307,5.847160,5.994720,6.066283,6.095296,6.106794", \ "5.239793,5.672259,5.889399,6.047845,6.122890,6.163574,6.184115", \ "5.263360,5.779525,6.062069,6.268556,6.367339,6.409971,6.437378", \ "5.506978,5.950266,6.229221,6.546994,6.790310,6.852957,6.881875", \ "6.031517,6.420749,6.712159,7.022374,7.284960,7.514198,7.544824"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.790840,7.581690,15.163400,30.326700,60.653500,121.307000"); values ("3.602810,3.955475,4.051996,4.076207,4.153286,4.060708,4.124723", \ "3.540589,3.904258,3.968539,4.073812,4.120134,4.133080,4.027064", \ "3.454528,3.799503,3.904061,3.940649,3.925564,3.971710,4.046612", \ "3.499471,3.812609,3.878418,3.978015,4.013988,4.024359,3.972349", \ "3.754527,4.068172,4.100262,4.028437,4.150541,4.226622,4.172847", \ "4.121875,4.537074,4.583569,4.608095,4.569567,4.507210,4.610088", \ "4.679555,5.153722,5.235005,5.280664,5.235544,5.260294,5.200329"); } } } } /****************************************************************************************** Module : CLKGATE_X4 Cell Description : Pos.edge clock gating cell with drive strength X4 *******************************************************************************************/ cell (CLKGATE_X4) { drive_strength : 4; statetable ("CK E","IQ") { table : "L L : - : L ,\ L H : - : H ,\ H - : - : N " ; } clock_gating_integrated_cell : latch_posedge; area : 4.522000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 73.077841; leakage_power () { when : "!CK & !E & !GCK"; value : 53.110985; } leakage_power () { when : "!CK & E & !GCK"; value : 88.054331; } leakage_power () { when : "CK & !E & !GCK"; value : 60.861142; } leakage_power () { when : "CK & !E & GCK"; value : 83.748770; } leakage_power () { when : "CK & E & !GCK"; value : 67.898856; } leakage_power () { when : "CK & E & GCK"; value : 84.792962; } pin (IQ) { direction : internal; internal_node : IQ; } pin (CK) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock_gate_clock_pin : true; capacitance : 4.115104; fall_capacitance : 3.739809; rise_capacitance : 4.115104; timing () { related_pin : "CK"; timing_type : min_pulse_width; fall_constraint(Pulse_width_3) { index_1 ("0.00231025,0.112657,0.500000"); values ("0.221199,0.257695,0.504109"); } } internal_power () { when : "!E & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.033816,4.006819,3.984751,4.017826,4.114512,4.282265,4.518279"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.217587,0.198024,0.160019,0.161964,0.226004,0.380093,0.604002"); } } internal_power () { when : "E & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("8.233803,8.199959,8.176656,8.202137,8.299370,8.478338,8.749664"); } rise_power(scalar) {values ("0.0"); } } } pin (E) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock_gate_enable_pin : true; capacitance : 0.862270; fall_capacitance : 0.806528; rise_capacitance : 0.862270; timing () { related_pin : "CK"; timing_type : hold_rising; fall_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.075144,-0.047347,-0.040857", \ "-0.059398,-0.036159,-0.082510", \ "0.200595,0.231458,0.152971"); } rise_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.001453,0.028888,0.040331", \ "0.052356,0.088931,0.103996", \ "0.226704,0.264640,0.280370"); } } timing () { related_pin : "CK"; timing_type : setup_rising; fall_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.180607,0.148332,0.132373", \ "0.238106,0.205249,0.190449", \ "0.397136,0.365639,0.350554"); } rise_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.167784,0.137808,0.220585", \ "0.215633,0.185901,0.266929", \ "0.300223,0.269363,0.347868"); } } internal_power () { when : "!CK & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.798791,4.788678,4.771468,4.757971,4.770680,4.827046,4.941683"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.165021,4.148722,4.126817,4.103461,4.108328,4.152021,4.254494"); } } internal_power () { when : "CK & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.413412,0.399439,0.391676,0.387741,0.385603,0.384703,0.383800"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.345896,-0.347767,-0.350088,-0.351657,-0.352553,-0.353224,-0.353648"); } } internal_power () { when : "CK & GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.357457,0.360477,0.361404,0.360826,0.360808,0.361192,0.361059"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.252275,-0.274106,-0.303438,-0.317681,-0.325193,-0.329695,-0.333004"); } } } pin (GCK) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 242.310000; state_function : "(CK & IQ)"; clock_gate_out_pin : true; timing () { related_pin : "CK"; when : "E"; sdf_cond : "(E == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.070581,0.086829,0.099722,0.121882,0.161990,0.238958,0.391692", \ "0.074934,0.091181,0.104075,0.126244,0.166360,0.243330,0.396067", \ "0.093014,0.109168,0.122068,0.144267,0.184421,0.261408,0.414159", \ "0.128961,0.145381,0.158336,0.180640,0.220861,0.297884,0.450657", \ "0.171704,0.190469,0.204584,0.228010,0.268978,0.346027,0.498637", \ "0.217391,0.239129,0.254777,0.279751,0.321949,0.400054,0.552563", \ "0.266143,0.291061,0.308766,0.335759,0.379713,0.458646,0.611968"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.048690,0.067490,0.084551,0.117115,0.181299,0.309221,0.564909", \ "0.053090,0.071867,0.088933,0.121513,0.185695,0.313631,0.569308", \ "0.069519,0.088115,0.105132,0.137733,0.202007,0.330003,0.585810", \ "0.092091,0.111517,0.128724,0.161388,0.225587,0.353608,0.609300", \ "0.111561,0.133313,0.150836,0.183504,0.247833,0.375783,0.631441", \ "0.125397,0.151000,0.169596,0.202621,0.266636,0.394569,0.650375", \ "0.132836,0.162867,0.183631,0.217904,0.281610,0.409206,0.665125"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.012630,0.020770,0.028710,0.044486,0.076834,0.143911,0.280520", \ "0.012630,0.020773,0.028715,0.044490,0.076827,0.143916,0.280525", \ "0.012652,0.020822,0.028749,0.044510,0.076839,0.143910,0.280524", \ "0.014041,0.021569,0.029233,0.044771,0.076950,0.143934,0.280522", \ "0.018422,0.025618,0.032735,0.047403,0.078269,0.144190,0.280524", \ "0.023805,0.030824,0.037472,0.051223,0.081114,0.145878,0.280772", \ "0.029856,0.037199,0.043535,0.056410,0.084731,0.147914,0.282113"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.012897,0.026106,0.040576,0.070587,0.131684,0.254210,0.499471", \ "0.012894,0.026107,0.040584,0.070559,0.131661,0.254218,0.499386", \ "0.013034,0.026184,0.040606,0.070582,0.131695,0.254193,0.499490", \ "0.015632,0.027548,0.041506,0.070868,0.131715,0.254205,0.499502", \ "0.020354,0.030406,0.043082,0.071753,0.132193,0.254323,0.499376", \ "0.026163,0.035665,0.046534,0.073056,0.132728,0.254814,0.499520", \ "0.032630,0.042760,0.052470,0.076001,0.133551,0.255445,0.499941"); } } timing () { related_pin : "CK"; timing_type : combinational_fall; when : "!E"; sdf_cond : "(E == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.070581,0.086822,0.099709,0.121846,0.161949,0.239484,0.394520", \ "0.074943,0.091176,0.104070,0.126222,0.166322,0.243871,0.398899", \ "0.093010,0.109162,0.122045,0.144232,0.184375,0.261932,0.416989", \ "0.128933,0.145383,0.158371,0.180617,0.220818,0.298411,0.453489", \ "0.171714,0.190448,0.204607,0.227971,0.268925,0.346606,0.501442", \ "0.217479,0.239111,0.254783,0.279704,0.321854,0.400896,0.555287", \ "0.266453,0.291151,0.308755,0.335755,0.379567,0.459778,0.614311"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.012631,0.020762,0.028691,0.044454,0.076878,0.146547,0.281635", \ "0.012626,0.020766,0.028693,0.044455,0.076867,0.146548,0.281620", \ "0.012651,0.020810,0.028725,0.044473,0.076869,0.146543,0.281613", \ "0.014044,0.021557,0.029211,0.044731,0.076978,0.146562,0.281610", \ "0.018413,0.025599,0.032701,0.047373,0.078327,0.146852,0.281479", \ "0.023787,0.030796,0.037413,0.051169,0.081229,0.148604,0.281313", \ "0.029794,0.037153,0.043451,0.056322,0.084975,0.150791,0.281897"); } } internal_power () { related_pin : "CK"; when : "!E"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("8.525641,9.592118,10.093080,10.662260,12.402770,14.129300,14.250990", \ "8.395920,9.432953,9.950788,10.525160,12.239270,13.975850,14.099280", \ "8.221520,9.230944,9.743132,10.328250,12.081030,13.812280,13.951260", \ "8.343689,9.310722,9.801831,10.396240,12.173760,13.930980,14.074910", \ "8.417321,9.493515,10.108420,10.804360,12.685280,14.345570,14.502110", \ "8.902251,9.865704,10.484730,11.345500,13.661700,15.081110,15.240550", \ "9.811529,10.678380,11.312850,12.240330,14.750740,16.214860,16.371180"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "CK"; when : "E"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("8.482909,9.342381,9.664168,9.921173,10.045210,10.083200,10.107640", \ "8.295097,9.183854,9.518856,9.766824,9.888284,9.932425,9.957428", \ "8.182158,8.987325,9.336374,9.585929,9.713393,9.774048,9.803500", \ "8.233186,9.058716,9.393759,9.664896,9.811276,9.888726,9.925128", \ "8.309365,9.167214,9.680592,10.020610,10.200560,10.289420,10.345700", \ "8.763240,9.504916,9.997523,10.487110,10.894280,11.020290,11.072350", \ "9.682259,10.312280,10.743260,11.242480,11.690350,12.095320,12.160640"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("5.871166,6.501867,6.662120,6.775430,6.732048,6.860197,6.809375", \ "5.780283,6.408701,6.509604,6.575455,6.628896,6.671713,6.637381", \ "5.682415,6.262545,6.403333,6.343208,6.595451,6.470951,6.444242", \ "5.734861,6.266085,6.358322,6.443787,6.442374,6.604059,6.619175", \ "6.137806,6.696940,6.762215,6.782195,6.779148,6.969082,6.956295", \ "6.688118,7.444886,7.488595,7.480559,7.518494,7.426740,7.438889", \ "7.526285,8.392342,8.603222,8.700630,8.639441,8.474750,8.440075"); } } } } /****************************************************************************************** Module : CLKGATE_X8 Cell Description : Pos.edge clock gating cell with drive strength X8 *******************************************************************************************/ cell (CLKGATE_X8) { drive_strength : 8; statetable ("CK E","IQ") { table : "L L : - : L ,\ L H : - : H ,\ H - : - : N " ; } clock_gating_integrated_cell : latch_posedge; area : 6.916000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 131.516008; leakage_power () { when : "!CK & !E & !GCK"; value : 92.682760; } leakage_power () { when : "!CK & E & !GCK"; value : 160.600644; } leakage_power () { when : "CK & !E & !GCK"; value : 108.833757; } leakage_power () { when : "CK & !E & GCK"; value : 153.730045; } leakage_power () { when : "CK & E & !GCK"; value : 118.169103; } leakage_power () { when : "CK & E & GCK"; value : 155.079738; } pin (IQ) { direction : internal; internal_node : IQ; } pin (CK) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock_gate_clock_pin : true; capacitance : 7.464502; fall_capacitance : 6.819962; rise_capacitance : 7.464502; timing () { related_pin : "CK"; timing_type : min_pulse_width; fall_constraint(Pulse_width_3) { index_1 ("0.00231025,0.112657,0.500000"); values ("0.244401,0.273522,0.533635"); } } internal_power () { when : "!E & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("6.232406,6.214478,6.194076,6.218680,6.304886,6.470372,6.694571"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.753815,-0.766672,-0.818560,-0.819692,-0.772100,-0.628440,-0.403121"); } } internal_power () { when : "E & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("12.734460,12.703850,12.679620,12.697890,12.784590,12.968690,13.240230"); } rise_power(scalar) {values ("0.0"); } } } pin (E) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock_gate_enable_pin : true; capacitance : 1.138843; fall_capacitance : 1.064968; rise_capacitance : 1.138843; timing () { related_pin : "CK"; timing_type : hold_rising; fall_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.067215,-0.041728,-0.044144", \ "-0.040415,-0.023203,-0.074458", \ "0.192994,0.219264,0.140214"); } rise_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.000592,0.031572,0.045031", \ "0.053212,0.089111,0.102790", \ "0.253919,0.286555,0.306899"); } } timing () { related_pin : "CK"; timing_type : setup_rising; fall_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.203506,0.171856,0.157020", \ "0.260886,0.228965,0.215289", \ "0.425323,0.395163,0.380767"); } rise_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.162288,0.136570,0.221233", \ "0.211323,0.185901,0.268890", \ "0.307824,0.281558,0.360625"); } } internal_power () { when : "!CK & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("8.330901,8.316577,8.295117,8.282955,8.301581,8.406076,8.622679"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("6.392332,6.371212,6.347100,6.321037,6.335441,6.407466,6.567164"); } } internal_power () { when : "CK & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.558972,0.537828,0.526887,0.521974,0.517975,0.517272,0.515884"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.465977,-0.467327,-0.469650,-0.472265,-0.473556,-0.474438,-0.475054"); } } internal_power () { when : "CK & GCK"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.481871,0.485047,0.485752,0.484981,0.484929,0.486457,0.484522"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.337747,-0.368213,-0.406094,-0.426082,-0.436425,-0.442532,-0.446921"); } } } pin (GCK) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 484.125200; state_function : "(CK & IQ)"; clock_gate_out_pin : true; timing () { related_pin : "CK"; when : "E"; sdf_cond : "(E == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); values ("0.070245,0.087184,0.100147,0.122361,0.162554,0.239660,0.392639", \ "0.074627,0.091564,0.104536,0.126753,0.166957,0.244071,0.397040", \ "0.092727,0.109571,0.122544,0.144803,0.185044,0.262175,0.415161", \ "0.128633,0.145744,0.158794,0.181147,0.221455,0.298629,0.451647", \ "0.171212,0.190820,0.205075,0.228571,0.269629,0.346762,0.499601", \ "0.216954,0.239486,0.255263,0.280319,0.322628,0.400825,0.553638", \ "0.265500,0.291728,0.309279,0.336413,0.380382,0.459422,0.612788"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); values ("0.048277,0.067841,0.084993,0.117648,0.181928,0.310045,0.566042", \ "0.052680,0.072232,0.089394,0.122065,0.186364,0.314483,0.570533", \ "0.069097,0.088458,0.105582,0.138270,0.202640,0.330831,0.586863", \ "0.091567,0.111800,0.129083,0.161879,0.226178,0.354387,0.610508", \ "0.110889,0.133524,0.151113,0.183980,0.248517,0.376683,0.632784", \ "0.124429,0.151228,0.169884,0.202993,0.267358,0.395736,0.651725", \ "0.131757,0.162718,0.184024,0.218305,0.282435,0.410440,0.666691"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); values ("0.012398,0.020836,0.028779,0.044577,0.076985,0.144152,0.280957", \ "0.012406,0.020838,0.028788,0.044578,0.076992,0.144154,0.280951", \ "0.012418,0.020887,0.028817,0.044593,0.076976,0.144146,0.280935", \ "0.013821,0.021623,0.029306,0.044849,0.077074,0.144177,0.280973", \ "0.018187,0.025666,0.032814,0.047490,0.078416,0.144419,0.280927", \ "0.023529,0.030856,0.037564,0.051297,0.081258,0.146107,0.281193", \ "0.029565,0.037198,0.043602,0.056466,0.084853,0.148177,0.282553"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); values ("0.012565,0.026159,0.040647,0.070639,0.131816,0.254498,0.500099", \ "0.012571,0.026161,0.040646,0.070665,0.131818,0.254570,0.500081", \ "0.012714,0.026238,0.040674,0.070663,0.131825,0.254563,0.500094", \ "0.015334,0.027591,0.041562,0.070957,0.131825,0.254531,0.500076", \ "0.020043,0.030422,0.043140,0.071833,0.132362,0.254633,0.500138", \ "0.025831,0.035648,0.046581,0.073149,0.132873,0.255136,0.500146", \ "0.032229,0.042802,0.052471,0.076097,0.133670,0.255696,0.500499"); } } timing () { related_pin : "CK"; timing_type : combinational_fall; when : "!E"; sdf_cond : "(E == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); values ("0.070242,0.087180,0.100135,0.122336,0.162512,0.239755,0.395693", \ "0.074631,0.091567,0.104530,0.126738,0.166924,0.244163,0.400100", \ "0.092727,0.109562,0.122527,0.144771,0.185005,0.262273,0.418223", \ "0.128618,0.145752,0.158806,0.181133,0.221421,0.298714,0.454695", \ "0.171277,0.190796,0.205097,0.228522,0.269559,0.346837,0.502656", \ "0.217042,0.239502,0.255282,0.280245,0.322488,0.400843,0.556611", \ "0.265775,0.291571,0.309270,0.336342,0.380197,0.459547,0.615666"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); values ("0.012399,0.020833,0.028765,0.044552,0.076965,0.145741,0.283058", \ "0.012396,0.020834,0.028767,0.044546,0.076958,0.145746,0.283057", \ "0.012423,0.020881,0.028801,0.044559,0.076955,0.145735,0.283052", \ "0.013819,0.021619,0.029292,0.044821,0.077072,0.145735,0.283075", \ "0.018170,0.025665,0.032781,0.047449,0.078388,0.145993,0.283070", \ "0.023519,0.030847,0.037499,0.051247,0.081226,0.147835,0.283212", \ "0.029531,0.037200,0.043526,0.056398,0.084814,0.150176,0.284368"); } } internal_power () { related_pin : "CK"; when : "!E"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); values ("15.002410,17.039160,17.934270,18.807400,20.772980,25.353160,25.789730", \ "14.787950,16.778350,17.690540,18.517150,20.516540,25.100980,25.540180", \ "14.527530,16.446840,17.331410,18.190780,20.211140,24.836060,25.268910", \ "14.746010,16.562450,17.404410,18.298460,20.375740,25.005570,25.481040", \ "14.804040,16.763460,17.884800,18.972300,21.081230,25.707090,26.215590", \ "15.710570,17.395490,18.479950,19.741170,22.370550,27.032350,27.508610", \ "17.352820,18.851510,19.824410,21.194380,23.955480,29.019900,29.488550"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "CK"; when : "E"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); values ("14.973250,16.860360,17.522230,18.050860,18.306620,18.387980,18.434150", \ "14.797490,16.589130,17.283320,17.791780,18.055450,18.145560,18.181870", \ "14.556380,16.241980,16.900960,17.439890,17.732460,17.865200,17.913610", \ "14.694680,16.348670,16.995830,17.526560,17.864560,18.044180,18.126300", \ "14.718870,16.586770,17.492600,18.165320,18.559970,18.737460,18.845130", \ "15.611240,17.134970,18.026910,18.960060,19.783000,20.031420,20.141940", \ "17.338930,18.526760,19.342790,20.310960,21.145740,21.930090,22.050090"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); values ("10.488400,11.681680,12.000150,11.979500,12.374050,12.403380,12.251640", \ "10.292880,11.528360,11.721890,12.146540,12.065180,12.121620,12.295940", \ "10.029790,11.253280,11.569960,11.727640,11.627770,11.764440,11.952610", \ "10.195180,11.238440,11.546780,11.388520,11.850100,12.023230,11.697000", \ "10.807420,12.023070,12.149590,12.121160,12.379630,12.410460,12.390230", \ "11.670440,13.299010,13.510130,13.487460,13.226150,13.403650,13.714710", \ "13.224680,14.994700,15.477290,15.651610,15.449490,15.232450,15.439660"); } } } } /****************************************************************************************** Module : DFFRS_X1 Cell Description : Pos.edge D-Flip-Flop with active low reset, and active low set, and drive strength X1 *******************************************************************************************/ cell (DFFRS_X1) { drive_strength : 1; ff ("IQ" , "IQN") { next_state : "D"; clocked_on : "CK"; preset : "!SN"; clear : "!RN"; clear_preset_var1 : L; clear_preset_var2 : L; } area : 6.384000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 62.612403; leakage_power () { when : "!CK & !D & !RN & !SN & !Q & !QN"; value : 64.366300; } leakage_power () { when : "!CK & !D & !RN & SN & !Q & QN"; value : 61.655199; } leakage_power () { when : "!CK & !D & RN & !SN & Q & !QN"; value : 68.516736; } leakage_power () { when : "!CK & !D & RN & SN & !Q & QN"; value : 65.107765; } leakage_power () { when : "!CK & !D & RN & SN & Q & !QN"; value : 74.693398; } leakage_power () { when : "!CK & D & !RN & !SN & !Q & !QN"; value : 53.856839; } leakage_power () { when : "!CK & D & !RN & SN & !Q & QN"; value : 59.766172; } leakage_power () { when : "!CK & D & RN & !SN & Q & !QN"; value : 55.186364; } leakage_power () { when : "!CK & D & RN & SN & !Q & QN"; value : 62.927857; } leakage_power () { when : "!CK & D & RN & SN & Q & !QN"; value : 66.526505; } leakage_power () { when : "CK & !D & !RN & !SN & !Q & !QN"; value : 52.358081; } leakage_power () { when : "CK & !D & !RN & SN & !Q & QN"; value : 60.609183; } leakage_power () { when : "CK & !D & RN & !SN & Q & !QN"; value : 53.065090; } leakage_power () { when : "CK & !D & RN & SN & !Q & QN"; value : 64.914174; } leakage_power () { when : "CK & !D & RN & SN & Q & !QN"; value : 63.555845; } leakage_power () { when : "CK & D & !RN & !SN & !Q & !QN"; value : 61.653622; } leakage_power () { when : "CK & D & !RN & SN & !Q & QN"; value : 69.926289; } leakage_power () { when : "CK & D & RN & !SN & Q & !QN"; value : 54.422678; } leakage_power () { when : "CK & D & RN & SN & !Q & QN"; value : 74.228240; } leakage_power () { when : "CK & D & RN & SN & Q & !QN"; value : 64.911723; } pin (D) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.114706; fall_capacitance : 1.035804; rise_capacitance : 1.114706; timing () { related_pin : "CK"; timing_type : hold_rising; when : "RN & SN"; sdf_cond : "RN_AND_SN === 1'b1"; fall_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.004620,0.044927,0.085571", \ "0.026814,0.052438,0.067645", \ "0.293106,0.330246,0.325806"); } rise_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.012120,0.061787,0.115430", \ "0.083385,0.132396,0.184672", \ "0.235779,0.281721,0.324664"); } } timing () { related_pin : "CK"; timing_type : setup_rising; when : "RN & SN"; sdf_cond : "RN_AND_SN === 1'b1"; fall_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.143431,0.088842,0.027775", \ "0.200154,0.145724,0.086953", \ "0.366723,0.311184,0.250132"); } rise_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.068964,0.045262,0.068000", \ "0.118421,0.091285,0.108438", \ "0.207885,0.170506,0.174976"); } } internal_power () { when : "!CK & !RN & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.744015,2.727386,2.703939,2.692209,2.700608,2.760490,2.869396"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.485802,1.462567,1.437588,1.418332,1.419233,1.462236,1.565760"); } } internal_power () { when : "!CK & !RN & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.448849,3.430665,3.407833,3.395054,3.400285,3.447369,3.563942"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.850128,2.827581,2.803354,2.778742,2.783916,2.830726,2.956150"); } } internal_power () { when : "!CK & RN & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.743824,2.727202,2.704958,2.691942,2.700270,2.759384,2.872247"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.431815,1.409209,1.385901,1.368763,1.367537,1.407095,1.512232"); } } internal_power () { when : "!CK & RN & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.458056,3.441247,3.418320,3.403669,3.411868,3.458974,3.574261"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.917387,2.894864,2.864625,2.846424,2.850058,2.895688,3.018274"); } } internal_power () { when : "!CK & RN & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.422518,3.407464,3.383308,3.369722,3.376445,3.425841,3.540523"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.899134,2.876399,2.851614,2.828457,2.832504,2.877684,2.994150"); } } internal_power () { when : "CK & !RN & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.556544,0.535303,0.525462,0.520880,0.517312,0.516393,0.515372"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.459319,-0.465825,-0.467598,-0.472476,-0.473414,-0.474313,-0.475215"); } } internal_power () { when : "CK & !RN & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.556911,0.534837,0.525267,0.520194,0.516848,0.515963,0.515041"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.461301,-0.466385,-0.467564,-0.472452,-0.473405,-0.474275,-0.475163"); } } internal_power () { when : "CK & RN & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.480757,0.484218,0.485012,0.485626,0.485239,0.485773,0.484711"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.334250,-0.366624,-0.404744,-0.427325,-0.436820,-0.443359,-0.447843"); } } internal_power () { when : "CK & RN & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.555996,0.535435,0.525592,0.521045,0.517427,0.516552,0.515497"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.462931,-0.466659,-0.468095,-0.472984,-0.473797,-0.474774,-0.475317"); } } internal_power () { when : "CK & RN & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.480496,0.483970,0.484724,0.485360,0.484861,0.485428,0.484351"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.334338,-0.364796,-0.404390,-0.427022,-0.437236,-0.442948,-0.447050"); } } } pin (RN) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.387377; fall_capacitance : 1.373499; rise_capacitance : 1.387377; timing () { related_pin : "CK"; timing_type : recovery_rising; when : "SN"; sdf_cond : "SN === 1'b1"; rise_constraint(Recovery_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.172524,-0.228712,-0.293283", \ "-0.110569,-0.167869,-0.234067", \ "0.175870,0.098702,0.012017"); } } timing () { related_pin : "CK"; timing_type : removal_rising; when : "SN"; sdf_cond : "SN === 1'b1"; rise_constraint(Removal_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.573451,0.609475,0.664983", \ "0.582963,0.619077,0.674989", \ "0.757595,0.794314,0.849418"); } } timing () { related_pin : "RN"; timing_type : min_pulse_width; when : "SN"; sdf_cond : "SN === 1'b1"; fall_constraint(Pulse_width_3) { index_1 ("0.00231025,0.112657,0.500000"); values ("0.649815,0.720712,1.081173"); } } internal_power () { when : "!CK & !D & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.500758,0.503521,0.506000,0.507444,0.507910,0.508814,0.507863"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.352685,-0.352341,-0.358608,-0.359824,-0.357493,-0.358375,-0.357171"); } } internal_power () { when : "!CK & D & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.498802,0.501113,0.502497,0.505106,0.506395,0.507280,0.507589"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.323683,-0.327581,-0.334258,-0.339665,-0.342192,-0.342294,-0.341842"); } } internal_power () { when : "CK & !D & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.520316,0.516000,0.513693,0.514388,0.514685,0.515132,0.515074"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.353484,-0.355184,-0.361015,-0.362569,-0.362085,-0.360469,-0.360354"); } } internal_power () { when : "CK & D & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.520246,0.515763,0.512649,0.514320,0.514675,0.515023,0.514797"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.355367,-0.357019,-0.362862,-0.364414,-0.363990,-0.362287,-0.362405"); } } } pin (SN) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 2.201119; fall_capacitance : 2.043973; rise_capacitance : 2.201119; timing () { related_pin : "CK"; timing_type : recovery_rising; when : "RN"; sdf_cond : "RN === 1'b1"; rise_constraint(Recovery_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.206723,-0.255644,-0.314042", \ "-0.193223,-0.243489,-0.301421", \ "-0.105012,-0.171371,-0.249607"); } } timing () { related_pin : "CK"; timing_type : removal_rising; when : "RN"; sdf_cond : "RN === 1'b1"; rise_constraint(Removal_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.576810,0.627120,0.686714", \ "0.714837,0.764982,0.825139", \ "1.199677,1.249693,1.309491"); } } timing () { related_pin : "SN"; timing_type : min_pulse_width; when : "RN"; sdf_cond : "RN === 1'b1"; fall_constraint(Pulse_width_3) { index_1 ("0.00231025,0.112657,0.500000"); values ("0.507248,0.584786,0.983738"); } } internal_power () { when : "!CK & !D & RN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.028200,1.971361,1.929182,1.961683,2.051469,2.196940,2.410401"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.033739,0.017686,-0.006566,0.000025,0.059396,0.187621,0.394169"); } } internal_power () { when : "!CK & D & RN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.944227,0.922719,0.913227,0.910087,0.907112,0.905029,0.903046"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.665528,-0.666762,-0.670775,-0.678584,-0.690605,-0.707496,-0.720371"); } } internal_power () { when : "CK & !D & RN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.922456,0.908746,0.903152,0.901253,0.898512,0.897584,0.895037"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.643825,-0.645029,-0.648965,-0.656400,-0.668243,-0.684742,-0.706304"); } } internal_power () { when : "CK & D & RN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.921771,0.908381,0.902993,0.901117,0.898465,0.897483,0.894942"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.619305,-0.620369,-0.624070,-0.631190,-0.642348,-0.658188,-0.678718"); } } } pin (CK) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock : true; capacitance : 0.920926; fall_capacitance : 0.827341; rise_capacitance : 0.920926; timing () { related_pin : "CK"; timing_type : min_pulse_width; when : "RN & SN"; sdf_cond : "RN_AND_SN === 1'b1"; fall_constraint(Pulse_width_3) { index_1 ("0.00231025,0.112657,0.500000"); values ("0.218757,0.241247,0.500500"); } rise_constraint(Pulse_width_3) { index_1 ("0.00231025,0.112657,0.500000"); values ("0.132973,0.148768,0.500500"); } } internal_power () { when : "!D & !RN & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.220748,4.195152,4.168487,4.185078,4.275523,4.430484,4.652160"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.596314,3.583567,3.547380,3.546719,3.606448,3.750806,3.971470"); } } internal_power () { when : "!D & !RN & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.959696,2.933998,2.907653,2.929238,3.012093,3.169745,3.387460"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.792990,2.777280,2.742831,2.734862,2.786596,2.911775,3.125736"); } } internal_power () { when : "!D & RN & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.717776,4.692603,4.668394,4.695351,4.793943,4.959234,5.203770"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("11.628520,11.612890,11.579980,11.583840,11.645330,11.781690,12.010400"); } } internal_power () { when : "!D & RN & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.959441,2.933675,2.907263,2.928268,3.012634,3.169548,3.391099"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.799085,2.790656,2.753109,2.745801,2.798058,2.925566,3.137926"); } } internal_power () { when : "!D & RN & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.651989,5.626618,5.601093,5.626085,5.716891,5.872749,6.092344"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & !RN & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.363816,5.340168,5.310716,5.330256,5.417369,5.574318,5.802279"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.838843,5.824933,5.764775,5.740239,5.781740,5.907870,6.118120"); } } internal_power () { when : "D & !RN & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.447259,5.422845,5.393895,5.412683,5.490699,5.647286,5.877094"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("7.938112,7.922617,7.856372,7.817751,7.858340,7.977039,8.183612"); } } internal_power () { when : "D & RN & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.787095,2.762836,2.736839,2.756134,2.838328,2.994598,3.215102"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.915121,2.901545,2.865812,2.856907,2.922462,3.038195,3.242617"); } } internal_power () { when : "D & RN & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.553530,5.523949,5.496433,5.517725,5.599951,5.755140,5.987927"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & RN & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.791142,2.766887,2.741475,2.760008,2.844014,2.997234,3.220783"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.932860,2.919368,2.886149,2.877175,2.944312,3.058652,3.264571"); } } } pin (Q) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 60.603090; function : "IQ"; timing () { related_pin : "CK"; timing_type : rising_edge; timing_sense : non_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.262037,0.274930,0.286277,0.303688,0.330719,0.374653,0.450191", \ "0.267223,0.280119,0.291517,0.308870,0.335901,0.379847,0.455355", \ "0.284871,0.297766,0.309125,0.326507,0.353560,0.397499,0.472995", \ "0.308875,0.321771,0.333160,0.350528,0.377535,0.421563,0.497023", \ "0.332568,0.345432,0.356734,0.374072,0.401057,0.445142,0.520638", \ "0.353565,0.366589,0.377994,0.395653,0.422148,0.466153,0.542293", \ "0.371643,0.384598,0.395933,0.413201,0.440211,0.484215,0.559869"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.243911,0.262054,0.280886,0.315215,0.380531,0.508810,0.764386", \ "0.249184,0.267321,0.286177,0.320516,0.385806,0.514090,0.769670", \ "0.266944,0.285085,0.303931,0.338266,0.403603,0.531901,0.787496", \ "0.290331,0.308481,0.327309,0.361625,0.426982,0.555331,0.810953", \ "0.313050,0.331224,0.349834,0.384354,0.449601,0.578013,0.833684", \ "0.332913,0.351079,0.369873,0.404316,0.469576,0.597974,0.854381", \ "0.348372,0.366554,0.385685,0.420409,0.485306,0.613749,0.870063"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.0183566,0.0229650,0.0276467,0.0359733,0.0513370,0.0799221,0.137319", \ "0.0183570,0.0229666,0.0276416,0.0359787,0.0513376,0.0799310,0.137314", \ "0.0183574,0.0229720,0.0276406,0.0359704,0.0513380,0.0799311,0.137294", \ "0.0183573,0.0229628,0.0276408,0.0359795,0.0513351,0.0799274,0.137291", \ "0.0183584,0.0229726,0.0276418,0.0359721,0.0513389,0.0799317,0.137316", \ "0.0183634,0.0229743,0.0276449,0.0359671,0.0513390,0.0799191,0.137299", \ "0.0183624,0.0229731,0.0276456,0.0359733,0.0513377,0.0799159,0.137263"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.0199529,0.0311841,0.0450884,0.0738181,0.133340,0.254819,0.499631", \ "0.0199478,0.0311843,0.0450856,0.0738185,0.133342,0.254820,0.499631", \ "0.0199552,0.0311889,0.0450854,0.0738211,0.133342,0.254820,0.499627", \ "0.0199656,0.0311978,0.0450878,0.0738240,0.133341,0.254819,0.499626", \ "0.0199716,0.0312067,0.0450923,0.0738275,0.133341,0.254819,0.499627", \ "0.0199897,0.0312148,0.0451020,0.0738308,0.133343,0.254819,0.499624", \ "0.0199938,0.0312244,0.0451100,0.0738366,0.133347,0.254820,0.499629"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & !D & !SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.095215,0.106939,0.117326,0.133234,0.158131,0.199322,0.272843", \ "0.099887,0.111613,0.122005,0.137917,0.162812,0.204000,0.277518", \ "0.117619,0.129316,0.139693,0.155602,0.180506,0.221715,0.295287", \ "0.154361,0.166044,0.176338,0.192263,0.217202,0.258469,0.332094", \ "0.205928,0.219158,0.230414,0.247258,0.272874,0.314472,0.388197", \ "0.260981,0.276611,0.289806,0.308827,0.336933,0.380861,0.455825", \ "0.319013,0.336991,0.352388,0.374253,0.405304,0.451877,0.528955"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.074603,0.091958,0.110279,0.143988,0.208834,0.337223,0.593206", \ "0.079084,0.096443,0.114758,0.148462,0.213314,0.341705,0.597679", \ "0.095807,0.113128,0.131369,0.164989,0.229793,0.358220,0.614348", \ "0.126114,0.143638,0.161815,0.195249,0.259858,0.388230,0.644347", \ "0.157489,0.176544,0.195394,0.229182,0.293802,0.421774,0.677745", \ "0.184694,0.206332,0.226373,0.260987,0.325489,0.453490,0.709246", \ "0.206064,0.230744,0.253996,0.289901,0.354599,0.482067,0.737736"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.016420,0.020596,0.024935,0.032726,0.047226,0.075731,0.134808", \ "0.016419,0.020598,0.024934,0.032723,0.047218,0.075738,0.134813", \ "0.016423,0.020616,0.024954,0.032740,0.047225,0.075740,0.134797", \ "0.016609,0.020800,0.025117,0.032864,0.047290,0.075760,0.134805", \ "0.020990,0.024585,0.028339,0.035234,0.048715,0.076503,0.135065", \ "0.027163,0.030908,0.034531,0.041132,0.053913,0.080264,0.136741", \ "0.033908,0.038152,0.041892,0.048233,0.060359,0.085520,0.140399"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.018248,0.029404,0.043298,0.072208,0.132459,0.254927,0.500085", \ "0.018245,0.029406,0.043297,0.072207,0.132458,0.254868,0.500069", \ "0.018246,0.029413,0.043309,0.072216,0.132460,0.254856,0.500140", \ "0.019525,0.030189,0.043755,0.072378,0.132470,0.254900,0.500087", \ "0.023536,0.033302,0.046018,0.073656,0.132866,0.254935,0.500092", \ "0.029071,0.038453,0.049908,0.075673,0.133751,0.255201,0.500106", \ "0.035369,0.045333,0.055905,0.079357,0.134914,0.255886,0.500248"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & !D & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.096742,0.109135,0.120208,0.137305,0.164187,0.208494,0.284155", \ "0.101433,0.113834,0.124907,0.142005,0.168884,0.213213,0.288867", \ "0.119157,0.131535,0.142598,0.159700,0.186594,0.230937,0.306611", \ "0.155925,0.168215,0.179273,0.196356,0.223311,0.267725,0.343387", \ "0.207976,0.221828,0.233929,0.251968,0.279469,0.324091,0.399795", \ "0.263702,0.280302,0.294396,0.315137,0.345586,0.392632,0.468862", \ "0.322211,0.341498,0.358081,0.382174,0.416216,0.466678,0.544513"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.017271,0.021894,0.026720,0.035314,0.051229,0.080356,0.136486", \ "0.017269,0.021893,0.026716,0.035317,0.051228,0.080350,0.136486", \ "0.017277,0.021914,0.026741,0.035335,0.051228,0.080347,0.136483", \ "0.017452,0.022088,0.026890,0.035448,0.051283,0.080350,0.136458", \ "0.021974,0.026016,0.030203,0.037797,0.052592,0.080883,0.136527", \ "0.028514,0.032887,0.037104,0.044511,0.058542,0.084643,0.137386", \ "0.035832,0.040856,0.045357,0.052723,0.066224,0.090495,0.139787"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & D & !SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.095215,0.106939,0.117326,0.133234,0.158131,0.199321,0.272842", \ "0.099888,0.111613,0.122005,0.137917,0.162812,0.204000,0.277517", \ "0.117623,0.129317,0.139693,0.155603,0.180505,0.221715,0.295286", \ "0.154402,0.166079,0.176395,0.192248,0.217202,0.258469,0.332094", \ "0.206005,0.219193,0.230502,0.247312,0.272926,0.314521,0.388225", \ "0.261105,0.276586,0.289863,0.309020,0.337118,0.380936,0.455929", \ "0.318636,0.336729,0.351661,0.373938,0.404964,0.451547,0.528676"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.074587,0.091938,0.110257,0.143967,0.208809,0.337191,0.593178", \ "0.079066,0.096424,0.114738,0.148441,0.213292,0.341682,0.597707", \ "0.095795,0.113114,0.131351,0.164970,0.229776,0.358195,0.614256", \ "0.126076,0.143605,0.161838,0.195214,0.259837,0.388201,0.644321", \ "0.157423,0.176492,0.195377,0.229163,0.293752,0.421791,0.677781", \ "0.184677,0.206313,0.226541,0.260995,0.325465,0.453489,0.709226", \ "0.205763,0.230439,0.253525,0.289708,0.354413,0.481874,0.737543"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.016420,0.020597,0.024935,0.032726,0.047226,0.075731,0.134808", \ "0.016418,0.020598,0.024934,0.032723,0.047218,0.075738,0.134813", \ "0.016424,0.020616,0.024954,0.032745,0.047225,0.075740,0.134797", \ "0.016609,0.020796,0.025119,0.032864,0.047290,0.075760,0.134805", \ "0.020982,0.024579,0.028327,0.035230,0.048705,0.076502,0.135066", \ "0.027136,0.030919,0.034527,0.041106,0.053893,0.080258,0.136787", \ "0.033934,0.038188,0.041973,0.048283,0.060389,0.085545,0.140414"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.018251,0.029401,0.043296,0.072206,0.132456,0.254844,0.500078", \ "0.018242,0.029403,0.043294,0.072205,0.132452,0.254847,0.500030", \ "0.018243,0.029407,0.043305,0.072215,0.132459,0.254850,0.500072", \ "0.019523,0.030186,0.043753,0.072375,0.132485,0.254870,0.500085", \ "0.023541,0.033318,0.046015,0.073654,0.132853,0.254912,0.500081", \ "0.029069,0.038451,0.049888,0.075675,0.133747,0.255208,0.500103", \ "0.035400,0.045370,0.055963,0.079355,0.134922,0.255851,0.500248"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & D & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.096742,0.109139,0.120213,0.137307,0.164188,0.208498,0.284151", \ "0.101431,0.113835,0.124919,0.142009,0.168891,0.213222,0.288870", \ "0.119171,0.131536,0.142613,0.159704,0.186600,0.230946,0.306615", \ "0.156013,0.168313,0.179272,0.196411,0.223366,0.267754,0.343418", \ "0.208024,0.222037,0.234078,0.252081,0.279738,0.324348,0.399995", \ "0.264042,0.280339,0.294482,0.315330,0.345956,0.392788,0.469028", \ "0.322228,0.341532,0.358112,0.382110,0.416168,0.466614,0.544432"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.017271,0.021892,0.026716,0.035314,0.051229,0.080355,0.136478", \ "0.017272,0.021893,0.026719,0.035312,0.051222,0.080354,0.136514", \ "0.017275,0.021914,0.026739,0.035339,0.051223,0.080354,0.136512", \ "0.017449,0.022090,0.026892,0.035452,0.051283,0.080352,0.136462", \ "0.021968,0.025991,0.030187,0.037792,0.052576,0.080880,0.136530", \ "0.028477,0.032884,0.037095,0.044493,0.058499,0.084648,0.137389", \ "0.035797,0.040851,0.045363,0.052743,0.066232,0.090507,0.139802"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & !D & !SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.095444,0.107197,0.117610,0.133549,0.158483,0.199748,0.273325", \ "0.100119,0.111873,0.122284,0.138233,0.163185,0.204427,0.278007", \ "0.117853,0.129576,0.139975,0.155917,0.180867,0.222125,0.295717", \ "0.154577,0.166232,0.176674,0.192589,0.217595,0.258900,0.332557", \ "0.206085,0.219239,0.230701,0.247536,0.273172,0.314815,0.388561", \ "0.261046,0.276718,0.290253,0.309312,0.337430,0.381297,0.456302", \ "0.318615,0.336756,0.352366,0.374152,0.405262,0.451925,0.529109"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.451438,0.469307,0.487799,0.521521,0.586316,0.714728,0.970784", \ "0.456359,0.474246,0.492802,0.526501,0.591246,0.719667,0.975752", \ "0.469515,0.487395,0.505946,0.539649,0.604432,0.732841,0.988968", \ "0.491986,0.509885,0.528318,0.562024,0.626879,0.755306,1.011379", \ "0.525341,0.543165,0.561705,0.595711,0.660406,0.788785,1.044857", \ "0.567323,0.585197,0.603537,0.637459,0.702025,0.830496,1.086607", \ "0.616325,0.634205,0.653068,0.686319,0.751113,0.879515,1.135668"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.016461,0.020647,0.024990,0.032791,0.047296,0.075806,0.134831", \ "0.016464,0.020647,0.024991,0.032790,0.047288,0.075811,0.134845", \ "0.016463,0.020668,0.025009,0.032802,0.047301,0.075807,0.134835", \ "0.016646,0.020849,0.025166,0.032918,0.047372,0.075835,0.134844", \ "0.021027,0.024635,0.028377,0.035276,0.048775,0.076567,0.135099", \ "0.027230,0.030974,0.034571,0.041161,0.053970,0.080333,0.136826", \ "0.034064,0.038285,0.042005,0.048363,0.060490,0.085623,0.140469"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.019639,0.030570,0.044187,0.072686,0.132609,0.254909,0.500095", \ "0.019636,0.030569,0.044185,0.072686,0.132607,0.254906,0.500106", \ "0.019636,0.030571,0.044188,0.072681,0.132597,0.254910,0.500067", \ "0.019646,0.030571,0.044188,0.072693,0.132605,0.254952,0.500153", \ "0.019650,0.030575,0.044190,0.072688,0.132593,0.254954,0.500168", \ "0.019656,0.030581,0.044194,0.072698,0.132581,0.254942,0.500071", \ "0.019789,0.030661,0.044235,0.072693,0.132573,0.254949,0.500073"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & !D & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.096744,0.109063,0.120071,0.137005,0.163537,0.207076,0.282253", \ "0.101432,0.113759,0.124774,0.141710,0.168240,0.211787,0.286963", \ "0.119172,0.131463,0.142474,0.159410,0.185965,0.229510,0.304694", \ "0.155930,0.168167,0.179113,0.196086,0.222690,0.266281,0.341470", \ "0.208086,0.221891,0.233775,0.251778,0.278912,0.322732,0.397934", \ "0.263658,0.280107,0.294107,0.314798,0.344821,0.390960,0.467194", \ "0.322465,0.341618,0.358048,0.381740,0.415212,0.464215,0.542798"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.017163,0.021754,0.026506,0.034941,0.050420,0.079137,0.136664", \ "0.017167,0.021752,0.026511,0.034957,0.050421,0.079140,0.136663", \ "0.017173,0.021770,0.026534,0.034959,0.050422,0.079130,0.136655", \ "0.017339,0.021949,0.026680,0.035068,0.050472,0.079155,0.136668", \ "0.021811,0.025818,0.029956,0.037379,0.051768,0.079710,0.136858", \ "0.028321,0.032625,0.036756,0.043934,0.057519,0.083541,0.138363", \ "0.035496,0.040414,0.044807,0.051942,0.064898,0.089473,0.142283"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & D & !SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.095444,0.107198,0.117610,0.133549,0.158483,0.199746,0.273321", \ "0.100119,0.111874,0.122285,0.138231,0.163180,0.204425,0.278006", \ "0.117853,0.129576,0.139975,0.155917,0.180867,0.222125,0.295717", \ "0.154571,0.166232,0.176674,0.192589,0.217595,0.258900,0.332557", \ "0.206085,0.219240,0.230732,0.247536,0.273172,0.314815,0.388561", \ "0.261046,0.276717,0.290252,0.309306,0.337431,0.381297,0.456304", \ "0.318613,0.336755,0.352366,0.374151,0.405262,0.451925,0.529110"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.451221,0.469093,0.487595,0.521321,0.586105,0.714517,0.970562", \ "0.456147,0.474016,0.492583,0.526284,0.591029,0.719454,0.975533", \ "0.469298,0.487220,0.505696,0.539427,0.604215,0.732621,0.988745", \ "0.491739,0.509634,0.528109,0.561808,0.626584,0.755005,1.011076", \ "0.524981,0.542852,0.561163,0.595243,0.660088,0.788536,1.044570", \ "0.567021,0.585023,0.603393,0.637126,0.701931,0.830370,1.086492", \ "0.615936,0.633821,0.652680,0.685943,0.750740,0.879159,1.135284"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.016461,0.020648,0.024991,0.032791,0.047295,0.075808,0.134842", \ "0.016464,0.020647,0.024993,0.032791,0.047294,0.075812,0.134845", \ "0.016463,0.020668,0.025009,0.032802,0.047301,0.075807,0.134835", \ "0.016640,0.020849,0.025166,0.032918,0.047372,0.075835,0.134844", \ "0.021027,0.024635,0.028374,0.035276,0.048775,0.076567,0.135099", \ "0.027230,0.030974,0.034571,0.041163,0.053970,0.080333,0.136823", \ "0.034064,0.038285,0.042005,0.048364,0.060491,0.085624,0.140468"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.019636,0.030569,0.044188,0.072684,0.132608,0.254910,0.500160", \ "0.019643,0.030570,0.044187,0.072686,0.132608,0.254907,0.500156", \ "0.019644,0.030569,0.044187,0.072683,0.132594,0.254929,0.500067", \ "0.019647,0.030572,0.044189,0.072685,0.132604,0.254953,0.500154", \ "0.019649,0.030575,0.044192,0.072694,0.132612,0.254951,0.500093", \ "0.019658,0.030581,0.044195,0.072699,0.132580,0.254875,0.500068", \ "0.019786,0.030659,0.044236,0.072694,0.132578,0.254933,0.500049"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & D & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.096744,0.109063,0.120071,0.137005,0.163537,0.207076,0.282253", \ "0.101432,0.113759,0.124773,0.141710,0.168240,0.211787,0.286964", \ "0.119172,0.131462,0.142474,0.159410,0.185965,0.229510,0.304694", \ "0.155930,0.168167,0.179113,0.196087,0.222690,0.266281,0.341470", \ "0.208086,0.221891,0.233775,0.251707,0.278912,0.322732,0.397934", \ "0.263657,0.280107,0.294108,0.314644,0.344821,0.390960,0.467195", \ "0.322563,0.341618,0.358048,0.381741,0.414814,0.464215,0.542797"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.017163,0.021754,0.026506,0.034941,0.050419,0.079137,0.136665", \ "0.017167,0.021752,0.026511,0.034957,0.050421,0.079140,0.136663", \ "0.017173,0.021771,0.026534,0.034959,0.050422,0.079130,0.136655", \ "0.017339,0.021949,0.026680,0.035068,0.050472,0.079155,0.136668", \ "0.021811,0.025818,0.029956,0.037387,0.051768,0.079710,0.136858", \ "0.028322,0.032625,0.036757,0.043953,0.057520,0.083541,0.138363", \ "0.035458,0.040413,0.044808,0.051942,0.064945,0.089473,0.142283"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & !D & RN"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.611733,0.632514,0.652616,0.687413,0.752355,0.880726,1.136607", \ "0.615619,0.636486,0.656613,0.691415,0.756292,0.884663,1.140518", \ "0.634119,0.654782,0.674920,0.709838,0.774629,0.903089,1.158938", \ "0.666965,0.687703,0.707828,0.742602,0.807566,0.935924,1.191745", \ "0.713946,0.734661,0.754752,0.789506,0.854385,0.982826,1.238658", \ "0.777776,0.798531,0.818637,0.853339,0.918178,1.046638,1.302510", \ "0.861965,0.882767,0.902690,0.937530,1.002329,1.130627,1.386585"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.026089,0.036398,0.048863,0.075497,0.133603,0.255257,0.500290", \ "0.026090,0.036396,0.048871,0.075501,0.133601,0.255253,0.500271", \ "0.026079,0.036401,0.048875,0.075488,0.133616,0.255292,0.500271", \ "0.026087,0.036400,0.048873,0.075482,0.133597,0.255271,0.500384", \ "0.026074,0.036391,0.048860,0.075495,0.133595,0.255264,0.500386", \ "0.026086,0.036396,0.048871,0.075490,0.133608,0.255280,0.500401", \ "0.026086,0.036404,0.048869,0.075488,0.133605,0.255246,0.500409"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & D & RN"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.612270,0.633058,0.653126,0.687906,0.752738,0.880888,1.136654", \ "0.616268,0.636989,0.657087,0.691811,0.756640,0.884848,1.140524", \ "0.634573,0.655334,0.675431,0.710266,0.775041,0.903271,1.159005", \ "0.667561,0.688310,0.708373,0.743032,0.807931,0.936198,1.191874", \ "0.714270,0.734965,0.754938,0.789670,0.854477,0.982828,1.238563", \ "0.777535,0.798303,0.818449,0.853402,0.918135,1.046468,1.302232", \ "0.861540,0.882752,0.902295,0.937112,1.001871,1.130056,1.385793"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.026108,0.036418,0.048886,0.075512,0.133603,0.255288,0.500265", \ "0.026098,0.036415,0.048882,0.075499,0.133607,0.255290,0.500262", \ "0.026107,0.036415,0.048892,0.075489,0.133602,0.255290,0.500254", \ "0.026097,0.036411,0.048877,0.075494,0.133608,0.255288,0.500349", \ "0.026089,0.036412,0.048874,0.075503,0.133610,0.255279,0.500396", \ "0.026089,0.036407,0.048881,0.075507,0.133608,0.255298,0.500346", \ "0.026094,0.036413,0.048873,0.075497,0.133602,0.255292,0.500318"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & !D & RN"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.511235,0.529223,0.547931,0.581915,0.646765,0.775402,1.031824", \ "0.515374,0.533360,0.552028,0.586025,0.650863,0.779486,1.035935", \ "0.533608,0.551596,0.570233,0.604233,0.669084,0.797667,1.054113", \ "0.568623,0.586571,0.605145,0.639082,0.703882,0.832480,1.088854", \ "0.611307,0.629231,0.647675,0.681562,0.746362,0.875041,1.131490", \ "0.658590,0.676484,0.694688,0.728655,0.793435,0.922177,1.178627", \ "0.710407,0.728307,0.746478,0.780480,0.845252,0.973915,1.230288"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.019695,0.030638,0.044261,0.072744,0.132632,0.254893,0.500136", \ "0.019678,0.030619,0.044241,0.072743,0.132621,0.254889,0.500212", \ "0.019667,0.030605,0.044228,0.072734,0.132621,0.254886,0.500213", \ "0.019662,0.030600,0.044224,0.072734,0.132618,0.254907,0.500062", \ "0.019658,0.030599,0.044217,0.072729,0.132615,0.254948,0.500190", \ "0.019656,0.030598,0.044220,0.072722,0.132610,0.254950,0.500100", \ "0.019663,0.030598,0.044222,0.072710,0.132622,0.254963,0.500095"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & D & RN"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.511603,0.529581,0.548257,0.582191,0.646973,0.775509,1.031818", \ "0.515733,0.533700,0.552340,0.586308,0.651064,0.779590,1.035928", \ "0.533934,0.551894,0.570542,0.604481,0.669241,0.797762,1.054081", \ "0.568895,0.586831,0.605381,0.639286,0.704026,0.832548,1.088874", \ "0.611556,0.629429,0.647853,0.681716,0.746469,0.875078,1.131441", \ "0.658727,0.676590,0.694806,0.728750,0.793492,0.922177,1.178550", \ "0.710474,0.728363,0.746529,0.780503,0.845248,0.973874,1.230193"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.019695,0.030637,0.044260,0.072748,0.132633,0.254894,0.500136", \ "0.019678,0.030618,0.044244,0.072745,0.132623,0.254900,0.500212", \ "0.019666,0.030606,0.044229,0.072732,0.132622,0.254899,0.500165", \ "0.019662,0.030600,0.044226,0.072735,0.132641,0.254908,0.500173", \ "0.019660,0.030599,0.044221,0.072730,0.132617,0.254950,0.500207", \ "0.019657,0.030596,0.044219,0.072724,0.132611,0.254951,0.500126", \ "0.019664,0.030599,0.044222,0.072710,0.132599,0.254964,0.500090"); } } internal_power () { related_pin : "CK"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("4.293519,4.353674,4.400636,4.432001,4.461095,4.484341,4.483402", \ "4.290283,4.350444,4.381838,4.412980,4.464467,4.487824,4.488215", \ "4.270367,4.332674,4.368238,4.411943,4.444603,4.467830,4.468145", \ "4.266197,4.326253,4.364058,4.407689,4.420584,4.443460,4.477629", \ "4.296062,4.358229,4.389323,4.426748,4.470364,4.493773,4.510343", \ "4.361279,4.420547,4.465366,4.493630,4.529479,4.551558,4.550161", \ "4.477199,4.535713,4.577172,4.606701,4.624257,4.666735,4.681680"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("4.170318,4.237232,4.276938,4.460543,4.521014,4.537618,4.533984", \ "4.159523,4.230759,4.275922,4.439555,4.518650,4.535481,4.531361", \ "4.138610,4.208900,4.251471,4.422220,4.497874,4.514329,4.510152", \ "4.124526,4.199775,4.229922,4.411955,4.465158,4.515854,4.511683", \ "4.149353,4.222296,4.260675,4.439123,4.508784,4.525641,4.520335", \ "4.207423,4.285883,4.317344,4.482006,4.560487,4.576809,4.602376", \ "4.326493,4.397446,4.443332,4.612921,4.655802,4.706598,4.698606"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & !SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("2.285403,2.468188,2.598790,2.769283,2.912820,3.002920,3.039389", \ "2.266567,2.445284,2.578597,2.748365,2.892691,2.979481,3.016096", \ "2.229818,2.409490,2.544374,2.699908,2.850423,2.946830,2.978490", \ "2.243015,2.406875,2.534301,2.687769,2.846152,2.942309,2.981367", \ "2.296960,2.457530,2.579584,2.745695,2.897038,3.002836,3.041953", \ "2.364518,2.496023,2.607305,2.770059,2.984365,3.117266,3.166226", \ "2.560127,2.640731,2.730233,2.879387,3.078474,3.253305,3.350117"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("1.677428,1.831129,1.887237,1.957258,1.995717,2.048116,2.036223", \ "1.671139,1.817096,1.879576,1.913987,1.974950,2.029103,2.012930", \ "1.651832,1.789779,1.852334,1.881985,1.930446,1.986215,1.977430", \ "1.669356,1.794045,1.853975,1.895737,1.900673,1.956169,1.950744", \ "1.735125,1.857121,1.899408,1.917331,1.916357,1.987767,1.963708", \ "1.845904,1.996811,2.043522,2.048440,2.063884,2.041171,2.108527", \ "2.013413,2.191263,2.263394,2.279010,2.249989,2.210788,2.197185"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("2.687996,2.828017,2.942095,3.039112,3.085671,3.133709,3.147315", \ "2.673307,2.813389,2.933539,3.044144,3.091010,3.127732,3.139926", \ "2.660892,2.797840,2.906626,3.017909,3.056330,3.105051,3.117100", \ "2.665340,2.789063,2.912053,3.003493,3.072117,3.089095,3.104279", \ "2.722417,2.836225,2.949342,3.042589,3.078711,3.130079,3.146691", \ "2.803806,2.919401,3.024378,3.121660,3.145789,3.162525,3.180915", \ "2.903206,3.004730,3.119208,3.230810,3.259392,3.275930,3.295065"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D & !SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("2.297954,2.480590,2.610941,2.781321,2.924496,3.014012,3.048521", \ "2.279281,2.458034,2.591037,2.760761,2.904918,2.991376,3.027247", \ "2.255964,2.422522,2.557284,2.710678,2.863096,2.959239,2.990548", \ "2.260627,2.411410,2.543602,2.700454,2.858893,2.954872,2.993607", \ "2.308660,2.470497,2.592090,2.758651,2.909661,3.015834,3.054525", \ "2.382314,2.507354,2.620192,2.799142,2.996457,3.126667,3.178351", \ "2.567236,2.650969,2.729328,2.891140,3.080467,3.262961,3.359535"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("1.668854,1.822485,1.878559,1.948781,1.987480,2.040842,2.029203", \ "1.662513,1.802631,1.870929,1.905504,1.966964,2.020897,2.009161", \ "1.642970,1.780905,1.843541,1.873663,1.922246,1.977683,1.966623", \ "1.661841,1.785468,1.844915,1.866662,1.891701,1.947543,1.942159", \ "1.712562,1.850039,1.890539,1.908607,1.941400,1.962547,1.955304", \ "1.837124,1.988053,2.033188,2.028335,2.055004,2.032945,2.099939", \ "1.993979,2.170247,2.257439,2.258497,2.241432,2.202710,2.189877"); } } internal_power () { related_pin : "RN"; when : "!CK & D & SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("2.753450,2.893588,3.007876,3.105621,3.153072,3.201294,3.213445", \ "2.737462,2.877745,2.997985,3.109422,3.146084,3.194561,3.205074", \ "2.722757,2.861472,2.978507,3.082080,3.121985,3.170511,3.182955", \ "2.729416,2.853287,2.978444,3.068980,3.138831,3.155787,3.171262", \ "2.784616,2.905688,3.015924,3.107234,3.145237,3.196751,3.212757", \ "2.866141,2.982152,3.091595,3.184631,3.220926,3.227297,3.245925", \ "2.966454,3.066915,3.181478,3.295016,3.324301,3.340986,3.359936"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D & !SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("2.548846,2.741248,2.905889,3.090770,3.326170,3.558222,3.881704", \ "2.521156,2.720305,2.868032,3.069581,3.302915,3.532117,3.856876", \ "2.476027,2.676526,2.841374,3.041469,3.265500,3.498844,3.810069", \ "2.489828,2.683856,2.840691,3.042364,3.264560,3.494632,3.824738", \ "2.554472,2.738764,2.903623,3.100362,3.325633,3.569210,3.897621", \ "2.630708,2.788972,2.928646,3.146904,3.420118,3.685596,4.030467", \ "2.834689,2.946834,3.059066,3.248231,3.508986,3.819759,4.200279"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("4.574157,4.780402,4.874304,4.933183,4.972880,5.042841,5.050433", \ "4.568422,4.774794,4.867742,4.926545,4.953193,5.023292,5.098609", \ "4.570477,4.761562,4.861260,4.929086,4.981012,5.050497,5.056802", \ "4.555747,4.762465,4.861992,4.935790,4.940810,5.009199,5.083584", \ "4.555980,4.747512,4.851140,4.902031,4.993209,4.992559,5.066550", \ "4.543015,4.734500,4.833942,4.909785,4.970778,5.039030,5.046415", \ "4.555882,4.754662,4.849736,4.929703,4.977207,4.976012,5.052377"); } } internal_power () { related_pin : "RN"; when : "CK & !D & SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("2.670323,2.759331,2.832801,2.915742,3.119632,4.328481,4.713809", \ "2.663052,2.751936,2.822233,2.926248,3.112927,4.322626,4.670986", \ "2.640357,2.727455,2.794971,2.891595,3.084747,4.293541,4.681727", \ "2.650137,2.731446,2.799551,2.879687,3.113007,4.280877,4.667012", \ "2.716295,2.786819,2.836997,2.926807,3.129528,4.347354,4.677338", \ "2.805488,2.871401,2.920569,3.010081,3.198184,4.409212,4.747793", \ "2.915209,2.961129,3.007867,3.109022,3.286143,4.463732,4.865209"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D & !SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("2.548626,2.741673,2.905538,3.090366,3.324922,3.557576,3.879681", \ "2.520891,2.720653,2.874518,3.069281,3.306570,3.535923,3.855116", \ "2.475696,2.676137,2.840968,3.040972,3.264817,3.497834,3.808313", \ "2.491630,2.683329,2.840243,3.041695,3.263714,3.493437,3.822647", \ "2.553652,2.737971,2.903572,3.099413,3.324503,3.567695,3.895138", \ "2.629647,2.787823,2.927377,3.140154,3.418587,3.683644,4.027392", \ "2.833162,2.945240,3.057518,3.246529,3.507892,3.817280,4.196766"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("4.577016,4.768426,4.877554,4.935797,4.975317,5.045417,5.050703", \ "4.571674,4.777633,4.870295,4.929106,4.955765,5.025916,5.099276", \ "4.573711,4.764058,4.854469,4.931832,4.983477,5.052626,5.059864", \ "4.559323,4.765338,4.860943,4.938360,4.944180,5.012718,5.087559", \ "4.544641,4.751231,4.832913,4.907296,4.996365,4.996168,5.073261", \ "4.530444,4.742504,4.835676,4.912972,4.972061,5.040712,5.047851", \ "4.554117,4.749538,4.835788,4.897969,4.981113,5.035778,5.057312"); } } internal_power () { related_pin : "RN"; when : "CK & D & SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("2.670013,2.759515,2.832946,2.915665,3.141222,4.327480,4.709699", \ "2.663324,2.752137,2.822555,2.919623,3.112676,4.321490,4.666864", \ "2.640505,2.728184,2.794991,2.891200,3.084584,4.292388,4.677638", \ "2.650167,2.731753,2.799371,2.879202,3.112492,4.279458,4.662837", \ "2.716097,2.786509,2.836534,2.926449,3.128869,4.345400,4.673073", \ "2.804986,2.870829,2.919929,3.009575,3.197036,4.407476,4.743374", \ "2.909625,2.960204,3.006855,3.107763,3.285948,4.460280,4.860644"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & RN"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("3.773766,3.890816,3.962818,4.022190,4.094707,4.131204,4.136189", \ "3.743830,3.860858,3.924348,3.984319,4.037375,4.073775,4.112593", \ "3.714625,3.830549,3.899258,3.972857,4.034927,4.071548,4.077461", \ "3.739902,3.857793,3.913110,3.986557,4.032590,4.071618,4.081403", \ "3.840916,3.940737,4.002361,4.057474,4.110425,4.155483,4.164354", \ "4.021595,4.110032,4.150560,4.198350,4.250856,4.288950,4.302215", \ "4.272824,4.347504,4.387074,4.410589,4.464449,4.496777,4.540136"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D & RN"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("3.230484,3.347026,3.412025,3.472458,3.546334,3.584912,3.592820", \ "3.217927,3.335164,3.405165,3.452521,3.531629,3.545572,3.591438", \ "3.203618,3.318778,3.381108,3.455248,3.518843,3.557817,3.566384", \ "3.213284,3.323554,3.393521,3.453710,3.524194,3.541956,3.589568", \ "3.264002,3.370757,3.426152,3.482515,3.536069,3.577494,3.594060", \ "3.367121,3.452799,3.500249,3.546761,3.603413,3.662307,3.657952", \ "3.515348,3.583104,3.629421,3.658041,3.724931,3.742655,3.756989"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D & RN"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("4.698039,4.804782,4.869150,4.922852,4.940525,4.999959,5.010041", \ "4.666865,4.773241,4.839842,4.883548,4.915306,4.940843,4.988334", \ "4.640245,4.744330,4.806248,4.846926,4.879198,4.939798,4.948465", \ "4.666484,4.772466,4.817141,4.872918,4.918183,4.960704,4.973448", \ "4.761345,4.856109,4.909922,4.948709,4.971847,5.034340,5.049119", \ "4.941570,5.023234,5.060094,5.098995,5.125188,5.151192,5.198747", \ "5.188597,5.256462,5.290342,5.324955,5.347938,5.370692,5.383648"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D & RN"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("4.696999,4.811747,4.870138,4.924004,4.942927,5.004189,5.016040", \ "4.665879,4.780335,4.841653,4.884691,4.917696,4.944971,4.994240", \ "4.639394,4.751471,4.812132,4.848050,4.881675,4.943918,4.954269", \ "4.665948,4.772708,4.830934,4.874255,4.900282,4.964901,4.979732", \ "4.769294,4.856452,4.910755,4.950223,4.974439,5.038532,5.054199", \ "4.941958,5.024103,5.064622,5.100820,5.128018,5.155486,5.204413", \ "5.189595,5.257692,5.291969,5.327133,5.351374,5.375104,5.389893"); } fall_power(scalar) {values ("0.0"); } } } pin (QN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 60.254030; function : "IQN"; timing () { related_pin : "CK"; timing_type : rising_edge; timing_sense : non_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.315897,0.323588,0.331088,0.343840,0.365484,0.403495,0.474372", \ "0.321169,0.328860,0.336379,0.349134,0.370760,0.408778,0.479666", \ "0.338935,0.346627,0.354129,0.366885,0.388560,0.426589,0.497495", \ "0.362327,0.370025,0.377509,0.390244,0.411938,0.450023,0.520951", \ "0.385057,0.392774,0.400010,0.412976,0.434552,0.472701,0.543679", \ "0.404917,0.412621,0.420069,0.432923,0.454515,0.492628,0.564321", \ "0.420393,0.428114,0.435896,0.449034,0.470258,0.508436,0.580051"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.354964,0.368817,0.384047,0.413981,0.475727,0.601658,0.855198", \ "0.360143,0.374020,0.389286,0.419166,0.480906,0.606855,0.860366", \ "0.377799,0.391656,0.406899,0.436803,0.498554,0.624501,0.878011", \ "0.401857,0.415674,0.430931,0.460827,0.522545,0.648568,0.902024", \ "0.425498,0.439324,0.454511,0.484369,0.546061,0.672144,0.925651", \ "0.446506,0.460489,0.475768,0.505946,0.567154,0.693155,0.947298", \ "0.464579,0.478496,0.493704,0.523494,0.585216,0.711217,0.964877"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.0158870,0.0196005,0.0235019,0.0307137,0.0447803,0.0733852,0.133276", \ "0.0158866,0.0196025,0.0235042,0.0307211,0.0447838,0.0733894,0.133276", \ "0.0158874,0.0196028,0.0235017,0.0307152,0.0447891,0.0733877,0.133270", \ "0.0158892,0.0196022,0.0235055,0.0307167,0.0447797,0.0733774,0.133267", \ "0.0158887,0.0196047,0.0235064,0.0307215,0.0447813,0.0733785,0.133271", \ "0.0158703,0.0195948,0.0234969,0.0307387,0.0447867,0.0733935,0.133261", \ "0.0158922,0.0196112,0.0235089,0.0307205,0.0447763,0.0733795,0.133231"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.0206598,0.0312788,0.0451428,0.0742790,0.134428,0.255954,0.499428", \ "0.0206594,0.0312796,0.0451438,0.0742614,0.134420,0.255952,0.499427", \ "0.0206588,0.0312771,0.0451421,0.0742639,0.134420,0.255955,0.499426", \ "0.0206599,0.0312788,0.0451440,0.0742775,0.134427,0.255950,0.499429", \ "0.0206604,0.0312783,0.0451506,0.0742723,0.134417,0.255955,0.499426", \ "0.0206479,0.0312762,0.0451434,0.0742755,0.134418,0.255967,0.499430", \ "0.0206617,0.0312800,0.0451444,0.0742648,0.134437,0.255961,0.499423"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & !D & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.188552,0.202481,0.217817,0.247817,0.309661,0.435687,0.689294", \ "0.193251,0.207173,0.222515,0.252522,0.314365,0.440403,0.694004", \ "0.210925,0.224837,0.240183,0.270186,0.332022,0.458069,0.711685", \ "0.247464,0.261380,0.276722,0.306677,0.368511,0.494602,0.748211", \ "0.303538,0.317117,0.332221,0.361903,0.423426,0.549296,0.802916", \ "0.370339,0.383425,0.397677,0.426405,0.487089,0.612416,0.865690", \ "0.441534,0.454130,0.467673,0.495358,0.554951,0.679545,0.932389"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.020621,0.031258,0.045138,0.074283,0.134440,0.255943,0.499429", \ "0.020622,0.031258,0.045137,0.074278,0.134439,0.255933,0.499403", \ "0.020626,0.031262,0.045141,0.074278,0.134456,0.255929,0.499406", \ "0.020644,0.031268,0.045142,0.074281,0.134446,0.255944,0.499408", \ "0.020797,0.031390,0.045235,0.074327,0.134460,0.255922,0.499428", \ "0.021643,0.032017,0.045642,0.074547,0.134548,0.255949,0.499421", \ "0.022858,0.032973,0.046331,0.074839,0.134665,0.255982,0.499419"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & D & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.188562,0.202465,0.217819,0.247818,0.309666,0.435685,0.689291", \ "0.193250,0.207180,0.222528,0.252526,0.314363,0.440406,0.694002", \ "0.210934,0.224845,0.240201,0.270195,0.332022,0.458078,0.711694", \ "0.247552,0.261463,0.276720,0.306732,0.368566,0.494632,0.748241", \ "0.303607,0.317304,0.332362,0.362010,0.423714,0.549581,0.803132", \ "0.370627,0.383455,0.397753,0.426590,0.487288,0.612585,0.865863", \ "0.441544,0.454145,0.467700,0.495294,0.554901,0.679479,0.932282"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.020623,0.031258,0.045136,0.074283,0.134444,0.255929,0.499421", \ "0.020624,0.031255,0.045136,0.074279,0.134446,0.255927,0.499409", \ "0.020624,0.031262,0.045138,0.074289,0.134453,0.255943,0.499424", \ "0.020642,0.031272,0.045142,0.074276,0.134434,0.255941,0.499408", \ "0.020793,0.031391,0.045233,0.074329,0.134456,0.255928,0.499417", \ "0.021640,0.032018,0.045640,0.074550,0.134549,0.255949,0.499423", \ "0.022857,0.032973,0.046336,0.074849,0.134662,0.255986,0.499416"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & !D & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.188074,0.201932,0.217275,0.247281,0.309138,0.435222,0.688852", \ "0.192769,0.206631,0.221977,0.251989,0.313831,0.439938,0.693566", \ "0.210455,0.224312,0.239655,0.269661,0.331516,0.457604,0.711243", \ "0.246989,0.260865,0.276158,0.306168,0.368018,0.494120,0.747745", \ "0.303150,0.316705,0.331671,0.361479,0.423012,0.548903,0.802410", \ "0.369684,0.382633,0.396887,0.425777,0.486513,0.611865,0.865135", \ "0.440903,0.453409,0.466947,0.494563,0.554206,0.678438,0.931283"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.020538,0.031191,0.045085,0.074242,0.134416,0.255947,0.499366", \ "0.020532,0.031192,0.045083,0.074244,0.134418,0.255947,0.499393", \ "0.020539,0.031193,0.045089,0.074250,0.134419,0.255943,0.499366", \ "0.020555,0.031205,0.045093,0.074246,0.134408,0.255951,0.499363", \ "0.020711,0.031324,0.045180,0.074292,0.134442,0.255952,0.499425", \ "0.021526,0.031922,0.045568,0.074482,0.134509,0.255968,0.499391", \ "0.022679,0.032829,0.046229,0.074761,0.134614,0.256024,0.499418"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & D & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.188076,0.201932,0.217274,0.247281,0.309139,0.435222,0.688853", \ "0.192771,0.206640,0.221977,0.251989,0.313831,0.439938,0.693566", \ "0.210455,0.224311,0.239655,0.269661,0.331516,0.457604,0.711243", \ "0.246989,0.260865,0.276159,0.306169,0.368019,0.494120,0.747745", \ "0.303150,0.316705,0.331671,0.361407,0.423012,0.548903,0.802410", \ "0.369683,0.382633,0.396886,0.425624,0.486514,0.611865,0.865135", \ "0.440969,0.453409,0.466946,0.494564,0.553778,0.678438,0.931282"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.020536,0.031191,0.045083,0.074242,0.134416,0.255947,0.499392", \ "0.020533,0.031191,0.045083,0.074244,0.134418,0.255947,0.499393", \ "0.020539,0.031192,0.045089,0.074250,0.134419,0.255943,0.499366", \ "0.020555,0.031205,0.045093,0.074246,0.134408,0.255951,0.499364", \ "0.020711,0.031324,0.045180,0.074291,0.134442,0.255952,0.499425", \ "0.021526,0.031921,0.045585,0.074476,0.134509,0.255968,0.499393", \ "0.022682,0.032829,0.046227,0.074761,0.134616,0.256024,0.499400"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & !D & !RN"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.086782,0.096098,0.104888,0.118902,0.141687,0.180752,0.252732", \ "0.091358,0.100675,0.109466,0.123490,0.146275,0.185336,0.257319", \ "0.109574,0.118870,0.127638,0.141665,0.164470,0.203556,0.275543", \ "0.146554,0.155894,0.164680,0.178722,0.201584,0.240722,0.312729", \ "0.196190,0.206887,0.216715,0.231773,0.255476,0.294994,0.367017", \ "0.248980,0.261643,0.273033,0.289931,0.315625,0.357004,0.430179", \ "0.304644,0.319483,0.332531,0.351786,0.379897,0.423515,0.498049"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.071474,0.086744,0.103934,0.136728,0.200962,0.328441,0.582780", \ "0.076458,0.091726,0.108918,0.141718,0.205961,0.333454,0.587788", \ "0.090984,0.106206,0.123337,0.156078,0.220339,0.347873,0.602257", \ "0.114791,0.130209,0.147343,0.179939,0.244100,0.371653,0.626136", \ "0.141506,0.157587,0.175025,0.207764,0.271846,0.399297,0.653770", \ "0.167059,0.184292,0.202363,0.235205,0.299160,0.426632,0.680990", \ "0.188255,0.207322,0.226887,0.260188,0.324129,0.451254,0.705453"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.015571,0.019192,0.023209,0.030666,0.044966,0.073772,0.133700", \ "0.015574,0.019196,0.023207,0.030662,0.044977,0.073770,0.133699", \ "0.015588,0.019219,0.023228,0.030682,0.044971,0.073756,0.133694", \ "0.015955,0.019498,0.023456,0.030841,0.045076,0.073823,0.133717", \ "0.020608,0.023618,0.027048,0.033691,0.046893,0.074683,0.134014", \ "0.026690,0.029663,0.032848,0.039042,0.051575,0.078168,0.135642", \ "0.033393,0.036617,0.039792,0.045610,0.057343,0.082584,0.138500"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.019079,0.030083,0.044272,0.073816,0.134368,0.256130,0.499906", \ "0.019072,0.030084,0.044274,0.073821,0.134363,0.256122,0.499899", \ "0.019065,0.030084,0.044277,0.073817,0.134396,0.256133,0.499919", \ "0.020011,0.030726,0.044647,0.073909,0.134394,0.256159,0.499695", \ "0.022064,0.032390,0.045900,0.074645,0.134612,0.256084,0.499858", \ "0.025471,0.035202,0.047977,0.075742,0.135112,0.256280,0.499863", \ "0.030364,0.039626,0.051435,0.077756,0.135869,0.256755,0.500019"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & !D & RN"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.086752,0.096077,0.104866,0.118900,0.141702,0.180808,0.252801", \ "0.091352,0.100671,0.109470,0.123500,0.146304,0.185415,0.257411", \ "0.109561,0.118861,0.127655,0.141691,0.164506,0.203641,0.275627", \ "0.146558,0.155864,0.164635,0.178730,0.201598,0.240751,0.312767", \ "0.196181,0.206856,0.216642,0.231757,0.255472,0.295032,0.367094", \ "0.249200,0.261888,0.273171,0.289914,0.315592,0.356996,0.430199", \ "0.305008,0.319794,0.332756,0.351801,0.379918,0.423467,0.498077"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.015590,0.019207,0.023223,0.030684,0.044979,0.073754,0.133721", \ "0.015590,0.019209,0.023224,0.030673,0.044978,0.073758,0.133715", \ "0.015603,0.019230,0.023245,0.030693,0.044991,0.073757,0.133723", \ "0.015962,0.019510,0.023464,0.030843,0.045056,0.073799,0.133724", \ "0.020634,0.023637,0.027084,0.033701,0.046903,0.074686,0.134012", \ "0.026672,0.029666,0.032866,0.039050,0.051573,0.078170,0.135639", \ "0.033368,0.036596,0.039789,0.045634,0.057354,0.082583,0.138497"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & D & !RN"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.086766,0.096081,0.104871,0.118885,0.141664,0.180734,0.252700", \ "0.091345,0.100661,0.109451,0.123475,0.146257,0.185335,0.257290", \ "0.109550,0.118846,0.127631,0.141658,0.164457,0.203552,0.275509", \ "0.146556,0.155857,0.164680,0.178703,0.201564,0.240705,0.312710", \ "0.196020,0.206727,0.216591,0.231673,0.255385,0.294908,0.366948", \ "0.248871,0.261583,0.272905,0.289767,0.315459,0.356872,0.430055", \ "0.304671,0.319497,0.332350,0.351549,0.379643,0.423211,0.497852"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.071451,0.086722,0.103915,0.136705,0.200943,0.328422,0.582828", \ "0.076429,0.091708,0.108894,0.141692,0.205934,0.333435,0.587849", \ "0.090960,0.106172,0.123310,0.156049,0.220302,0.347851,0.602242", \ "0.114732,0.130156,0.147310,0.179909,0.244055,0.371609,0.626146", \ "0.141473,0.157545,0.174972,0.207705,0.271808,0.399257,0.653717", \ "0.166920,0.184166,0.202276,0.235136,0.299087,0.426513,0.680852", \ "0.188135,0.207213,0.226322,0.260101,0.324042,0.451163,0.705401"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.015570,0.019192,0.023207,0.030666,0.044978,0.073771,0.133699", \ "0.015575,0.019195,0.023209,0.030663,0.044975,0.073764,0.133708", \ "0.015585,0.019215,0.023229,0.030676,0.044966,0.073744,0.133705", \ "0.015952,0.019497,0.023453,0.030831,0.045078,0.073823,0.133717", \ "0.020623,0.023628,0.027067,0.033705,0.046926,0.074679,0.134011", \ "0.026695,0.029673,0.032858,0.039050,0.051582,0.078177,0.135647", \ "0.033402,0.036608,0.039816,0.045636,0.057368,0.082604,0.138502"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.019076,0.030085,0.044274,0.073825,0.134369,0.256131,0.499791", \ "0.019085,0.030084,0.044271,0.073814,0.134386,0.256158,0.499828", \ "0.019061,0.030084,0.044276,0.073819,0.134369,0.256167,0.499812", \ "0.020005,0.030721,0.044650,0.073918,0.134385,0.256102,0.499818", \ "0.022052,0.032389,0.045898,0.074637,0.134613,0.256100,0.499924", \ "0.025477,0.035204,0.047983,0.075743,0.135112,0.256310,0.499864", \ "0.030379,0.039629,0.051481,0.077759,0.135865,0.256757,0.500030"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & D & RN"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.086735,0.096059,0.104847,0.118865,0.141652,0.180722,0.252707", \ "0.091331,0.100650,0.109443,0.123465,0.146259,0.185333,0.257313", \ "0.109534,0.118838,0.127620,0.141657,0.164457,0.203565,0.275536", \ "0.146546,0.155845,0.164627,0.178699,0.201569,0.240715,0.312728", \ "0.196191,0.206907,0.216519,0.231667,0.255381,0.294911,0.366956", \ "0.248756,0.261452,0.272723,0.289942,0.315594,0.356972,0.430164", \ "0.304697,0.319656,0.332412,0.351614,0.379707,0.423293,0.497996"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.015590,0.019208,0.023226,0.030691,0.044997,0.073786,0.133716", \ "0.015593,0.019208,0.023223,0.030687,0.044988,0.073791,0.133725", \ "0.015602,0.019231,0.023247,0.030704,0.044998,0.073768,0.133729", \ "0.015970,0.019513,0.023466,0.030844,0.045074,0.073827,0.133721", \ "0.020632,0.023632,0.027092,0.033720,0.046922,0.074688,0.134018", \ "0.026758,0.029717,0.032919,0.039056,0.051591,0.078178,0.135655", \ "0.033442,0.036633,0.039839,0.045644,0.057380,0.082602,0.138518"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & !D & !RN"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.086786,0.096099,0.104884,0.118897,0.141681,0.180743,0.252722", \ "0.091356,0.100676,0.109465,0.123479,0.146266,0.185326,0.257303", \ "0.109580,0.118869,0.127642,0.141672,0.164476,0.203555,0.275541", \ "0.146662,0.155931,0.164746,0.178729,0.201587,0.240734,0.312721", \ "0.196431,0.207115,0.216763,0.231748,0.255478,0.295000,0.367024", \ "0.249416,0.261972,0.273119,0.289913,0.315584,0.357004,0.430184", \ "0.304871,0.319475,0.332441,0.351665,0.379807,0.423351,0.497878"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.070078,0.085311,0.102483,0.135252,0.199452,0.326938,0.581290", \ "0.075091,0.090317,0.107486,0.140261,0.204479,0.331985,0.586318", \ "0.089842,0.105007,0.122132,0.154852,0.219070,0.346619,0.600950", \ "0.113959,0.129342,0.146497,0.179067,0.243169,0.370746,0.625157", \ "0.140850,0.156913,0.174344,0.207020,0.271102,0.398559,0.653042", \ "0.166234,0.183484,0.201485,0.234541,0.298454,0.425902,0.680257", \ "0.187943,0.207036,0.226221,0.259857,0.323788,0.450708,0.705171"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.015570,0.019190,0.023207,0.030665,0.044970,0.073772,0.133698", \ "0.015571,0.019191,0.023208,0.030668,0.044974,0.073770,0.133714", \ "0.015581,0.019216,0.023231,0.030675,0.044963,0.073757,0.133696", \ "0.015948,0.019501,0.023455,0.030836,0.045071,0.073805,0.133716", \ "0.020573,0.023588,0.027051,0.033695,0.046919,0.074693,0.134007", \ "0.026630,0.029619,0.032839,0.039044,0.051570,0.078168,0.135651", \ "0.033352,0.036607,0.039787,0.045626,0.057362,0.082592,0.138510"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.018899,0.029943,0.044169,0.073719,0.134306,0.256147,0.499910", \ "0.018885,0.029944,0.044169,0.073727,0.134342,0.256109,0.499902", \ "0.018888,0.029953,0.044177,0.073724,0.134329,0.256161,0.499898", \ "0.019879,0.030630,0.044567,0.073828,0.134324,0.256143,0.499900", \ "0.021991,0.032327,0.045840,0.074587,0.134548,0.256072,0.499874", \ "0.025512,0.035211,0.047976,0.075700,0.135057,0.256329,0.499994", \ "0.030389,0.039633,0.051461,0.077727,0.135820,0.256828,0.500147"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & !D & RN"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.086762,0.096086,0.104880,0.118909,0.141708,0.180816,0.252784", \ "0.091369,0.100687,0.109487,0.123524,0.146322,0.185433,0.257401", \ "0.109577,0.118876,0.127671,0.141713,0.164542,0.203651,0.275615", \ "0.146611,0.155922,0.164668,0.178746,0.201614,0.240770,0.312754", \ "0.196178,0.206885,0.216583,0.231697,0.255402,0.294952,0.366985", \ "0.249156,0.261852,0.272882,0.289949,0.315646,0.357011,0.430152", \ "0.304785,0.319593,0.332274,0.351643,0.379768,0.423302,0.497795"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.015590,0.019208,0.023221,0.030685,0.044986,0.073764,0.133611", \ "0.015592,0.019208,0.023222,0.030674,0.044981,0.073767,0.133611", \ "0.015600,0.019231,0.023247,0.030697,0.044990,0.073760,0.133612", \ "0.015963,0.019514,0.023467,0.030843,0.045062,0.073821,0.133629", \ "0.020634,0.023632,0.027092,0.033716,0.046932,0.074689,0.133927", \ "0.026703,0.029669,0.032898,0.039058,0.051587,0.078167,0.135606", \ "0.033396,0.036627,0.039849,0.045657,0.057387,0.082587,0.138394"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & D & !RN"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.086783,0.096099,0.104884,0.118903,0.141682,0.180743,0.252722", \ "0.091356,0.100676,0.109465,0.123479,0.146266,0.185326,0.257303", \ "0.109580,0.118869,0.127642,0.141672,0.164476,0.203555,0.275541", \ "0.146676,0.155931,0.164746,0.178729,0.201587,0.240734,0.312721", \ "0.196431,0.207115,0.216763,0.231748,0.255478,0.295000,0.367024", \ "0.249416,0.261972,0.273119,0.289913,0.315584,0.357004,0.430184", \ "0.304871,0.319475,0.332441,0.351665,0.379807,0.423351,0.497878"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.070078,0.085311,0.102483,0.135252,0.199452,0.326938,0.581290", \ "0.075091,0.090317,0.107486,0.140261,0.204479,0.331985,0.586318", \ "0.089842,0.105007,0.122132,0.154852,0.219070,0.346619,0.600950", \ "0.113958,0.129342,0.146497,0.179067,0.243169,0.370746,0.625157", \ "0.140850,0.156913,0.174344,0.207020,0.271102,0.398559,0.653042", \ "0.166234,0.183484,0.201485,0.234541,0.298454,0.425902,0.680257", \ "0.187948,0.207036,0.226221,0.259857,0.323788,0.450708,0.705171"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.015570,0.019190,0.023207,0.030662,0.044971,0.073771,0.133697", \ "0.015571,0.019191,0.023208,0.030668,0.044974,0.073770,0.133714", \ "0.015581,0.019216,0.023231,0.030675,0.044963,0.073757,0.133696", \ "0.015947,0.019501,0.023455,0.030836,0.045071,0.073805,0.133716", \ "0.020573,0.023588,0.027051,0.033695,0.046919,0.074693,0.134007", \ "0.026630,0.029619,0.032839,0.039044,0.051570,0.078168,0.135651", \ "0.033352,0.036607,0.039787,0.045626,0.057362,0.082592,0.138510"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.018899,0.029943,0.044169,0.073719,0.134306,0.256148,0.499909", \ "0.018885,0.029944,0.044169,0.073727,0.134342,0.256109,0.499902", \ "0.018888,0.029953,0.044177,0.073724,0.134329,0.256160,0.499898", \ "0.019879,0.030630,0.044567,0.073828,0.134324,0.256143,0.499899", \ "0.021991,0.032327,0.045840,0.074587,0.134548,0.256072,0.499874", \ "0.025512,0.035211,0.047976,0.075700,0.135057,0.256329,0.499994", \ "0.030403,0.039633,0.051461,0.077727,0.135820,0.256828,0.500147"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & D & RN"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.086762,0.096086,0.104880,0.118914,0.141708,0.180816,0.252784", \ "0.091369,0.100687,0.109487,0.123524,0.146322,0.185433,0.257400", \ "0.109577,0.118876,0.127671,0.141713,0.164542,0.203651,0.275615", \ "0.146624,0.155927,0.164668,0.178746,0.201614,0.240770,0.312754", \ "0.196178,0.206885,0.216583,0.231693,0.255402,0.294952,0.366985", \ "0.249156,0.261852,0.272882,0.289949,0.315646,0.357011,0.430152", \ "0.304785,0.319593,0.332273,0.351643,0.379768,0.423302,0.497794"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.015589,0.019208,0.023221,0.030675,0.044986,0.073764,0.133610", \ "0.015592,0.019207,0.023222,0.030674,0.044981,0.073767,0.133612", \ "0.015600,0.019231,0.023247,0.030697,0.044990,0.073760,0.133612", \ "0.015963,0.019510,0.023467,0.030843,0.045062,0.073821,0.133629", \ "0.020634,0.023632,0.027092,0.033714,0.046932,0.074689,0.133927", \ "0.026703,0.029669,0.032898,0.039058,0.051586,0.078167,0.135594", \ "0.033396,0.036627,0.039849,0.045657,0.057387,0.082587,0.138393"); } } internal_power () { related_pin : "CK"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("4.168961,4.237615,4.278241,4.456712,4.499943,4.530227,4.553641", \ "4.158176,4.231166,4.269010,4.446018,4.497676,4.528107,4.551566", \ "4.137273,4.209254,4.250912,4.428615,4.476914,4.506979,4.529815", \ "4.134142,4.200101,4.230186,4.418367,4.478438,4.508542,4.497159", \ "4.147996,4.222110,4.261271,4.429028,4.487823,4.518255,4.540135", \ "4.217818,4.277811,4.318616,4.488535,4.539258,4.569414,4.587898", \ "4.325147,4.398690,4.444543,4.605018,4.669077,4.699275,4.683909"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("4.291678,4.354825,4.390756,4.437654,4.474078,4.477005,4.503004", \ "4.287688,4.351729,4.384624,4.419068,4.444017,4.480647,4.473965", \ "4.267757,4.331615,4.370983,4.392680,4.423811,4.460585,4.453566", \ "4.270625,4.327585,4.366807,4.413527,4.445106,4.469845,4.463413", \ "4.294525,4.357356,4.392154,4.426527,4.450032,4.486537,4.478332", \ "4.358673,4.421764,4.455295,4.499744,4.508604,4.544121,4.569818", \ "4.476269,4.536950,4.573781,4.615063,4.637238,4.675139,4.679258"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & SN"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("2.684717,2.820220,2.944719,3.045113,3.098682,3.126634,3.132160", \ "2.679237,2.814698,2.936139,3.050094,3.099324,3.120199,3.140180", \ "2.660893,2.790066,2.909267,3.024027,3.069407,3.097540,3.102588", \ "2.662186,2.790329,2.911121,3.009835,3.051559,3.081420,3.094072", \ "2.719255,2.837562,2.939760,3.048805,3.091529,3.122721,3.131915", \ "2.810302,2.920756,3.015691,3.127847,3.158850,3.188845,3.199700", \ "2.899772,3.006153,3.104595,3.237359,3.272563,3.268252,3.280528"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D & SN"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("2.753012,2.889375,3.010482,3.111625,3.166349,3.194010,3.199237", \ "2.743393,2.878960,3.000568,3.115392,3.158839,3.187001,3.191267", \ "2.719569,2.853625,2.976816,3.088353,3.148598,3.163627,3.168394", \ "2.726283,2.854553,2.975423,3.075120,3.131179,3.148152,3.160997", \ "2.781553,2.900311,3.012946,3.113241,3.158157,3.189257,3.198414", \ "2.872689,2.983512,3.089275,3.190817,3.222559,3.253683,3.265313", \ "2.963018,3.068127,3.166865,3.301157,3.337300,3.333436,3.345067"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D & SN"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("2.667593,2.760492,2.821478,2.922212,3.132422,4.311693,4.696946", \ "2.660417,2.745609,2.810483,2.916965,3.125664,4.301071,4.688630", \ "2.645406,2.729353,2.797636,2.897330,3.097793,4.289636,4.666508", \ "2.647454,2.732945,2.788130,2.885755,3.082506,4.259128,4.651564", \ "2.721364,2.780706,2.839649,2.932778,3.149640,4.327773,4.696217", \ "2.811114,2.872785,2.924508,2.987867,3.211041,4.395935,4.765526", \ "2.915220,2.962594,3.010724,3.096077,3.298954,4.430608,4.849887"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D & SN"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("2.667237,2.760711,2.821595,2.922050,3.132251,4.305777,4.692821", \ "2.660691,2.745877,2.811273,2.917986,3.125666,4.300133,4.684598", \ "2.645552,2.728733,2.797717,2.896931,3.097641,4.284773,4.662462", \ "2.647485,2.732921,2.788029,2.885454,3.082094,4.257804,4.647411", \ "2.721177,2.780344,2.839220,2.932371,3.141964,4.325957,4.691957", \ "2.810604,2.872227,2.922695,2.987470,3.209877,4.393660,4.761100", \ "2.906641,2.961675,3.011018,3.094822,3.256175,4.427434,4.843887"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & !RN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("3.957439,4.120391,4.262457,4.422016,4.593685,4.776352,5.068168", \ "3.884168,4.063176,4.197575,4.357467,4.529489,4.711475,5.004578", \ "3.841487,3.996460,4.134978,4.298926,4.471568,4.661523,4.945619", \ "3.889043,4.052224,4.173737,4.340897,4.521745,4.713192,5.010137", \ "3.981600,4.156531,4.319821,4.501003,4.682311,4.889715,5.180583", \ "4.172366,4.306749,4.442371,4.619017,4.886161,5.157665,5.462201", \ "4.539753,4.644964,4.751129,4.937033,5.169831,5.461513,5.861901"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("2.349232,2.592946,2.802847,2.996675,3.074506,3.092292,3.134050", \ "2.317633,2.573271,2.785550,2.959843,3.030489,3.051493,3.090335", \ "2.279836,2.519782,2.728141,2.915137,2.989692,3.023323,3.053926", \ "2.279671,2.512749,2.710214,2.886632,2.972016,2.986717,3.036075", \ "2.402560,2.613623,2.771987,2.950401,3.026337,3.045251,3.108426", \ "2.624400,2.817939,2.993115,3.130317,3.183937,3.194823,3.273497", \ "2.929835,3.134771,3.312845,3.500270,3.552031,3.504529,3.565856"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & RN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("3.771022,3.892196,3.965781,4.028455,4.073288,4.123462,4.123800", \ "3.741131,3.862251,3.927737,3.990614,4.050668,4.106018,4.098597", \ "3.719722,3.831929,3.902395,3.966372,4.019816,4.064264,4.064088", \ "3.744998,3.851672,3.915827,3.965871,4.045804,4.063910,4.101696", \ "3.846004,3.942007,3.991258,4.063954,4.123426,4.164155,4.182128", \ "4.021610,4.104058,4.153224,4.202645,4.264020,4.281373,4.321233", \ "4.270172,4.346335,4.390030,4.424854,4.485518,4.523586,4.526576"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D & !RN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("2.941021,3.087224,3.213204,3.376204,3.548845,3.734347,4.031731", \ "2.902040,3.064852,3.177858,3.350618,3.522517,3.707090,4.003831", \ "2.887445,3.027813,3.162052,3.318246,3.484542,3.685776,3.972112", \ "2.905572,3.051252,3.168324,3.323005,3.503352,3.698479,3.998965", \ "2.916722,3.068332,3.209048,3.393763,3.579240,3.785716,4.076063", \ "2.960191,3.082281,3.194653,3.374268,3.635411,3.906350,4.211430", \ "3.130061,3.220858,3.312392,3.477368,3.698718,3.985431,4.385503"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("1.742610,1.989059,2.195031,2.389776,2.469642,2.494323,2.536166", \ "1.724408,1.964116,2.171613,2.368482,2.440323,2.453200,2.505112", \ "1.704379,1.940776,2.154156,2.343537,2.419984,2.482097,2.491037", \ "1.683262,1.916571,2.121990,2.303359,2.392829,2.413746,2.471424", \ "1.750597,1.953803,2.105852,2.261225,2.381852,2.409172,2.475437", \ "1.855921,2.048310,2.194553,2.341984,2.399582,2.443097,2.507994", \ "1.982392,2.173456,2.330116,2.517558,2.563799,2.528658,2.596366"); } } internal_power () { related_pin : "SN"; when : "!CK & D & RN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("3.227797,3.348233,3.414742,3.478819,3.524787,3.577588,3.578819", \ "3.215254,3.336454,3.394589,3.458773,3.520277,3.572385,3.573179", \ "3.200973,3.319972,3.384225,3.435240,3.497269,3.550483,3.552282", \ "3.210614,3.325021,3.382660,3.459959,3.514022,3.568777,3.574629", \ "3.269134,3.364625,3.428803,3.494013,3.549205,3.569912,3.611684", \ "3.364431,3.454310,3.503192,3.554028,3.616627,3.635847,3.678380", \ "3.512758,3.586328,3.618393,3.671264,3.718551,3.735348,3.776507"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D & !RN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("3.761585,3.899192,4.012094,4.132846,4.221933,4.274274,4.290303", \ "3.700928,3.843465,3.950453,4.073712,4.163526,4.213878,4.230437", \ "3.648085,3.780759,3.891380,4.019447,4.109975,4.163815,4.178313", \ "3.694195,3.836829,3.930802,4.047708,4.154210,4.213751,4.235188", \ "3.775495,3.922132,4.056859,4.202407,4.310014,4.375452,4.398516", \ "3.961133,4.066782,4.176440,4.316925,4.507288,4.639134,4.672743", \ "4.311605,4.386304,4.479029,4.614034,4.780680,4.943942,5.079198"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("1.899859,1.993921,2.035064,2.067634,2.150468,2.158737,2.199022", \ "1.870228,1.964020,2.016121,2.066378,2.106682,2.116349,2.155679", \ "1.821860,1.912547,1.953554,1.983743,2.063470,2.074900,2.117464", \ "1.813986,1.889273,1.940887,1.982432,1.973655,2.055530,2.034414", \ "1.948398,1.998842,1.997463,2.014612,2.025472,2.117349,2.091536", \ "2.166781,2.247628,2.254256,2.251010,2.246622,2.256166,2.311421", \ "2.486411,2.586436,2.630192,2.637620,2.613073,2.561941,2.609960"); } } internal_power () { related_pin : "SN"; when : "CK & !D & RN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("4.695179,4.806095,4.869128,4.903222,4.953848,4.992585,4.995997", \ "4.664091,4.774731,4.829478,4.889861,4.928493,4.967155,4.971763", \ "4.637442,4.745699,4.806092,4.853347,4.892580,4.932307,4.934630", \ "4.663654,4.766530,4.820098,4.879123,4.923463,4.952936,4.956631", \ "4.766731,4.857517,4.906496,4.955098,4.985011,5.031485,5.033846", \ "4.938740,5.017050,5.057721,5.105316,5.138468,5.178118,5.184785", \ "5.193993,5.257892,5.279909,5.305583,5.327213,5.363033,5.401812"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D & !RN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("3.754903,3.899125,4.012015,4.133781,4.222572,4.273932,4.290148", \ "3.700846,3.843391,3.950370,4.073719,4.163325,4.213762,4.230289", \ "3.648008,3.780670,3.891288,4.019348,4.109826,4.163676,4.178143", \ "3.693955,3.836738,3.930694,4.047588,4.154106,4.213669,4.235077", \ "3.775372,3.922001,4.056735,4.202288,4.309879,4.375303,4.398335", \ "3.961040,4.066662,4.176297,4.316789,4.507152,4.638982,4.672585", \ "4.311501,4.386195,4.478887,4.613894,4.780536,4.943781,5.079025"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("1.899925,1.994035,2.035202,2.067792,2.150670,2.158930,2.199267", \ "1.870296,1.964137,2.016263,2.066544,2.106883,2.116563,2.155909", \ "1.821928,1.912658,1.953695,1.983908,2.063687,2.075136,2.117704", \ "1.814056,1.889381,1.941028,1.982599,1.973838,2.055756,2.034614", \ "1.948472,1.998949,1.997837,2.014764,2.025645,2.117757,2.091735", \ "2.166857,2.247737,2.254380,2.251164,2.246805,2.256363,2.311632", \ "2.486439,2.586538,2.630315,2.637769,2.613253,2.562121,2.610153"); } } internal_power () { related_pin : "SN"; when : "CK & D & RN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("4.694092,4.805714,4.873813,4.904072,4.956281,4.996782,5.001963", \ "4.663059,4.774388,4.829695,4.891047,4.930912,4.971296,4.977777", \ "4.636546,4.745447,4.806452,4.854498,4.895034,4.936469,4.940609", \ "4.663080,4.774052,4.820632,4.880470,4.913745,4.957113,4.962587", \ "4.766484,4.857869,4.913405,4.956623,4.987625,5.041220,5.044085", \ "4.939110,5.018059,5.058874,5.107151,5.141296,5.182431,5.190224", \ "5.195015,5.259258,5.281506,5.307713,5.329873,5.367413,5.408745"); } rise_power(scalar) {values ("0.0"); } } } } /****************************************************************************************** Module : DFFRS_X2 Cell Description : Pos.edge D-Flip-Flop with active low reset, and active low set, and drive strength X2 *******************************************************************************************/ cell (DFFRS_X2) { drive_strength : 2; ff ("IQ" , "IQN") { next_state : "D"; clocked_on : "CK"; preset : "!SN"; clear : "!RN"; clear_preset_var1 : L; clear_preset_var2 : L; } area : 6.916000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 89.539097; leakage_power () { when : "!CK & !D & !RN & !SN & !Q & !QN"; value : 80.622035; } leakage_power () { when : "!CK & !D & !RN & SN & !Q & QN"; value : 87.831956; } leakage_power () { when : "!CK & !D & RN & !SN & Q & !QN"; value : 94.698223; } leakage_power () { when : "!CK & !D & RN & SN & !Q & QN"; value : 99.603633; } leakage_power () { when : "!CK & !D & RN & SN & Q & !QN"; value : 104.400620; } leakage_power () { when : "!CK & D & !RN & !SN & !Q & !QN"; value : 70.106304; } leakage_power () { when : "!CK & D & !RN & SN & !Q & QN"; value : 85.942548; } leakage_power () { when : "!CK & D & RN & !SN & Q & !QN"; value : 81.365666; } leakage_power () { when : "!CK & D & RN & SN & !Q & QN"; value : 97.426205; } leakage_power () { when : "!CK & D & RN & SN & Q & !QN"; value : 96.230592; } leakage_power () { when : "CK & !D & !RN & !SN & !Q & !QN"; value : 72.268077; } leakage_power () { when : "CK & !D & !RN & SN & !Q & QN"; value : 86.786404; } leakage_power () { when : "CK & !D & RN & !SN & Q & !QN"; value : 79.241543; } leakage_power () { when : "CK & !D & RN & SN & !Q & QN"; value : 99.406537; } leakage_power () { when : "CK & !D & RN & SN & Q & !QN"; value : 93.254518; } leakage_power () { when : "CK & D & !RN & !SN & !Q & !QN"; value : 81.563618; } leakage_power () { when : "CK & D & !RN & SN & !Q & QN"; value : 96.103511; } leakage_power () { when : "CK & D & RN & !SN & Q & !QN"; value : 80.599130; } leakage_power () { when : "CK & D & RN & SN & !Q & QN"; value : 108.720508; } leakage_power () { when : "CK & D & RN & SN & Q & !QN"; value : 94.610300; } pin (D) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.135372; fall_capacitance : 1.048320; rise_capacitance : 1.135372; timing () { related_pin : "CK"; timing_type : hold_rising; when : "RN & SN"; sdf_cond : "RN_AND_SN === 1'b1"; fall_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.003705,0.043315,0.084075", \ "0.027143,0.051718,0.066594", \ "0.293381,0.329042,0.324527"); } rise_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.010978,0.060867,0.113576", \ "0.080716,0.131212,0.182200", \ "0.233516,0.280198,0.321898"); } } timing () { related_pin : "CK"; timing_type : setup_rising; when : "RN & SN"; sdf_cond : "RN_AND_SN === 1'b1"; fall_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.147606,0.092746,0.032246", \ "0.204777,0.149514,0.091096", \ "0.371855,0.316184,0.255350"); } rise_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.069123,0.045574,0.068370", \ "0.118198,0.091482,0.108511", \ "0.207615,0.171712,0.176257"); } } internal_power () { when : "!CK & !RN & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.783776,2.768518,2.745174,2.730723,2.741636,2.800102,2.911170"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.483880,1.462731,1.438039,1.420425,1.419439,1.461959,1.564927"); } } internal_power () { when : "!CK & !RN & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.487177,3.469244,3.446074,3.431989,3.439453,3.486751,3.600983"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.764685,2.743214,2.718322,2.696793,2.698633,2.747277,2.872160"); } } internal_power () { when : "!CK & RN & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.783564,2.767632,2.744928,2.730431,2.739603,2.799543,2.913788"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.429548,1.406909,1.386268,1.368121,1.368017,1.409348,1.512330"); } } internal_power () { when : "!CK & RN & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.496418,3.480296,3.456611,3.442434,3.449831,3.497056,3.611110"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.826527,2.804631,2.779088,2.757315,2.760555,2.811054,2.933635"); } } internal_power () { when : "!CK & RN & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.461784,3.445418,3.422564,3.409475,3.415808,3.464112,3.577632"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.811855,2.790706,2.765136,2.742421,2.748879,2.792970,2.909598"); } } internal_power () { when : "CK & !RN & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.555816,0.535443,0.524208,0.520195,0.516688,0.515560,0.514378"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.463148,-0.462217,-0.467726,-0.472645,-0.473619,-0.474801,-0.475061"); } } internal_power () { when : "CK & !RN & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.553911,0.532375,0.522985,0.517716,0.514357,0.513303,0.512128"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.463198,-0.463485,-0.471449,-0.473923,-0.474956,-0.476159,-0.476487"); } } internal_power () { when : "CK & RN & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.481109,0.484543,0.484965,0.485426,0.485145,0.485993,0.484691"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.333309,-0.364417,-0.406276,-0.427023,-0.436658,-0.443135,-0.447744"); } } internal_power () { when : "CK & RN & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.556852,0.536603,0.525103,0.521251,0.517655,0.516739,0.515518"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.462022,-0.466095,-0.469618,-0.472831,-0.473723,-0.474600,-0.475067"); } } internal_power () { when : "CK & RN & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.480845,0.484341,0.484732,0.485188,0.484834,0.485731,0.484311"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.334352,-0.365420,-0.404226,-0.426773,-0.436422,-0.442767,-0.446859"); } } } pin (RN) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.823636; fall_capacitance : 1.717447; rise_capacitance : 1.823636; timing () { related_pin : "CK"; timing_type : recovery_rising; when : "SN"; sdf_cond : "SN === 1'b1"; rise_constraint(Recovery_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.164585,-0.222521,-0.288743", \ "-0.124809,-0.182303,-0.248523", \ "0.064945,-0.008408,-0.088238"); } } timing () { related_pin : "CK"; timing_type : removal_rising; when : "SN"; sdf_cond : "SN === 1'b1"; rise_constraint(Removal_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.570092,0.606379,0.662064", \ "0.584820,0.621273,0.677289", \ "0.762784,0.799242,0.853882"); } } timing () { related_pin : "RN"; timing_type : min_pulse_width; when : "SN"; sdf_cond : "SN === 1'b1"; fall_constraint(Pulse_width_3) { index_1 ("0.00231025,0.112657,0.500000"); values ("0.645541,0.722263,1.086422"); } } internal_power () { when : "!CK & !D & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.741526,0.716929,0.705817,0.702315,0.699894,0.699856,0.698364"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.645633,-0.645959,-0.650824,-0.656328,-0.657838,-0.657177,-0.654501"); } } internal_power () { when : "!CK & D & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.741143,0.714405,0.703618,0.700450,0.698762,0.697325,0.697885"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.620683,-0.622831,-0.628752,-0.638339,-0.644524,-0.645960,-0.644800"); } } internal_power () { when : "CK & !D & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.767028,0.729828,0.713910,0.709766,0.707107,0.705658,0.705072"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.646747,-0.645448,-0.652765,-0.656003,-0.659715,-0.660566,-0.658570"); } } internal_power () { when : "CK & D & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.766844,0.729761,0.713692,0.709719,0.707037,0.705944,0.704728"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.648630,-0.647270,-0.654042,-0.657785,-0.661526,-0.662318,-0.660610"); } } } pin (SN) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 2.587461; fall_capacitance : 2.391602; rise_capacitance : 2.587461; timing () { related_pin : "CK"; timing_type : recovery_rising; when : "RN"; sdf_cond : "RN === 1'b1"; rise_constraint(Recovery_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.207334,-0.255644,-0.314366", \ "-0.194151,-0.244116,-0.303064", \ "-0.092687,-0.160201,-0.237933"); } } timing () { related_pin : "CK"; timing_type : removal_rising; when : "RN"; sdf_cond : "RN === 1'b1"; rise_constraint(Removal_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.592994,0.643217,0.702931", \ "0.730934,0.781298,0.840581", \ "1.215570,1.265792,1.325628"); } } timing () { related_pin : "SN"; timing_type : min_pulse_width; when : "RN"; sdf_cond : "RN === 1'b1"; fall_constraint(Pulse_width_3) { index_1 ("0.00231025,0.112657,0.500000"); values ("0.545103,0.627612,1.027043"); } } internal_power () { when : "!CK & !D & RN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.178991,2.107970,2.065394,2.095687,2.183320,2.331902,2.546312"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.168533,-0.185187,-0.209842,-0.201808,-0.139327,-0.009201,0.200371"); } } internal_power () { when : "!CK & D & RN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.179783,1.142837,1.132412,1.126370,1.123508,1.120716,1.118022"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.909550,-0.912069,-0.918489,-0.921059,-0.923455,-0.922457,-0.920671"); } } internal_power () { when : "CK & !D & RN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.155652,1.131677,1.121115,1.117533,1.114424,1.112515,1.109335"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.911699,-0.917316,-0.919111,-0.922340,-0.924670,-0.923094,-0.920763"); } } internal_power () { when : "CK & D & RN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.155018,1.131143,1.120935,1.117404,1.114331,1.112413,1.109239"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.906833,-0.907794,-0.915082,-0.917024,-0.919229,-0.917523,-0.916956"); } } } pin (CK) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock : true; capacitance : 0.897235; fall_capacitance : 0.805117; rise_capacitance : 0.897235; timing () { related_pin : "CK"; timing_type : min_pulse_width; when : "RN & SN"; sdf_cond : "RN_AND_SN === 1'b1"; fall_constraint(Pulse_width_3) { index_1 ("0.00231025,0.112657,0.500000"); values ("0.222726,0.242799,0.500500"); } rise_constraint(Pulse_width_3) { index_1 ("0.00231025,0.112657,0.500000"); values ("0.156174,0.165837,0.500500"); } } internal_power () { when : "!D & !RN & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.016396,3.989906,3.968754,3.985958,4.080230,4.230882,4.459789"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.764840,3.751922,3.715668,3.715135,3.776204,3.919300,4.139702"); } } internal_power () { when : "!D & !RN & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.952223,2.927471,2.901893,2.920613,3.005455,3.164432,3.384040"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.721409,2.706055,2.665479,2.663504,2.725079,2.842346,3.057352"); } } internal_power () { when : "!D & RN & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.747209,4.722511,4.696277,4.727554,4.821252,4.990015,5.237248"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("15.012090,14.996890,14.965100,14.970900,15.033610,15.174370,15.398060"); } } internal_power () { when : "!D & RN & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.951906,2.927138,2.901417,2.922094,3.006024,3.164637,3.391405"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.731625,2.717369,2.676732,2.670448,2.736136,2.861473,3.070926"); } } internal_power () { when : "!D & RN & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.681597,5.655664,5.630928,5.656387,5.746925,5.902244,6.130448"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & !RN & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.164731,5.140243,5.114254,5.138234,5.216547,5.382413,5.608969"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("6.052765,6.038265,5.983349,5.958219,5.997665,6.124923,6.342836"); } } internal_power () { when : "D & !RN & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.352800,5.327707,5.301325,5.318965,5.398708,5.554018,5.787575"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("7.993925,7.977581,7.912543,7.871938,7.914190,8.031532,8.254205"); } } internal_power () { when : "D & RN & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.782000,2.758512,2.732894,2.750097,2.834302,2.984818,3.211154"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.842813,2.825928,2.794440,2.787941,2.851793,2.967249,3.174096"); } } internal_power () { when : "D & RN & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.461389,5.434487,5.404351,5.426219,5.508573,5.667162,5.899096"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & RN & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.788355,2.764957,2.738978,2.753736,2.838575,2.991710,3.215600"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.859160,2.846822,2.814324,2.808413,2.872635,2.988231,3.194980"); } } } pin (Q) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 120.693400; function : "IQ"; timing () { related_pin : "CK"; timing_type : rising_edge; timing_sense : non_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.256316,0.270049,0.280882,0.297621,0.323859,0.367185,0.442171", \ "0.261496,0.275272,0.286060,0.302791,0.329062,0.372366,0.447355", \ "0.279137,0.292894,0.303692,0.320415,0.346703,0.389999,0.465010", \ "0.303089,0.316875,0.327679,0.344417,0.370652,0.413966,0.488962", \ "0.326805,0.340545,0.351136,0.367972,0.394260,0.437513,0.512584", \ "0.347872,0.361662,0.372456,0.389638,0.415768,0.459065,0.534192", \ "0.365899,0.379640,0.390306,0.407187,0.433200,0.475510,0.551738"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.263407,0.284266,0.303156,0.337344,0.402433,0.530333,0.785085", \ "0.268640,0.289501,0.308429,0.342649,0.407769,0.535620,0.790400", \ "0.286519,0.307393,0.326224,0.360474,0.425600,0.553497,0.808260", \ "0.309925,0.330804,0.349638,0.383936,0.449071,0.576957,0.831745", \ "0.332646,0.353538,0.372292,0.406219,0.471482,0.599465,0.854431", \ "0.352335,0.373253,0.392066,0.426338,0.491537,0.619692,0.874601", \ "0.367883,0.388838,0.407880,0.442632,0.507206,0.635240,0.891359"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.0185832,0.0235646,0.0281314,0.0363379,0.0515651,0.0803672,0.137790", \ "0.0185791,0.0235664,0.0281341,0.0363325,0.0515644,0.0803792,0.137786", \ "0.0185757,0.0235653,0.0281326,0.0363238,0.0515652,0.0803573,0.137805", \ "0.0185817,0.0235678,0.0281320,0.0363298,0.0515693,0.0803562,0.137785", \ "0.0185850,0.0235659,0.0281343,0.0363314,0.0515664,0.0803755,0.137787", \ "0.0185820,0.0235694,0.0281396,0.0363263,0.0515690,0.0803575,0.137799", \ "0.0185804,0.0235707,0.0281411,0.0363327,0.0515675,0.0803604,0.137779"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.0223494,0.0342277,0.0476678,0.0759286,0.134987,0.255858,0.499706", \ "0.0223531,0.0342302,0.0476729,0.0759343,0.134985,0.255860,0.499706", \ "0.0223610,0.0342383,0.0476774,0.0759338,0.134987,0.255862,0.499709", \ "0.0223751,0.0342462,0.0476858,0.0759427,0.134988,0.255863,0.499704", \ "0.0223980,0.0342672,0.0476926,0.0759401,0.134989,0.255860,0.499706", \ "0.0224128,0.0342725,0.0476987,0.0759482,0.134994,0.255859,0.499703", \ "0.0224408,0.0342937,0.0477212,0.0759510,0.134994,0.255865,0.499710"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & !D & !SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.102945,0.116340,0.126770,0.142799,0.167895,0.209351,0.283171", \ "0.107650,0.121047,0.131473,0.147510,0.172610,0.214071,0.287895", \ "0.125815,0.139193,0.149607,0.165649,0.190760,0.232227,0.306056", \ "0.162790,0.176082,0.186498,0.202415,0.227559,0.269128,0.343007", \ "0.216921,0.231424,0.242253,0.258762,0.284251,0.325919,0.399880", \ "0.275334,0.292414,0.305192,0.323713,0.351398,0.394952,0.469732", \ "0.336732,0.356371,0.371278,0.392471,0.422852,0.468928,0.545596"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.089024,0.109382,0.128035,0.161969,0.226797,0.354863,0.610099", \ "0.094030,0.114392,0.133037,0.166976,0.231820,0.359885,0.615115", \ "0.108289,0.128623,0.147248,0.181152,0.245968,0.374083,0.629317", \ "0.132845,0.153171,0.171753,0.205518,0.270224,0.398294,0.653538", \ "0.162170,0.183354,0.202332,0.236279,0.300933,0.428875,0.684069", \ "0.192334,0.214909,0.234582,0.269104,0.333884,0.461683,0.716771", \ "0.220676,0.244926,0.265762,0.301293,0.366164,0.493920,0.748903"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.018257,0.022811,0.027021,0.034688,0.049085,0.077540,0.136473", \ "0.018255,0.022809,0.027027,0.034690,0.049087,0.077534,0.136462", \ "0.018253,0.022821,0.027034,0.034689,0.049074,0.077525,0.136461", \ "0.018329,0.022919,0.027137,0.034768,0.049112,0.077525,0.136463", \ "0.022181,0.025991,0.029589,0.036412,0.050084,0.078029,0.136642", \ "0.028767,0.032627,0.036010,0.042374,0.055045,0.081330,0.137997", \ "0.035993,0.040308,0.043664,0.049638,0.061502,0.086609,0.141512"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.020598,0.032743,0.046271,0.074606,0.134232,0.256002,0.500238", \ "0.020599,0.032742,0.046273,0.074607,0.134220,0.256010,0.500238", \ "0.020561,0.032716,0.046254,0.074608,0.134205,0.255996,0.500236", \ "0.020994,0.032932,0.046369,0.074643,0.134223,0.255995,0.500242", \ "0.022879,0.034673,0.047780,0.075454,0.134400,0.256050,0.500235", \ "0.025780,0.037336,0.050032,0.076956,0.135147,0.256143,0.500293", \ "0.030114,0.041421,0.053524,0.079343,0.136205,0.256692,0.500527"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & !D & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.104166,0.118252,0.129310,0.146428,0.173343,0.217878,0.294507", \ "0.108882,0.122968,0.134032,0.151142,0.178072,0.222602,0.299240", \ "0.127059,0.141124,0.152177,0.169289,0.196224,0.240769,0.317418", \ "0.163981,0.177970,0.188990,0.206121,0.233087,0.277672,0.354299", \ "0.218131,0.233358,0.245293,0.262703,0.289980,0.334704,0.411291", \ "0.277136,0.295126,0.308769,0.328815,0.358600,0.405180,0.482038", \ "0.338874,0.359912,0.375804,0.398835,0.431873,0.481672,0.560222"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.019015,0.024061,0.028744,0.037160,0.052904,0.082560,0.139010", \ "0.019014,0.024062,0.028745,0.037172,0.052898,0.082566,0.139009", \ "0.019018,0.024080,0.028757,0.037169,0.052901,0.082571,0.139005", \ "0.019083,0.024179,0.028859,0.037241,0.052938,0.082550,0.138969", \ "0.023075,0.027356,0.031296,0.038846,0.053813,0.082903,0.138922", \ "0.030041,0.034525,0.038407,0.045483,0.059307,0.086195,0.139570", \ "0.037815,0.042859,0.046880,0.053738,0.066904,0.092241,0.142131"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & D & !SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.102948,0.116344,0.126768,0.142803,0.167898,0.209353,0.283176", \ "0.107655,0.121052,0.131477,0.147514,0.172615,0.214077,0.287902", \ "0.125821,0.139199,0.149611,0.165654,0.190765,0.232231,0.306060", \ "0.162829,0.176126,0.186519,0.202426,0.227572,0.269099,0.343001", \ "0.216900,0.231412,0.242328,0.258902,0.284388,0.326056,0.400017", \ "0.275605,0.292613,0.305072,0.323747,0.351422,0.394906,0.469673", \ "0.336736,0.356379,0.370945,0.392177,0.422615,0.468702,0.545347"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.088957,0.109312,0.127959,0.161896,0.226728,0.354791,0.609977", \ "0.093964,0.114321,0.132964,0.166901,0.231745,0.359839,0.615062", \ "0.108228,0.128557,0.147180,0.181083,0.245899,0.374014,0.629271", \ "0.132816,0.153133,0.171693,0.205456,0.270174,0.398236,0.653487", \ "0.162032,0.183206,0.202197,0.236227,0.300874,0.428829,0.684031", \ "0.192227,0.214550,0.234454,0.268813,0.333535,0.461537,0.716713", \ "0.219801,0.244247,0.265272,0.300910,0.366094,0.493766,0.747893"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.018254,0.022811,0.027028,0.034689,0.049086,0.077533,0.136473", \ "0.018259,0.022809,0.027028,0.034688,0.049085,0.077536,0.136460", \ "0.018256,0.022821,0.027035,0.034689,0.049075,0.077525,0.136462", \ "0.018330,0.022921,0.027131,0.034766,0.049111,0.077534,0.136457", \ "0.022183,0.025994,0.029579,0.036402,0.050077,0.078026,0.136642", \ "0.028719,0.032603,0.036028,0.042374,0.055042,0.081327,0.137988", \ "0.035994,0.040309,0.043712,0.049679,0.061531,0.086630,0.141525"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.020596,0.032733,0.046262,0.074610,0.134225,0.256009,0.500266", \ "0.020594,0.032733,0.046266,0.074602,0.134217,0.255992,0.500317", \ "0.020550,0.032708,0.046247,0.074603,0.134204,0.255996,0.500335", \ "0.020984,0.032923,0.046361,0.074640,0.134222,0.255992,0.500233", \ "0.022878,0.034669,0.047779,0.075455,0.134405,0.256036,0.500257", \ "0.025796,0.037351,0.050033,0.076966,0.135149,0.256138,0.500347", \ "0.030197,0.041479,0.053559,0.079351,0.136213,0.256696,0.500520"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & D & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.104170,0.118253,0.129316,0.146426,0.173348,0.217868,0.294507", \ "0.108887,0.122977,0.134039,0.151156,0.178081,0.222599,0.299257", \ "0.127070,0.141133,0.152187,0.169304,0.196240,0.240780,0.317429", \ "0.164040,0.178015,0.188986,0.206136,0.233104,0.277669,0.354312", \ "0.218398,0.233591,0.245201,0.262935,0.290218,0.334931,0.411527", \ "0.277185,0.295286,0.308848,0.329377,0.359138,0.405747,0.482422", \ "0.338888,0.359955,0.375830,0.398861,0.431895,0.482458,0.560984"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.019017,0.024065,0.028744,0.037162,0.052904,0.082571,0.139018", \ "0.019015,0.024060,0.028742,0.037157,0.052891,0.082580,0.139015", \ "0.019014,0.024082,0.028758,0.037170,0.052902,0.082559,0.139009", \ "0.019084,0.024179,0.028862,0.037249,0.052935,0.082547,0.138947", \ "0.023045,0.027329,0.031309,0.038831,0.053807,0.082903,0.138930", \ "0.030028,0.034506,0.038401,0.045415,0.059252,0.086165,0.139575", \ "0.037818,0.042858,0.046881,0.053742,0.066902,0.092186,0.142128"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & !D & !SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.103381,0.116729,0.127135,0.143164,0.168270,0.209708,0.283505", \ "0.108088,0.121437,0.131847,0.147874,0.172984,0.214434,0.288224", \ "0.126245,0.139577,0.149964,0.165996,0.191113,0.232564,0.306371", \ "0.163105,0.176343,0.186762,0.202760,0.227905,0.269426,0.343275", \ "0.217068,0.231518,0.242671,0.258942,0.284427,0.326092,0.399994", \ "0.275422,0.292474,0.305581,0.323792,0.351488,0.394983,0.469696", \ "0.336948,0.356701,0.371579,0.392757,0.423133,0.469173,0.545877"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.473933,0.494452,0.513086,0.546754,0.611362,0.739253,0.994450", \ "0.478958,0.499548,0.518084,0.551752,0.616357,0.744271,0.999472", \ "0.492137,0.512764,0.531305,0.565019,0.629533,0.757493,1.012691", \ "0.514816,0.535393,0.553963,0.587622,0.652224,0.780150,1.035384", \ "0.548866,0.569063,0.588090,0.621637,0.686239,0.814082,1.069289", \ "0.590908,0.611695,0.630476,0.664095,0.728682,0.856631,1.111762", \ "0.638195,0.658752,0.677383,0.710854,0.775412,0.903376,1.158830"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.018221,0.022767,0.026991,0.034668,0.049064,0.077509,0.136369", \ "0.018226,0.022769,0.026987,0.034669,0.049073,0.077499,0.136365", \ "0.018221,0.022775,0.027007,0.034676,0.049078,0.077505,0.136364", \ "0.018283,0.022868,0.027087,0.034747,0.049102,0.077504,0.136370", \ "0.022102,0.025903,0.029485,0.036358,0.050066,0.078009,0.136564", \ "0.028682,0.032510,0.035862,0.042306,0.055002,0.081315,0.137924", \ "0.035871,0.040114,0.043494,0.049497,0.061419,0.086532,0.141453"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.022033,0.033583,0.046773,0.074788,0.134172,0.255844,0.500149", \ "0.022028,0.033582,0.046772,0.074788,0.134151,0.255842,0.500177", \ "0.022029,0.033583,0.046768,0.074784,0.134172,0.255826,0.500186", \ "0.022025,0.033583,0.046770,0.074784,0.134166,0.255822,0.500095", \ "0.022030,0.033583,0.046771,0.074792,0.134155,0.255834,0.500157", \ "0.022027,0.033586,0.046773,0.074781,0.134158,0.255917,0.500135", \ "0.022001,0.033557,0.046750,0.074778,0.134152,0.255837,0.500159"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & !D & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.104540,0.118462,0.129405,0.146525,0.172837,0.216478,0.291912", \ "0.109260,0.123185,0.134124,0.151249,0.177561,0.221203,0.296651", \ "0.127433,0.141504,0.152261,0.169382,0.195712,0.239368,0.314798", \ "0.164328,0.178325,0.189020,0.206158,0.232533,0.276214,0.351651", \ "0.218844,0.233910,0.245447,0.262613,0.289591,0.333412,0.408669", \ "0.278469,0.295763,0.309137,0.329236,0.358238,0.403863,0.480045", \ "0.340214,0.361499,0.376511,0.398871,0.431264,0.479877,0.558137"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.018862,0.023817,0.028420,0.036732,0.051970,0.080831,0.138282", \ "0.018865,0.023818,0.028422,0.036734,0.051972,0.080828,0.138277", \ "0.018862,0.023968,0.028431,0.036733,0.051975,0.080830,0.138279", \ "0.018918,0.024060,0.028522,0.036811,0.051997,0.080847,0.138271", \ "0.022932,0.027111,0.030970,0.038299,0.052865,0.081228,0.138394", \ "0.029749,0.033930,0.037773,0.044725,0.058151,0.084489,0.139566", \ "0.037094,0.042167,0.045999,0.052714,0.065425,0.090344,0.143314"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & D & !SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.103381,0.116729,0.127135,0.143162,0.168270,0.209709,0.283505", \ "0.108088,0.121437,0.131847,0.147874,0.172984,0.214434,0.288224", \ "0.126245,0.139577,0.149964,0.165996,0.191113,0.232564,0.306371", \ "0.163100,0.176339,0.186762,0.202760,0.227905,0.269426,0.343275", \ "0.217068,0.231518,0.242671,0.258942,0.284427,0.326092,0.399994", \ "0.275422,0.292475,0.305581,0.323792,0.351488,0.394983,0.469697", \ "0.336917,0.356682,0.371575,0.392767,0.423119,0.469099,0.545790"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.473730,0.494237,0.512875,0.546536,0.611151,0.739039,0.994236", \ "0.478741,0.499217,0.517880,0.551536,0.616141,0.744054,0.999256", \ "0.491971,0.512391,0.531074,0.564749,0.629313,0.757252,1.012439", \ "0.514590,0.535130,0.553712,0.587365,0.651947,0.779862,1.035157", \ "0.548207,0.568710,0.587547,0.621265,0.685875,0.813843,1.069020", \ "0.590916,0.611715,0.630092,0.663758,0.728212,0.856219,1.111239", \ "0.637804,0.658367,0.676976,0.710469,0.775017,0.902975,1.158417"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.018221,0.022767,0.026991,0.034669,0.049065,0.077509,0.136369", \ "0.018226,0.022769,0.026986,0.034669,0.049073,0.077499,0.136365", \ "0.018221,0.022775,0.027007,0.034676,0.049078,0.077505,0.136364", \ "0.018283,0.022868,0.027086,0.034747,0.049102,0.077505,0.136370", \ "0.022102,0.025903,0.029485,0.036358,0.050066,0.078009,0.136564", \ "0.028683,0.032510,0.035862,0.042305,0.055002,0.081315,0.137924", \ "0.035896,0.040116,0.043477,0.049489,0.061413,0.086532,0.141456"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.022031,0.033583,0.046772,0.074787,0.134166,0.255845,0.500149", \ "0.022029,0.033584,0.046771,0.074787,0.134151,0.255844,0.500192", \ "0.022024,0.033584,0.046769,0.074786,0.134164,0.255827,0.500148", \ "0.022030,0.033583,0.046768,0.074785,0.134166,0.255823,0.500097", \ "0.022032,0.033583,0.046772,0.074787,0.134141,0.255819,0.500150", \ "0.022027,0.033583,0.046771,0.074786,0.134148,0.255880,0.500080", \ "0.021996,0.033557,0.046749,0.074779,0.134144,0.255890,0.500141"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & D & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.104540,0.118462,0.129405,0.146526,0.172837,0.216478,0.291907", \ "0.109260,0.123185,0.134125,0.151247,0.177564,0.221203,0.296636", \ "0.127433,0.141504,0.152261,0.169382,0.195712,0.239368,0.314798", \ "0.164302,0.178327,0.189020,0.206158,0.232533,0.276214,0.351651", \ "0.218843,0.233910,0.245447,0.262613,0.289591,0.333412,0.408669", \ "0.278543,0.295763,0.309137,0.329236,0.358238,0.403863,0.480045", \ "0.340215,0.361509,0.376511,0.398871,0.431264,0.479877,0.558137"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.018862,0.023817,0.028420,0.036732,0.051970,0.080831,0.138283", \ "0.018865,0.023817,0.028422,0.036731,0.051973,0.080828,0.138290", \ "0.018862,0.023968,0.028431,0.036733,0.051975,0.080830,0.138279", \ "0.018918,0.024060,0.028522,0.036811,0.051997,0.080847,0.138271", \ "0.022930,0.027111,0.030970,0.038299,0.052865,0.081228,0.138394", \ "0.029756,0.033931,0.037773,0.044725,0.058151,0.084489,0.139566", \ "0.037096,0.042169,0.045998,0.052714,0.065425,0.090344,0.143314"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & !D & RN"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.662825,0.689508,0.711215,0.746839,0.811379,0.938486,1.193178", \ "0.666732,0.693365,0.715129,0.750772,0.815291,0.942423,1.197101", \ "0.685194,0.711820,0.733585,0.769214,0.833754,0.960895,1.215583", \ "0.718305,0.745106,0.766595,0.802347,0.866795,0.993929,1.248613", \ "0.765271,0.791960,0.813578,0.849243,0.913664,1.040839,1.295512", \ "0.829166,0.855856,0.877592,0.912983,0.977413,1.104635,1.359334", \ "0.913376,0.940045,0.961563,0.997140,1.061632,1.188794,1.443515"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.034986,0.045793,0.056511,0.080306,0.136057,0.256589,0.500624", \ "0.034985,0.045794,0.056532,0.080307,0.136078,0.256582,0.500628", \ "0.034985,0.045800,0.056512,0.080290,0.136057,0.256593,0.500557", \ "0.034981,0.045789,0.056535,0.080293,0.136057,0.256527,0.500515", \ "0.034977,0.045786,0.056505,0.080300,0.136057,0.256528,0.500625", \ "0.034958,0.045789,0.056521,0.080301,0.136062,0.256541,0.500480", \ "0.034967,0.045775,0.056496,0.080293,0.136086,0.256565,0.500633"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & D & RN"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.663345,0.689976,0.711760,0.747423,0.811766,0.938730,1.193236", \ "0.667278,0.693897,0.715640,0.751269,0.815645,0.942614,1.197146", \ "0.685749,0.712406,0.734109,0.769787,0.834102,0.961095,1.215617", \ "0.718735,0.745436,0.767066,0.802769,0.867166,0.994190,1.248701", \ "0.765395,0.792345,0.813788,0.849419,0.913784,1.040859,1.295425", \ "0.829086,0.855751,0.877402,0.913190,0.977554,1.104549,1.359224", \ "0.912921,0.939626,0.961376,0.996759,1.061116,1.188132,1.442689"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.035028,0.045829,0.056565,0.080320,0.136088,0.256588,0.500621", \ "0.035017,0.045829,0.056562,0.080316,0.136087,0.256591,0.500645", \ "0.035014,0.045825,0.056536,0.080311,0.136060,0.256594,0.500655", \ "0.035018,0.045821,0.056527,0.080313,0.136068,0.256583,0.500541", \ "0.034998,0.045813,0.056554,0.080309,0.136083,0.256583,0.500621", \ "0.034993,0.045806,0.056548,0.080307,0.136067,0.256583,0.500544", \ "0.034980,0.045794,0.056511,0.080311,0.136068,0.256593,0.500491"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & !D & RN"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.529872,0.550592,0.569389,0.603257,0.667763,0.795963,1.051541", \ "0.533944,0.554627,0.573399,0.607322,0.671821,0.799996,1.055554", \ "0.552195,0.572876,0.591660,0.625548,0.690073,0.818206,1.073788", \ "0.586763,0.607383,0.626108,0.659987,0.724477,0.852589,1.108109", \ "0.628445,0.649015,0.667792,0.701448,0.765996,0.894373,1.149970", \ "0.674706,0.695293,0.713606,0.747393,0.811967,0.940137,1.195706", \ "0.725201,0.745822,0.764712,0.798124,0.862798,0.991054,1.246586"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.022137,0.033690,0.046867,0.074858,0.134183,0.255868,0.500105", \ "0.022110,0.033664,0.046841,0.074847,0.134184,0.255868,0.500123", \ "0.022091,0.033646,0.046832,0.074845,0.134169,0.255866,0.500118", \ "0.022085,0.033640,0.046825,0.074825,0.134172,0.255916,0.500187", \ "0.022077,0.033638,0.046824,0.074837,0.134174,0.255862,0.500105", \ "0.022080,0.033633,0.046817,0.074827,0.134172,0.255912,0.500113", \ "0.022076,0.033633,0.046820,0.074836,0.134184,0.255865,0.499995"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & D & RN"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.530248,0.550925,0.569707,0.603585,0.667976,0.796070,1.051538", \ "0.534309,0.554957,0.573717,0.607598,0.672058,0.800105,1.055591", \ "0.552592,0.573200,0.591985,0.625796,0.690258,0.818298,1.073777", \ "0.587043,0.607656,0.626329,0.660186,0.724632,0.852662,1.108080", \ "0.628668,0.649228,0.667984,0.701608,0.766107,0.894414,1.149923", \ "0.674767,0.695424,0.713685,0.747511,0.812029,0.940140,1.195635", \ "0.725224,0.745829,0.764739,0.798163,0.862807,0.991015,1.246452"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.022139,0.033694,0.046867,0.074854,0.134180,0.255924,0.500148", \ "0.022112,0.033668,0.046847,0.074852,0.134184,0.255870,0.500134", \ "0.022089,0.033649,0.046833,0.074845,0.134169,0.255844,0.500119", \ "0.022086,0.033641,0.046827,0.074823,0.134170,0.255916,0.500161", \ "0.022081,0.033639,0.046823,0.074836,0.134174,0.255862,0.500097", \ "0.022079,0.033635,0.046814,0.074828,0.134173,0.255912,0.500120", \ "0.022080,0.033634,0.046820,0.074837,0.134185,0.255864,0.499995"); } } internal_power () { related_pin : "CK"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.705093,5.836566,5.892892,5.971202,5.980243,6.027537,6.025324", \ "5.691709,5.836455,5.887064,5.940522,6.018975,6.041045,6.039196", \ "5.670448,5.815141,5.877219,5.954870,5.972280,6.018695,6.018920", \ "5.678578,5.809623,5.871085,5.946528,5.993717,6.040077,6.039114", \ "5.696694,5.841255,5.916062,5.943496,5.998805,6.046198,6.043910", \ "5.762658,5.906990,5.971898,6.019883,6.046369,6.093036,6.090209", \ "5.877015,6.019909,6.087353,6.126929,6.200534,6.195550,6.246200"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.667811,5.769312,5.839340,6.106253,6.197031,6.269287,6.267776", \ "5.652655,5.766739,5.817434,6.116484,6.198480,6.244668,6.269603", \ "5.633899,5.749733,5.805431,6.081718,6.178120,6.223561,6.248449", \ "5.628314,5.733819,5.792232,6.089363,6.188489,6.235786,6.259057", \ "5.656959,5.762624,5.822625,6.078990,6.191310,6.253925,6.258721", \ "5.719943,5.818765,5.885391,6.157547,6.299758,6.342701,6.365550", \ "5.835825,5.937624,5.996389,6.284531,6.382916,6.427583,6.435390"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & !SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("3.939188,4.196574,4.396857,4.650895,4.870106,5.007992,5.064844", \ "3.912023,4.180845,4.365731,4.610352,4.840715,4.984595,5.031055", \ "3.863078,4.135398,4.324153,4.577302,4.798940,4.937724,4.998605", \ "3.901441,4.140598,4.336758,4.556634,4.795282,4.953471,5.010092", \ "4.038868,4.261214,4.417871,4.625657,4.877632,5.018924,5.096312", \ "4.190533,4.347859,4.508414,4.734846,5.022660,5.205704,5.278159", \ "4.541142,4.616014,4.724024,4.912852,5.173206,5.417096,5.548471"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("3.280579,3.592704,3.729722,3.869893,3.991155,4.090178,4.045833", \ "3.261729,3.593504,3.710334,3.851115,3.946634,4.096495,4.133570", \ "3.242952,3.548121,3.672672,3.808817,3.945393,3.965019,4.004726", \ "3.213316,3.535087,3.653233,3.743895,3.904875,3.996881,3.971472", \ "3.269383,3.551334,3.675731,3.776556,3.810922,3.961740,4.012527", \ "3.381054,3.693723,3.800593,3.829720,3.941480,3.949922,4.012420", \ "3.573342,3.900308,4.008960,4.074440,4.083301,4.134007,4.183875"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.453707,4.698826,4.870349,5.083405,5.129320,5.186699,5.192534", \ "4.429761,4.686742,4.856882,5.043781,5.120915,5.179456,5.184372", \ "4.413381,4.670063,4.845186,5.057146,5.154845,5.146171,5.155418", \ "4.425663,4.666957,4.848697,5.029003,5.138724,5.182857,5.193091", \ "4.525737,4.731640,4.895471,5.087535,5.164055,5.158288,5.241879", \ "4.699123,4.872729,5.020109,5.186480,5.212253,5.273822,5.292595", \ "4.890860,5.039100,5.183778,5.365934,5.393650,5.387534,5.408496"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D & !SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("3.951785,4.223732,4.406875,4.663359,4.881407,5.024638,5.074337", \ "3.925041,4.181290,4.379134,4.597129,4.852038,4.994594,5.041751", \ "3.883488,4.132941,4.337936,4.589941,4.811346,4.949802,5.010269", \ "3.910084,4.166441,4.339947,4.569496,4.792384,4.964701,5.019695", \ "4.051332,4.274473,4.431042,4.639679,4.891926,5.033452,5.110360", \ "4.197206,4.369466,4.513836,4.749962,5.034552,5.202553,5.287723", \ "4.553460,4.629733,4.729423,4.917574,5.181299,5.425588,5.556995"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("3.273461,3.579752,3.724095,3.864688,3.985544,4.000223,4.041870", \ "3.254516,3.586616,3.704897,3.845926,3.940578,4.093818,4.123907", \ "3.209822,3.541094,3.667064,3.803530,3.938935,3.958569,3.985621", \ "3.205568,3.527852,3.647616,3.738365,3.897735,3.918356,3.964548", \ "3.252327,3.544690,3.669213,3.771334,3.803243,3.955236,4.005570", \ "3.373453,3.664922,3.777520,3.825531,3.803192,3.943521,4.005487", \ "3.552085,3.875206,4.009812,4.077426,4.078721,4.128564,4.055627"); } } internal_power () { related_pin : "RN"; when : "!CK & D & SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.518502,4.761302,4.935330,5.149493,5.196257,5.254437,5.259496", \ "4.493308,4.752547,4.937565,5.139795,5.201448,5.245640,5.250739", \ "4.476600,4.733011,4.908409,5.121088,5.219771,5.210659,5.218274", \ "4.489662,4.720401,4.912564,5.105178,5.205727,5.247999,5.261763", \ "4.588544,4.793964,4.959621,5.129580,5.229584,5.223674,5.308444", \ "4.756280,4.933118,5.081341,5.244901,5.272717,5.335693,5.355194", \ "4.949213,5.100412,5.246013,5.427519,5.472676,5.513728,5.466097"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D & !SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.148516,4.447328,4.663880,4.946829,5.256484,5.551075,5.912542", \ "4.127740,4.426122,4.634730,4.916679,5.226384,5.519941,5.882539", \ "4.107927,4.381874,4.606595,4.871097,5.185241,5.468313,5.846117", \ "4.140046,4.398587,4.598700,4.871148,5.185668,5.494579,5.857317", \ "4.269803,4.526789,4.712900,4.955615,5.283882,5.566440,5.958749", \ "4.443293,4.630298,4.809261,5.081403,5.447025,5.774848,6.156443", \ "4.803689,4.916289,5.030440,5.279963,5.602651,5.989045,6.428052"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.028378,6.369669,6.515762,6.608446,6.711495,6.725638,6.789165", \ "6.019660,6.358505,6.507329,6.599300,6.677399,6.689062,6.745635", \ "6.000804,6.365936,6.488594,6.613379,6.603360,6.750781,6.805876", \ "6.006475,6.346254,6.512120,6.568392,6.663416,6.674900,6.734863", \ "6.005740,6.357593,6.502317,6.597458,6.640128,6.788656,6.845250", \ "6.007433,6.368464,6.511013,6.570651,6.615286,6.754417,6.878520", \ "6.024958,6.391740,6.529855,6.625534,6.687263,6.834055,6.882558"); } } internal_power () { related_pin : "RN"; when : "CK & !D & SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.338148,4.500662,4.606214,4.741151,4.932676,6.263413,6.667738", \ "4.328457,4.491230,4.588677,4.700220,4.924311,6.256698,6.656618", \ "4.312966,4.475065,4.576437,4.695966,4.880126,6.216953,6.628428", \ "4.331975,4.483585,4.559081,4.691620,4.931803,6.278101,6.666360", \ "4.447305,4.570979,4.649025,4.741968,5.004008,6.357960,6.658413", \ "4.640477,4.723536,4.785762,4.877381,5.064065,6.418129,6.789878", \ "4.824527,4.910666,4.940709,5.046411,5.240885,6.504869,6.924807"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D & !SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.148270,4.446990,4.664113,4.946580,5.255138,5.550131,5.910734", \ "4.127451,4.425782,4.635149,4.916184,5.225659,5.518972,5.880660", \ "4.107556,4.381445,4.606118,4.870525,5.187995,5.467259,5.843897", \ "4.139519,4.397744,4.598715,4.870886,5.184765,5.493610,5.855203", \ "4.269146,4.525944,4.711995,4.955112,5.282683,5.564796,5.956184", \ "4.442273,4.629311,4.808079,5.079998,5.445411,5.772714,6.153419", \ "4.804734,4.915782,5.029057,5.271776,5.599949,5.982320,6.411753"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.006147,6.374632,6.486754,6.614597,6.716983,6.731183,6.795295", \ "6.025057,6.366300,6.515264,6.605487,6.682706,6.694758,6.749498", \ "6.004882,6.347829,6.494617,6.621162,6.609199,6.756332,6.820255", \ "6.011897,6.352676,6.483861,6.575680,6.670404,6.682607,6.741161", \ "5.995060,6.365166,6.482184,6.607470,6.648926,6.795076,6.856204", \ "6.007564,6.369167,6.486103,6.580201,6.616986,6.761130,6.824378", \ "6.035144,6.374898,6.505491,6.636980,6.697610,6.705865,6.760471"); } } internal_power () { related_pin : "RN"; when : "CK & D & SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.338678,4.501156,4.609415,4.741091,4.932641,6.262699,6.664049", \ "4.328336,4.491441,4.589572,4.699971,4.926164,6.255924,6.653138", \ "4.313155,4.475182,4.576388,4.695728,4.879965,6.216214,6.624334", \ "4.332542,4.482971,4.558750,4.691240,4.931386,6.276720,6.664300", \ "4.449499,4.570672,4.648744,4.741420,5.003338,6.356912,6.654140", \ "4.639878,4.722836,4.785001,4.876440,5.062935,6.415847,6.785442", \ "4.823714,4.909715,4.939637,5.045131,5.239206,6.502062,6.920223"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & RN"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.606760,5.815603,5.896971,5.957173,6.050303,6.109843,6.126153", \ "5.560564,5.774172,5.874473,5.959698,6.030358,6.022260,6.107834", \ "5.538439,5.744340,5.846634,5.907875,5.977454,6.037427,6.052617", \ "5.572060,5.761215,5.856954,5.914297,6.018803,6.015939,6.080870", \ "5.727611,5.885511,5.953969,6.002031,6.046417,6.112077,6.135792", \ "5.989315,6.109062,6.178177,6.216157,6.264643,6.285316,6.309918", \ "6.368837,6.449355,6.481338,6.516025,6.557745,6.611131,6.632492"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D & RN"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.096954,5.305297,5.413087,5.498632,5.543227,5.605974,5.626674", \ "5.080602,5.290263,5.382318,5.469105,5.541648,5.535383,5.627878", \ "5.059795,5.267747,5.368413,5.429601,5.502633,5.565788,5.593341", \ "5.078168,5.267497,5.363148,5.421936,5.528208,5.527776,5.552961", \ "5.191754,5.347430,5.418209,5.467176,5.512402,5.627156,5.607479", \ "5.382188,5.501553,5.569022,5.623336,5.684266,5.680093,5.709305", \ "5.643264,5.721072,5.764233,5.802343,5.846168,5.833803,5.863643"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D & RN"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.401660,6.591151,6.682911,6.750321,6.798574,6.844434,6.853726", \ "6.366211,6.541839,6.632576,6.699445,6.775601,6.821216,6.829652", \ "6.334373,6.519806,6.607460,6.664888,6.725416,6.772322,6.783682", \ "6.373599,6.535230,6.628702,6.689327,6.748458,6.797640,6.812177", \ "6.521768,6.662513,6.718893,6.798796,6.848796,6.909332,6.917836", \ "6.778818,6.882896,6.926734,6.964119,7.046882,7.040155,7.125989", \ "7.158599,7.207395,7.238137,7.233804,7.300895,7.337592,7.348615"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D & RN"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.398536,6.589241,6.681741,6.749191,6.799631,6.845581,6.859610", \ "6.363227,6.553349,6.630972,6.706872,6.776315,6.824685,6.837986", \ "6.331132,6.517844,6.605845,6.658105,6.756748,6.775794,6.789710", \ "6.374651,6.547098,6.624647,6.689220,6.750039,6.801363,6.819402", \ "6.520277,6.661762,6.718680,6.799451,6.850780,6.913309,6.956933", \ "6.793876,6.883048,6.927544,6.965185,6.998706,7.044484,7.132370", \ "7.159645,7.222334,7.240364,7.235785,7.303997,7.342350,7.355816"); } fall_power(scalar) {values ("0.0"); } } } pin (QN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 120.834400; function : "IQN"; timing () { related_pin : "CK"; timing_type : rising_edge; timing_sense : non_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.341789,0.350990,0.358573,0.371402,0.393357,0.431884,0.503303", \ "0.347026,0.356221,0.363848,0.376706,0.398694,0.437177,0.508625", \ "0.364908,0.374121,0.381646,0.394531,0.416524,0.455049,0.526471", \ "0.388325,0.397535,0.405060,0.417988,0.440008,0.478510,0.549957", \ "0.411069,0.420272,0.427717,0.440274,0.462405,0.501020,0.572655", \ "0.430766,0.439994,0.447487,0.460408,0.482467,0.521255,0.592830", \ "0.446340,0.455588,0.463300,0.476699,0.498133,0.536800,0.609570"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.352318,0.368999,0.384566,0.414225,0.475307,0.601075,0.854977", \ "0.357498,0.374222,0.389742,0.419391,0.480512,0.606256,0.860162", \ "0.375141,0.391844,0.407380,0.437019,0.498152,0.623893,0.877820", \ "0.399093,0.415827,0.431364,0.461025,0.522100,0.647857,0.901768", \ "0.422810,0.439497,0.454820,0.484574,0.545708,0.671403,0.925388", \ "0.443887,0.460623,0.476144,0.506243,0.567223,0.692953,0.946993", \ "0.461909,0.478593,0.493993,0.523791,0.584648,0.709395,0.964546"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.0167731,0.0212409,0.0251849,0.0323848,0.0464097,0.0749548,0.134709", \ "0.0167744,0.0212362,0.0251900,0.0323859,0.0464097,0.0749524,0.134706", \ "0.0167768,0.0212402,0.0251878,0.0323860,0.0464116,0.0749456,0.134715", \ "0.0167803,0.0212420,0.0251921,0.0323915,0.0464263,0.0749445,0.134690", \ "0.0167803,0.0212372,0.0251821,0.0323919,0.0464173,0.0749557,0.134707", \ "0.0167820,0.0212382,0.0251861,0.0323909,0.0464134,0.0749378,0.134690", \ "0.0167816,0.0212415,0.0251883,0.0323922,0.0464188,0.0749487,0.134652"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.0189634,0.0308870,0.0446304,0.0734821,0.133527,0.255316,0.499422", \ "0.0189554,0.0308837,0.0446375,0.0734928,0.133523,0.255316,0.499424", \ "0.0189577,0.0308856,0.0446332,0.0734965,0.133526,0.255311,0.499432", \ "0.0189579,0.0308868,0.0446318,0.0734916,0.133536,0.255307,0.499422", \ "0.0189591,0.0308882,0.0446352,0.0734941,0.133522,0.255305,0.499424", \ "0.0189576,0.0308862,0.0446348,0.0734900,0.133528,0.255315,0.499422", \ "0.0189592,0.0308864,0.0446369,0.0734807,0.133517,0.255313,0.499428"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & !D & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.201376,0.218251,0.233864,0.263527,0.324633,0.450398,0.704354", \ "0.206089,0.222967,0.238586,0.268244,0.329361,0.455121,0.709079", \ "0.224218,0.241106,0.256711,0.286358,0.347479,0.473240,0.727222", \ "0.260918,0.277803,0.293402,0.323067,0.384181,0.509954,0.763932", \ "0.317784,0.334349,0.350005,0.379242,0.440160,0.565797,0.819738", \ "0.388291,0.404126,0.418737,0.447051,0.506958,0.631850,0.885366", \ "0.463610,0.479095,0.492831,0.519761,0.578285,0.702297,0.955266"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.019139,0.031024,0.044739,0.073567,0.133589,0.255313,0.499404", \ "0.019143,0.031025,0.044736,0.073557,0.133588,0.255315,0.499415", \ "0.019145,0.031026,0.044737,0.073572,0.133587,0.255309,0.499402", \ "0.019156,0.031036,0.044746,0.073564,0.133586,0.255309,0.499406", \ "0.019267,0.031119,0.044803,0.073599,0.133603,0.255301,0.499410", \ "0.020064,0.031692,0.045234,0.073807,0.133663,0.255315,0.499411", \ "0.021319,0.032713,0.045987,0.074181,0.133798,0.255354,0.499410"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & D & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.201379,0.218259,0.233872,0.263523,0.324642,0.450386,0.704340", \ "0.206094,0.222978,0.238593,0.268252,0.329378,0.455112,0.709099", \ "0.224230,0.241112,0.256722,0.286372,0.347497,0.473253,0.727232", \ "0.260973,0.277851,0.293398,0.323082,0.384201,0.509952,0.763943", \ "0.318008,0.334558,0.349916,0.379473,0.440409,0.566025,0.819987", \ "0.388361,0.404269,0.418809,0.447598,0.507509,0.632474,0.885784", \ "0.463641,0.479136,0.492855,0.519788,0.578306,0.703148,0.956090"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.019140,0.031025,0.044739,0.073566,0.133589,0.255311,0.499413", \ "0.019142,0.031025,0.044735,0.073572,0.133589,0.255316,0.499414", \ "0.019145,0.031026,0.044735,0.073570,0.133583,0.255312,0.499405", \ "0.019157,0.031037,0.044747,0.073565,0.133586,0.255306,0.499402", \ "0.019268,0.031120,0.044805,0.073600,0.133601,0.255309,0.499411", \ "0.020062,0.031694,0.045234,0.073805,0.133666,0.255323,0.499405", \ "0.021317,0.032712,0.045986,0.074184,0.133803,0.255354,0.499413"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & !D & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.201022,0.217799,0.233376,0.263245,0.324186,0.449991,0.703992", \ "0.205753,0.222517,0.238094,0.267969,0.328913,0.454717,0.708725", \ "0.223875,0.240879,0.256209,0.286073,0.347028,0.472844,0.726838", \ "0.260543,0.277563,0.292851,0.322732,0.383700,0.509510,0.763508", \ "0.317789,0.334242,0.349598,0.378805,0.439856,0.565516,0.819233", \ "0.388626,0.403841,0.418372,0.447042,0.506740,0.631712,0.885398", \ "0.463523,0.479443,0.492568,0.519285,0.577853,0.701949,0.955062"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.019016,0.030930,0.044662,0.073517,0.133532,0.255316,0.499401", \ "0.019008,0.030928,0.044664,0.073502,0.133541,0.255310,0.499401", \ "0.019017,0.030934,0.044661,0.073521,0.133541,0.255313,0.499415", \ "0.019028,0.030940,0.044674,0.073512,0.133540,0.255311,0.499410", \ "0.019143,0.031020,0.044735,0.073537,0.133538,0.255306,0.499425", \ "0.019901,0.031562,0.045142,0.073755,0.133614,0.255332,0.499427", \ "0.021093,0.032523,0.045842,0.074091,0.133741,0.255388,0.499433"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & D & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.201025,0.217799,0.233376,0.263246,0.324186,0.449991,0.703987", \ "0.205753,0.222517,0.238093,0.267967,0.328917,0.454716,0.708714", \ "0.223875,0.240879,0.256209,0.286073,0.347028,0.472844,0.726838", \ "0.260510,0.277566,0.292851,0.322732,0.383700,0.509510,0.763508", \ "0.317794,0.334241,0.349598,0.378805,0.439856,0.565516,0.819233", \ "0.388691,0.403841,0.418372,0.447042,0.506740,0.631712,0.885398", \ "0.463524,0.479453,0.492568,0.519286,0.577853,0.701949,0.955062"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.019015,0.030930,0.044662,0.073517,0.133532,0.255315,0.499416", \ "0.019015,0.030928,0.044659,0.073505,0.133542,0.255310,0.499426", \ "0.019017,0.030934,0.044661,0.073521,0.133541,0.255313,0.499416", \ "0.019029,0.030940,0.044674,0.073512,0.133540,0.255311,0.499397", \ "0.019144,0.031020,0.044735,0.073537,0.133538,0.255306,0.499425", \ "0.019895,0.031562,0.045142,0.073755,0.133614,0.255332,0.499427", \ "0.021092,0.032523,0.045843,0.074091,0.133741,0.255388,0.499433"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & !D & !RN"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.088592,0.100473,0.109845,0.124509,0.147987,0.187784,0.260398", \ "0.093231,0.105111,0.114485,0.129153,0.152632,0.192431,0.265045", \ "0.111433,0.123295,0.132660,0.147333,0.170820,0.210640,0.283249", \ "0.148452,0.160266,0.169671,0.184312,0.207860,0.247708,0.320366", \ "0.199043,0.212489,0.222901,0.238340,0.262543,0.302673,0.375279", \ "0.253091,0.269002,0.280702,0.298091,0.324487,0.366472,0.440088", \ "0.309555,0.327941,0.341849,0.361726,0.390479,0.434675,0.509798"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.071641,0.090110,0.107789,0.140967,0.205504,0.333386,0.588464", \ "0.076639,0.095108,0.112789,0.145966,0.210512,0.338399,0.593540", \ "0.091241,0.109667,0.127302,0.160430,0.224963,0.352897,0.608078", \ "0.115726,0.134363,0.151999,0.184999,0.249436,0.377362,0.632592", \ "0.143701,0.163236,0.181233,0.214437,0.278770,0.406582,0.661754", \ "0.171087,0.192074,0.210707,0.244216,0.308466,0.436177,0.691134", \ "0.195048,0.218290,0.237669,0.271862,0.336648,0.463746,0.718863"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.016215,0.020487,0.024563,0.032085,0.046450,0.075201,0.135039", \ "0.016222,0.020488,0.024563,0.032085,0.046446,0.075190,0.135034", \ "0.016224,0.020506,0.024582,0.032092,0.046441,0.075197,0.135050", \ "0.016488,0.020727,0.024763,0.032233,0.046528,0.075234,0.135058", \ "0.021434,0.024908,0.028312,0.034941,0.048165,0.076034,0.135322", \ "0.028026,0.031493,0.034669,0.040791,0.053228,0.079685,0.136990", \ "0.035335,0.039127,0.042240,0.047940,0.059502,0.084539,0.140165"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.017295,0.029625,0.043664,0.072973,0.133479,0.255443,0.499799", \ "0.017297,0.029625,0.043665,0.072971,0.133487,0.255444,0.499796", \ "0.017265,0.029622,0.043669,0.072966,0.133468,0.255503,0.499868", \ "0.018196,0.030225,0.044004,0.073065,0.133481,0.255472,0.499754", \ "0.020416,0.032061,0.045450,0.073902,0.133717,0.255486,0.499982", \ "0.024009,0.035066,0.047759,0.075214,0.134307,0.255662,0.499831", \ "0.029037,0.039677,0.051517,0.077511,0.135219,0.256295,0.500063"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & !D & RN"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.088559,0.100452,0.109835,0.124510,0.148013,0.187833,0.260484", \ "0.093213,0.105111,0.114489,0.129174,0.152677,0.192513,0.265146", \ "0.111412,0.123287,0.132659,0.147351,0.170862,0.210692,0.283351", \ "0.148404,0.160234,0.169575,0.184307,0.207862,0.247727,0.320393", \ "0.199107,0.212576,0.222812,0.238432,0.262645,0.302806,0.375511", \ "0.252948,0.268894,0.280919,0.298261,0.324554,0.366505,0.440172", \ "0.309987,0.328427,0.342058,0.361841,0.390635,0.434767,0.509939"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.016232,0.020504,0.024572,0.032097,0.046450,0.075213,0.135080", \ "0.016232,0.020505,0.024575,0.032098,0.046447,0.075211,0.135090", \ "0.016241,0.020520,0.024595,0.032117,0.046448,0.075211,0.135089", \ "0.016503,0.020738,0.024775,0.032239,0.046510,0.075232,0.135094", \ "0.021456,0.024918,0.028342,0.034932,0.048150,0.076031,0.135354", \ "0.028066,0.031529,0.034664,0.040780,0.053211,0.079688,0.136988", \ "0.035289,0.039086,0.042230,0.047949,0.059510,0.084543,0.140185"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & D & !RN"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.088577,0.100454,0.109836,0.124491,0.147968,0.187763,0.260382", \ "0.093215,0.105098,0.114479,0.129144,0.152611,0.192406,0.265027", \ "0.111427,0.123282,0.132638,0.147325,0.170813,0.210618,0.283222", \ "0.148402,0.160227,0.169661,0.184291,0.207841,0.247693,0.320348", \ "0.198891,0.212312,0.222736,0.238366,0.262575,0.302720,0.375334", \ "0.252780,0.268689,0.280681,0.298283,0.324472,0.366455,0.440078", \ "0.309517,0.327907,0.341760,0.361646,0.390432,0.434625,0.509816"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.071629,0.090098,0.107776,0.140953,0.205491,0.333382,0.588455", \ "0.076625,0.095094,0.112776,0.145953,0.210502,0.338395,0.593467", \ "0.091214,0.109645,0.127283,0.160412,0.224949,0.352886,0.608076", \ "0.115670,0.134334,0.151967,0.184963,0.249393,0.377310,0.632572", \ "0.143657,0.163186,0.181191,0.214395,0.278756,0.406545,0.661726", \ "0.170946,0.192061,0.210741,0.244167,0.308427,0.436132,0.691116", \ "0.194593,0.217845,0.237556,0.272302,0.336592,0.463971,0.718833"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.016219,0.020487,0.024561,0.032089,0.046449,0.075202,0.135036", \ "0.016217,0.020486,0.024562,0.032086,0.046436,0.075196,0.135043", \ "0.016218,0.020504,0.024581,0.032102,0.046441,0.075208,0.135058", \ "0.016484,0.020725,0.024763,0.032226,0.046529,0.075233,0.135055", \ "0.021452,0.024926,0.028326,0.034924,0.048159,0.076034,0.135317", \ "0.028062,0.031515,0.034664,0.040766,0.053225,0.079682,0.136957", \ "0.035347,0.039127,0.042237,0.047943,0.059502,0.084546,0.140154"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.017283,0.029625,0.043666,0.072957,0.133480,0.255467,0.499760", \ "0.017284,0.029625,0.043667,0.072963,0.133486,0.255443,0.499799", \ "0.017264,0.029620,0.043670,0.072967,0.133467,0.255476,0.499832", \ "0.018202,0.030224,0.044009,0.073066,0.133464,0.255462,0.499812", \ "0.020403,0.032062,0.045448,0.073900,0.133717,0.255519,0.499786", \ "0.024020,0.035063,0.047757,0.075225,0.134295,0.255658,0.499825", \ "0.029088,0.039726,0.051526,0.077491,0.135214,0.256224,0.499855"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & D & RN"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.088541,0.100436,0.109807,0.124477,0.147969,0.187764,0.260399", \ "0.093187,0.105080,0.114467,0.129135,0.152623,0.192423,0.265045", \ "0.111395,0.123260,0.132636,0.147326,0.170819,0.210627,0.283253", \ "0.148388,0.160213,0.169565,0.184296,0.207846,0.247702,0.320365", \ "0.198859,0.212518,0.222667,0.238312,0.262504,0.302661,0.375306", \ "0.252791,0.268709,0.280572,0.298261,0.324534,0.366527,0.440282", \ "0.309702,0.328085,0.341839,0.361604,0.390399,0.434690,0.509887"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.016232,0.020502,0.024578,0.032102,0.046462,0.075229,0.135086", \ "0.016237,0.020504,0.024578,0.032104,0.046460,0.075233,0.135097", \ "0.016237,0.020523,0.024599,0.032129,0.046462,0.075227,0.135107", \ "0.016505,0.020740,0.024783,0.032248,0.046527,0.075242,0.135103", \ "0.021478,0.024925,0.028359,0.034943,0.048177,0.076049,0.135364", \ "0.028079,0.031554,0.034711,0.040790,0.053225,0.079686,0.136990", \ "0.035357,0.039145,0.042266,0.047979,0.059533,0.084569,0.140209"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & !D & !RN"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.088595,0.100474,0.109853,0.124507,0.147987,0.187774,0.260400", \ "0.093233,0.105115,0.114485,0.129148,0.152632,0.192433,0.265039", \ "0.111444,0.123300,0.132660,0.147330,0.170825,0.210631,0.283249", \ "0.148510,0.160315,0.169696,0.184314,0.207859,0.247706,0.320363", \ "0.199229,0.212711,0.222898,0.238443,0.262661,0.302801,0.375414", \ "0.253311,0.269101,0.280734,0.298332,0.324624,0.366640,0.440248", \ "0.309763,0.328166,0.341766,0.361658,0.390442,0.434649,0.509778"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.070243,0.088630,0.106276,0.139423,0.203926,0.331830,0.586821", \ "0.075251,0.093639,0.111286,0.144440,0.208941,0.336851,0.591917", \ "0.090015,0.108363,0.125963,0.159061,0.223568,0.351504,0.606549", \ "0.114801,0.133395,0.151004,0.183968,0.248361,0.376315,0.631434", \ "0.142991,0.162493,0.180479,0.213658,0.277944,0.405783,0.660903", \ "0.170391,0.191374,0.210169,0.243527,0.307763,0.435484,0.690412", \ "0.194182,0.217491,0.237195,0.271415,0.335853,0.463042,0.717978"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.016215,0.020488,0.024562,0.032085,0.046447,0.075197,0.135054", \ "0.016219,0.020489,0.024562,0.032088,0.046432,0.075187,0.135033", \ "0.016216,0.020506,0.024583,0.032093,0.046437,0.075204,0.135049", \ "0.016482,0.020723,0.024762,0.032230,0.046527,0.075230,0.135055", \ "0.021414,0.024877,0.028316,0.034924,0.048160,0.076041,0.135319", \ "0.027988,0.031459,0.034664,0.040759,0.053212,0.079677,0.136955", \ "0.035301,0.039081,0.042239,0.047946,0.059503,0.084540,0.140151"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.017034,0.029439,0.043522,0.072852,0.133374,0.255458,0.499881", \ "0.017033,0.029440,0.043522,0.072851,0.133371,0.255498,0.499956", \ "0.017026,0.029444,0.043525,0.072853,0.133385,0.255451,0.499895", \ "0.018019,0.030090,0.043893,0.072971,0.133368,0.255511,0.499865", \ "0.020293,0.031963,0.045358,0.073828,0.133644,0.255460,0.499869", \ "0.024005,0.035034,0.047701,0.075151,0.134240,0.255698,0.499893", \ "0.029089,0.039726,0.051505,0.077459,0.135146,0.256296,0.499976"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & !D & RN"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.088574,0.100463,0.109853,0.124523,0.148023,0.187852,0.260450", \ "0.093227,0.105121,0.114499,0.129187,0.152684,0.192513,0.265117", \ "0.111429,0.123297,0.132670,0.147363,0.170874,0.210715,0.283314", \ "0.148439,0.160269,0.169635,0.184318,0.207871,0.247741,0.320372", \ "0.199004,0.212460,0.222852,0.238360,0.262562,0.302789,0.375430", \ "0.253072,0.269011,0.280633,0.298262,0.324545,0.366509,0.440105", \ "0.309302,0.327794,0.341835,0.361669,0.390413,0.434644,0.509552"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.016228,0.020501,0.024572,0.032092,0.046452,0.075215,0.134971", \ "0.016233,0.020504,0.024576,0.032103,0.046458,0.075214,0.134973", \ "0.016243,0.020524,0.024602,0.032119,0.046445,0.075214,0.134968", \ "0.016499,0.020740,0.024775,0.032239,0.046528,0.075237,0.134968", \ "0.021461,0.024932,0.028341,0.034942,0.048167,0.076046,0.135242", \ "0.028069,0.031508,0.034703,0.040783,0.053221,0.079674,0.136901", \ "0.035378,0.039170,0.042258,0.047962,0.059522,0.084536,0.140097"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & D & !RN"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.088594,0.100474,0.109853,0.124507,0.147986,0.187774,0.260400", \ "0.093231,0.105116,0.114485,0.129148,0.152632,0.192433,0.265039", \ "0.111444,0.123300,0.132660,0.147330,0.170825,0.210631,0.283249", \ "0.148510,0.160315,0.169696,0.184314,0.207859,0.247706,0.320363", \ "0.199229,0.212711,0.222898,0.238443,0.262661,0.302801,0.375414", \ "0.253311,0.269101,0.280734,0.298332,0.324624,0.366640,0.440248", \ "0.309763,0.328166,0.341766,0.361658,0.390442,0.434649,0.509778"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.070243,0.088630,0.106276,0.139423,0.203926,0.331829,0.586820", \ "0.075251,0.093639,0.111286,0.144440,0.208941,0.336850,0.591917", \ "0.090015,0.108363,0.125963,0.159061,0.223568,0.351504,0.606549", \ "0.114801,0.133395,0.151004,0.183968,0.248361,0.376315,0.631434", \ "0.142992,0.162493,0.180479,0.213658,0.277944,0.405783,0.660903", \ "0.170392,0.191374,0.210169,0.243527,0.307763,0.435484,0.690412", \ "0.194182,0.217491,0.237195,0.271415,0.335853,0.463042,0.717978"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.016217,0.020489,0.024562,0.032085,0.046449,0.075197,0.135054", \ "0.016217,0.020487,0.024562,0.032088,0.046433,0.075187,0.135033", \ "0.016216,0.020506,0.024583,0.032093,0.046437,0.075204,0.135049", \ "0.016482,0.020723,0.024762,0.032230,0.046527,0.075231,0.135055", \ "0.021414,0.024877,0.028316,0.034924,0.048160,0.076041,0.135319", \ "0.027988,0.031459,0.034664,0.040759,0.053212,0.079677,0.136955", \ "0.035301,0.039081,0.042239,0.047946,0.059503,0.084540,0.140151"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.017034,0.029439,0.043522,0.072852,0.133375,0.255459,0.499920", \ "0.017033,0.029440,0.043522,0.072851,0.133371,0.255502,0.499956", \ "0.017026,0.029444,0.043525,0.072853,0.133385,0.255451,0.499895", \ "0.018019,0.030090,0.043893,0.072971,0.133368,0.255511,0.499865", \ "0.020292,0.031963,0.045358,0.073828,0.133644,0.255460,0.499869", \ "0.024005,0.035034,0.047701,0.075151,0.134240,0.255698,0.499893", \ "0.029089,0.039726,0.051505,0.077459,0.135146,0.256296,0.499976"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & D & RN"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.088573,0.100463,0.109853,0.124523,0.148023,0.187852,0.260452", \ "0.093227,0.105121,0.114499,0.129187,0.152684,0.192513,0.265117", \ "0.111429,0.123297,0.132670,0.147363,0.170874,0.210714,0.283314", \ "0.148440,0.160269,0.169635,0.184318,0.207871,0.247741,0.320372", \ "0.199004,0.212460,0.222852,0.238360,0.262562,0.302789,0.375430", \ "0.253074,0.269011,0.280633,0.298262,0.324545,0.366509,0.440105", \ "0.309302,0.327794,0.341835,0.361669,0.390413,0.434644,0.509552"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.016231,0.020502,0.024572,0.032092,0.046452,0.075213,0.134972", \ "0.016233,0.020504,0.024576,0.032103,0.046456,0.075214,0.134973", \ "0.016243,0.020524,0.024602,0.032119,0.046446,0.075213,0.134968", \ "0.016499,0.020740,0.024775,0.032239,0.046528,0.075237,0.134969", \ "0.021461,0.024932,0.028341,0.034942,0.048166,0.076046,0.135242", \ "0.028058,0.031507,0.034702,0.040783,0.053221,0.079674,0.136901", \ "0.035378,0.039170,0.042258,0.047962,0.059522,0.084536,0.140097"); } } internal_power () { related_pin : "CK"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.667811,5.769312,5.839340,6.106253,6.197031,6.269287,6.267776", \ "5.652655,5.766739,5.817434,6.116484,6.198480,6.244668,6.269603", \ "5.633899,5.749733,5.805431,6.081718,6.178120,6.223561,6.248449", \ "5.628314,5.733819,5.792232,6.089363,6.188489,6.235786,6.259057", \ "5.656959,5.762624,5.822625,6.078990,6.191310,6.253925,6.258721", \ "5.719943,5.818765,5.885391,6.157547,6.299758,6.342701,6.365550", \ "5.835825,5.937624,5.996389,6.284531,6.382916,6.427583,6.435390"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.705093,5.836566,5.892892,5.971202,5.980243,6.027537,6.025324", \ "5.691709,5.836455,5.887064,5.940522,6.018975,6.041045,6.039196", \ "5.670448,5.815141,5.877219,5.954870,5.972280,6.018695,6.018920", \ "5.678578,5.809623,5.871085,5.946528,5.993717,6.040077,6.039114", \ "5.696694,5.841255,5.916062,5.943496,5.998805,6.046198,6.043910", \ "5.762658,5.906990,5.971898,6.019883,6.046369,6.093036,6.090209", \ "5.877015,6.019909,6.087353,6.126929,6.200534,6.195550,6.246200"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & SN"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.453707,4.698826,4.870349,5.083405,5.129320,5.186699,5.192534", \ "4.429761,4.686742,4.856882,5.043781,5.120915,5.179456,5.184372", \ "4.413381,4.670063,4.845186,5.057146,5.154845,5.146171,5.155418", \ "4.425663,4.666957,4.848697,5.029003,5.138724,5.182857,5.193091", \ "4.525737,4.731640,4.895471,5.087535,5.164055,5.158288,5.241879", \ "4.699123,4.872729,5.020109,5.186480,5.212253,5.273822,5.292595", \ "4.890860,5.039100,5.183778,5.365934,5.393650,5.387534,5.408496"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D & SN"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.518502,4.761302,4.935330,5.149493,5.196257,5.254437,5.259496", \ "4.493308,4.752547,4.937565,5.139795,5.201448,5.245640,5.250739", \ "4.476600,4.733011,4.908409,5.121088,5.219771,5.210659,5.218274", \ "4.489662,4.720401,4.912564,5.105178,5.205727,5.247999,5.261763", \ "4.588544,4.793964,4.959621,5.129580,5.229584,5.223674,5.308444", \ "4.756280,4.933118,5.081341,5.244901,5.272717,5.335693,5.355194", \ "4.949213,5.100412,5.246013,5.427519,5.472676,5.513728,5.466097"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D & SN"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.338148,4.500662,4.606214,4.741151,4.932676,6.263413,6.667738", \ "4.328457,4.491230,4.588677,4.700220,4.924311,6.256698,6.656618", \ "4.312966,4.475065,4.576437,4.695966,4.880126,6.216953,6.628428", \ "4.331975,4.483585,4.559081,4.691620,4.931803,6.278101,6.666360", \ "4.447305,4.570979,4.649025,4.741968,5.004008,6.357960,6.658413", \ "4.640477,4.723536,4.785762,4.877381,5.064065,6.418129,6.789878", \ "4.824527,4.910666,4.940709,5.046411,5.240885,6.504869,6.924807"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D & SN"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.338678,4.501156,4.609415,4.741091,4.932641,6.262699,6.664049", \ "4.328336,4.491441,4.589572,4.699971,4.926164,6.255924,6.653138", \ "4.313155,4.475182,4.576388,4.695728,4.879965,6.216214,6.624334", \ "4.332542,4.482971,4.558750,4.691240,4.931386,6.276720,6.664300", \ "4.449499,4.570672,4.648744,4.741420,5.003338,6.356912,6.654140", \ "4.639878,4.722836,4.785001,4.876440,5.062935,6.415847,6.785442", \ "4.823714,4.909715,4.939637,5.045131,5.239206,6.502062,6.920223"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & !RN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.188809,5.477303,5.687900,5.899299,6.173268,6.392706,6.669996", \ "5.124154,5.400127,5.612985,5.826707,6.101979,6.316824,6.605258", \ "5.042212,5.337856,5.530024,5.788612,6.032580,6.262679,6.536521", \ "5.136004,5.385707,5.584769,5.816018,6.083080,6.316763,6.592219", \ "5.315678,5.558312,5.771921,6.012669,6.261073,6.508625,6.804061", \ "5.608015,5.775242,5.938706,6.202195,6.533679,6.833633,7.151639", \ "6.152476,6.237667,6.369881,6.579846,6.892720,7.242773,7.649052"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("3.600212,4.066099,4.379845,4.682744,4.709175,4.830216,4.861248", \ "3.560845,4.026270,4.333897,4.637890,4.779104,4.766038,4.794041", \ "3.515413,3.976078,4.273786,4.531792,4.600148,4.725496,4.752920", \ "3.527429,3.981894,4.255740,4.512832,4.553789,4.685328,4.730804", \ "3.690380,4.079986,4.329031,4.563210,4.692444,4.692589,4.740893", \ "3.940944,4.348743,4.594578,4.786625,4.783521,4.909091,4.975885", \ "4.329382,4.740290,5.001404,5.237466,5.283265,5.319377,5.229140"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & RN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.606760,5.815603,5.896971,5.957173,6.050303,6.109843,6.126153", \ "5.560564,5.774172,5.874473,5.959698,6.030358,6.022260,6.107834", \ "5.538439,5.744340,5.846634,5.907875,5.977454,6.037427,6.052617", \ "5.572060,5.761215,5.856954,5.914297,6.018803,6.015939,6.080870", \ "5.727611,5.885511,5.953969,6.002031,6.046417,6.112077,6.135792", \ "5.989315,6.109062,6.178177,6.216157,6.264643,6.285316,6.309918", \ "6.368837,6.449355,6.481338,6.516025,6.557745,6.611131,6.632492"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D & !RN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.244234,4.514439,4.719556,4.969177,5.214846,5.440321,5.719150", \ "4.215008,4.486236,4.685959,4.938849,5.184329,5.410084,5.692672", \ "4.161478,4.445687,4.641145,4.894050,5.133602,5.374675,5.647813", \ "4.197935,4.458779,4.638072,4.872035,5.137854,5.386481,5.662705", \ "4.299622,4.548512,4.754813,4.991945,5.236008,5.482330,5.786064", \ "4.452149,4.596707,4.774333,5.023605,5.364957,5.672040,5.983013", \ "4.804610,4.873097,4.984512,5.184162,5.498289,5.848802,6.263828"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("2.994182,3.454926,3.748786,4.054917,4.104271,4.231149,4.286202", \ "2.968838,3.430128,3.756850,4.025559,4.190307,4.181931,4.216357", \ "2.939217,3.398184,3.715671,3.939020,4.046123,4.163588,4.240478", \ "2.929875,3.378002,3.642551,3.887279,4.034844,4.111273,4.173508", \ "3.035252,3.417682,3.660948,3.885065,4.045967,4.052909,4.109227", \ "3.168025,3.565098,3.786373,3.992620,3.998310,4.135835,4.210512", \ "3.385049,3.775429,4.026588,4.238559,4.290138,4.331823,4.254257"); } } internal_power () { related_pin : "SN"; when : "!CK & D & RN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.096954,5.305297,5.413087,5.498632,5.543227,5.605974,5.626674", \ "5.080602,5.290263,5.382318,5.469105,5.541648,5.535383,5.627878", \ "5.059795,5.267747,5.368413,5.429601,5.502633,5.565788,5.593341", \ "5.078168,5.267497,5.363148,5.421936,5.528208,5.527776,5.552961", \ "5.191754,5.347430,5.418209,5.467176,5.512402,5.627156,5.607479", \ "5.382188,5.501553,5.569022,5.623336,5.684266,5.680093,5.709305", \ "5.643264,5.721072,5.764233,5.802343,5.846168,5.833803,5.863643"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D & !RN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.003083,5.263684,5.454228,5.635525,5.842580,5.943137,5.982061", \ "4.939761,5.194538,5.383275,5.599244,5.776279,5.870366,5.916777", \ "4.861260,5.132681,5.294607,5.500118,5.709919,5.815580,5.851008", \ "4.934537,5.178933,5.355089,5.550089,5.751845,5.865288,5.906784", \ "5.124529,5.346573,5.538347,5.738773,5.923334,6.050516,6.114141", \ "5.415916,5.546877,5.675403,5.902157,6.193197,6.393830,6.456699", \ "5.943086,5.997062,6.086040,6.269472,6.528471,6.774181,6.957661"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("3.070213,3.293011,3.408248,3.483681,3.589640,3.659483,3.688883", \ "3.032845,3.255453,3.365243,3.440565,3.471650,3.602074,3.620807", \ "2.973269,3.216050,3.294669,3.398836,3.423029,3.551854,3.584663", \ "2.973330,3.183854,3.253007,3.345581,3.366651,3.496823,3.541214", \ "3.118064,3.290876,3.327607,3.344627,3.362627,3.498184,3.546144", \ "3.365389,3.581651,3.627404,3.655193,3.584233,3.708638,3.770473", \ "3.755767,4.027570,4.100390,4.128767,4.088409,3.984543,4.028909"); } } internal_power () { related_pin : "SN"; when : "CK & !D & RN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.401660,6.591151,6.682911,6.750321,6.798574,6.844434,6.853726", \ "6.366211,6.541839,6.632576,6.699445,6.775601,6.821216,6.829652", \ "6.334373,6.519806,6.607460,6.664888,6.725416,6.772322,6.783682", \ "6.373599,6.535230,6.628702,6.689327,6.748458,6.797640,6.812177", \ "6.521768,6.662513,6.718893,6.798796,6.848796,6.909332,6.917836", \ "6.778818,6.882896,6.926734,6.964119,7.046882,7.040155,7.125989", \ "7.158599,7.207395,7.238137,7.233804,7.300895,7.337592,7.348615"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D & !RN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.003020,5.263877,5.454164,5.635397,5.843215,5.943008,5.981752", \ "4.940030,5.185613,5.383195,5.599135,5.776292,5.870235,5.916633", \ "4.861179,5.132585,5.294514,5.500004,5.709810,5.815447,5.850831", \ "4.934400,5.178828,5.354987,5.550004,5.751738,5.865171,5.906599", \ "5.124414,5.346474,5.538221,5.738647,5.923188,6.050344,6.113956", \ "5.415829,5.546777,5.675290,5.902005,6.193043,6.393671,6.456517", \ "5.942950,5.996912,6.085896,6.269322,6.528317,6.774011,6.957477"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("3.070281,3.293129,3.408398,3.483859,3.592977,3.659676,3.687393", \ "3.032920,3.255571,3.365391,3.440770,3.471896,3.628056,3.621044", \ "2.973343,3.216176,3.294814,3.399013,3.423224,3.552091,3.584904", \ "2.973394,3.183966,3.253139,3.345751,3.366845,3.497051,3.541445", \ "3.118151,3.290990,3.327743,3.344782,3.362806,3.498402,3.546372", \ "3.365469,3.581763,3.627533,3.655356,3.584407,3.708851,3.770690", \ "3.755848,4.027684,4.100519,4.128922,4.088593,3.984721,4.029091"); } } internal_power () { related_pin : "SN"; when : "CK & D & RN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.398536,6.589241,6.681741,6.749191,6.799631,6.845581,6.859610", \ "6.363227,6.553349,6.630972,6.706872,6.776315,6.824685,6.837986", \ "6.331132,6.517844,6.605845,6.658105,6.756748,6.775794,6.789710", \ "6.374651,6.547098,6.624647,6.689220,6.750039,6.801363,6.819402", \ "6.520277,6.661762,6.718680,6.799451,6.850780,6.913309,6.956933", \ "6.793876,6.883048,6.927544,6.965185,6.998706,7.044484,7.132370", \ "7.159645,7.222334,7.240364,7.235785,7.303997,7.342350,7.355816"); } rise_power(scalar) {values ("0.0"); } } } } /****************************************************************************************** Module : DFFR_X1 Cell Description : Pos.edge D-Flip-Flop with active low reset, and drive strength X1 *******************************************************************************************/ cell (DFFR_X1) { drive_strength : 1; ff ("IQ" , "IQN") { next_state : "D"; clocked_on : "CK"; clear : "!RN"; } area : 5.320000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 56.175314; leakage_power () { when : "!CK & !D & !RN & !Q & QN"; value : 47.663590; } leakage_power () { when : "!CK & !D & RN & !Q & QN"; value : 55.906882; } leakage_power () { when : "!CK & !D & RN & Q & !QN"; value : 60.879971; } leakage_power () { when : "!CK & D & !RN & !Q & QN"; value : 52.297794; } leakage_power () { when : "!CK & D & RN & !Q & QN"; value : 60.249437; } leakage_power () { when : "!CK & D & RN & Q & !QN"; value : 59.221756; } leakage_power () { when : "CK & !D & !RN & !Q & QN"; value : 46.815211; } leakage_power () { when : "CK & !D & RN & !Q & QN"; value : 55.910559; } leakage_power () { when : "CK & !D & RN & Q & !QN"; value : 56.223631; } leakage_power () { when : "CK & D & !RN & !Q & QN"; value : 56.132317; } leakage_power () { when : "CK & D & RN & !Q & QN"; value : 65.224530; } leakage_power () { when : "CK & D & RN & Q & !QN"; value : 57.578084; } pin (D) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.094436; fall_capacitance : 1.019859; rise_capacitance : 1.094436; timing () { related_pin : "CK"; timing_type : hold_rising; when : "RN"; sdf_cond : "RN === 1'b1"; fall_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.003460,0.044232,0.082880", \ "0.024014,0.051991,0.067490", \ "0.296215,0.335170,0.334610"); } rise_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.007921,0.056855,0.110521", \ "0.074400,0.125725,0.177320", \ "0.222825,0.268793,0.311266"); } } timing () { related_pin : "CK"; timing_type : setup_rising; when : "RN"; sdf_cond : "RN === 1'b1"; fall_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.147849,0.091150,0.027564", \ "0.204866,0.147459,0.086719", \ "0.369608,0.312106,0.248896"); } rise_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.068537,0.042206,0.061455", \ "0.117721,0.088117,0.101739", \ "0.204536,0.165587,0.166176"); } } internal_power () { when : "!CK & !RN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.249052,3.231844,3.207998,3.195015,3.210325,3.281631,3.413821"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.344022,2.321315,2.298024,2.277021,2.283706,2.339573,2.470373"); } } internal_power () { when : "!CK & RN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.259229,3.241730,3.218275,3.204667,3.220052,3.291514,3.411549"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.408871,2.386865,2.360876,2.339609,2.348219,2.403851,2.532724"); } } internal_power () { when : "!CK & RN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.224122,3.207584,3.183273,3.170229,3.185929,3.258041,3.379888"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.389741,2.367589,2.341625,2.321478,2.328549,2.380892,2.507521"); } } internal_power () { when : "CK & !RN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.555999,0.534444,0.523917,0.519165,0.516432,0.515034,0.514285"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.464692,-0.467773,-0.471174,-0.473586,-0.475323,-0.476289,-0.476583"); } } internal_power () { when : "CK & RN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.556252,0.536836,0.525908,0.520929,0.517716,0.516517,0.515557"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.464134,-0.466725,-0.470164,-0.473182,-0.474130,-0.475146,-0.475445"); } } internal_power () { when : "CK & RN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.481713,0.484568,0.485865,0.485385,0.485255,0.485740,0.484674"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.334895,-0.366945,-0.406454,-0.426896,-0.436758,-0.443131,-0.447423"); } } } pin (RN) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.784266; fall_capacitance : 1.732652; rise_capacitance : 1.784266; timing () { related_pin : "CK"; timing_type : recovery_rising; rise_constraint(Recovery_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.192677,-0.250381,-0.323772", \ "-0.178054,-0.235644,-0.307006", \ "-0.054414,-0.122745,-0.204286"); } } timing () { related_pin : "CK"; timing_type : removal_rising; rise_constraint(Removal_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.727959,0.762708,0.822290", \ "0.866832,0.901160,0.959190", \ "1.352119,1.385386,1.444766"); } } timing () { related_pin : "RN"; timing_type : min_pulse_width; fall_constraint(Pulse_width_3) { index_1 ("0.00231025,0.112657,0.500000"); values ("0.626003,0.690920,1.106434"); } } internal_power () { when : "!CK & !D & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.511227,0.496345,0.489147,0.486315,0.484782,0.484374,0.483159"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.448198,-0.449248,-0.452696,-0.455026,-0.455931,-0.456001,-0.455460"); } } internal_power () { when : "!CK & D & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.512163,0.495834,0.487949,0.485646,0.484158,0.484244,0.484216"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.381536,-0.384794,-0.391217,-0.397494,-0.401580,-0.401700,-0.404628"); } } internal_power () { when : "CK & !D & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.533741,0.507132,0.496345,0.492884,0.491102,0.490749,0.490054"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.450167,-0.451058,-0.454820,-0.457549,-0.458804,-0.458757,-0.459828"); } } internal_power () { when : "CK & D & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.533441,0.507042,0.499116,0.492868,0.491188,0.490709,0.489999"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.452079,-0.452859,-0.456693,-0.459344,-0.460805,-0.460517,-0.461593"); } } } pin (CK) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock : true; capacitance : 0.936277; fall_capacitance : 0.844066; rise_capacitance : 0.936277; timing () { related_pin : "CK"; timing_type : min_pulse_width; when : "RN"; sdf_cond : "RN === 1'b1"; fall_constraint(Pulse_width_3) { index_1 ("0.00231025,0.112657,0.500000"); values ("0.220589,0.239696,0.500500"); } rise_constraint(Pulse_width_3) { index_1 ("0.00231025,0.112657,0.500000"); values ("0.214483,0.220765,0.500500"); } } internal_power () { when : "!D & !RN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.998706,2.971946,2.945098,2.964952,3.048996,3.206519,3.429027"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.389618,2.376067,2.337382,2.332638,2.384663,2.519624,2.719869"); } } internal_power () { when : "!D & RN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.999568,2.972946,2.944418,2.964580,3.048221,3.207091,3.434428"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.402430,2.387806,2.348608,2.344448,2.399073,2.529384,2.732599"); } } internal_power () { when : "!D & RN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.507124,5.479875,5.452893,5.474144,5.557253,5.712201,5.936916"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & !RN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.984459,4.958174,4.929180,4.945451,5.028457,5.182376,5.425188"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("10.180620,10.160800,10.095410,10.060500,10.101260,10.220750,10.458760"); } } internal_power () { when : "D & RN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.091164,5.062026,5.034660,5.050444,5.135064,5.293504,5.532922"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & RN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.830222,2.804432,2.778402,2.791868,2.876428,3.031478,3.253104"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.522471,2.507053,2.478236,2.470793,2.530640,2.651742,2.857997"); } } } pin (Q) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 60.577400; function : "IQ"; timing () { related_pin : "CK"; timing_type : rising_edge; timing_sense : non_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.253822,0.263257,0.271872,0.285771,0.308710,0.348108,0.420336", \ "0.259022,0.268449,0.277085,0.290981,0.313920,0.353313,0.425550", \ "0.276873,0.286311,0.294923,0.308843,0.331792,0.371183,0.443408", \ "0.301692,0.311051,0.319662,0.333614,0.356503,0.395860,0.468110", \ "0.326219,0.335695,0.344199,0.358198,0.381037,0.420497,0.492539", \ "0.348443,0.357902,0.366932,0.380364,0.403655,0.442608,0.515101", \ "0.367301,0.376720,0.385321,0.399211,0.422138,0.461675,0.533989"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.332959,0.347366,0.361638,0.388983,0.447806,0.572003,0.825277", \ "0.338253,0.352666,0.366932,0.394285,0.453121,0.577330,0.830592", \ "0.356354,0.370747,0.385021,0.412377,0.471201,0.595464,0.848693", \ "0.380494,0.394930,0.409168,0.436496,0.495347,0.619620,0.872941", \ "0.404095,0.418459,0.432820,0.459948,0.518825,0.643201,0.896516", \ "0.424894,0.439272,0.454049,0.480691,0.539822,0.664023,0.917580", \ "0.441314,0.455733,0.470111,0.497453,0.556390,0.681116,0.934636"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0162796,0.0201987,0.0242310,0.0316133,0.0458004,0.0743467,0.133971", \ "0.0162814,0.0201934,0.0242367,0.0316308,0.0458022,0.0743475,0.133979", \ "0.0162812,0.0201930,0.0242226,0.0316285,0.0457864,0.0743487,0.133980", \ "0.0162801,0.0201931,0.0242322,0.0316127,0.0457837,0.0743503,0.133985", \ "0.0162862,0.0201993,0.0242231,0.0316119,0.0457895,0.0743275,0.133975", \ "0.0162897,0.0202053,0.0242269,0.0316211,0.0457805,0.0743376,0.134029", \ "0.0162901,0.0202043,0.0242216,0.0316126,0.0457822,0.0743352,0.133973"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0229751,0.0330080,0.0459462,0.0737534,0.133381,0.255328,0.499933", \ "0.0229767,0.0330108,0.0459476,0.0737608,0.133362,0.255331,0.499930", \ "0.0229880,0.0330165,0.0459500,0.0737555,0.133378,0.255331,0.499929", \ "0.0229910,0.0330412,0.0459547,0.0737688,0.133378,0.255335,0.499929", \ "0.0229975,0.0330227,0.0459596,0.0737663,0.133363,0.255328,0.499918", \ "0.0230064,0.0330318,0.0459653,0.0737761,0.133372,0.255327,0.499933", \ "0.0230221,0.0330466,0.0459728,0.0737696,0.133366,0.255333,0.499924"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & !D"; sdf_cond : "(CK == 1'b0) && (D == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.090926,0.101791,0.111622,0.126934,0.151384,0.192611,0.266525", \ "0.095550,0.106410,0.116241,0.131562,0.156015,0.197247,0.271151", \ "0.113733,0.124569,0.134392,0.149718,0.174182,0.215434,0.289370", \ "0.150766,0.161562,0.171371,0.186748,0.211269,0.252575,0.326518", \ "0.201789,0.214110,0.224948,0.241246,0.266288,0.308107,0.381970", \ "0.255942,0.270488,0.283063,0.301624,0.329233,0.373031,0.447542", \ "0.312928,0.330021,0.344624,0.365683,0.396151,0.442548,0.518121"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.016106,0.020090,0.024377,0.032261,0.047162,0.076412,0.134905", \ "0.016107,0.020089,0.024374,0.032249,0.047166,0.076416,0.134902", \ "0.016116,0.020109,0.024395,0.032264,0.047172,0.076412,0.134905", \ "0.016358,0.020322,0.024583,0.032393,0.047260,0.076446,0.134899", \ "0.020915,0.024270,0.027952,0.034932,0.048776,0.077114,0.134981", \ "0.027154,0.030614,0.034145,0.040769,0.053979,0.080654,0.135852", \ "0.034019,0.037838,0.041413,0.047814,0.060394,0.085423,0.137671"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & D"; sdf_cond : "(CK == 1'b0) && (D == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.090928,0.101794,0.111619,0.126933,0.151381,0.192614,0.266524", \ "0.095553,0.106414,0.116244,0.131566,0.156016,0.197264,0.271188", \ "0.113730,0.124573,0.134404,0.149722,0.174191,0.215445,0.289386", \ "0.150787,0.161573,0.171387,0.186757,0.211261,0.252575,0.326531", \ "0.201660,0.214038,0.224953,0.241202,0.266520,0.308047,0.382031", \ "0.256086,0.270629,0.283519,0.301878,0.329485,0.373263,0.447793", \ "0.313653,0.330741,0.345229,0.365682,0.396126,0.442503,0.518078"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.016107,0.020091,0.024374,0.032264,0.047168,0.076419,0.134908", \ "0.016107,0.020091,0.024375,0.032251,0.047174,0.076417,0.134901", \ "0.016113,0.020113,0.024399,0.032267,0.047186,0.076419,0.134907", \ "0.016356,0.020326,0.024578,0.032392,0.047249,0.076449,0.134898", \ "0.020926,0.024279,0.027953,0.034936,0.048775,0.077120,0.134982", \ "0.027137,0.030607,0.034086,0.040737,0.053958,0.080642,0.135852", \ "0.033946,0.037750,0.041350,0.047815,0.060399,0.085437,0.137678"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & !D"; sdf_cond : "(CK == 1'b1) && (D == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.090918,0.101629,0.111293,0.126324,0.150212,0.190419,0.263385", \ "0.095541,0.106252,0.115929,0.130961,0.154859,0.195073,0.268036", \ "0.113715,0.124401,0.134065,0.149107,0.173018,0.213231,0.286202", \ "0.150732,0.161380,0.171032,0.186102,0.210063,0.250353,0.323355", \ "0.201616,0.213854,0.224556,0.240532,0.265171,0.305792,0.378807", \ "0.256397,0.270778,0.282954,0.301144,0.328026,0.370478,0.444656", \ "0.313602,0.330393,0.344626,0.365199,0.394711,0.439652,0.515535"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.015891,0.019788,0.023958,0.031588,0.045998,0.074743,0.134452", \ "0.015896,0.019788,0.023955,0.031576,0.045998,0.074752,0.134471", \ "0.015904,0.019808,0.023977,0.031592,0.045995,0.074741,0.134453", \ "0.016136,0.020016,0.024154,0.031712,0.046093,0.074771,0.134460", \ "0.020612,0.023882,0.027457,0.034217,0.047602,0.075508,0.134725", \ "0.026660,0.030001,0.033415,0.039755,0.052433,0.079037,0.136312", \ "0.033355,0.037030,0.040468,0.046506,0.058449,0.083734,0.139432"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & D"; sdf_cond : "(CK == 1'b1) && (D == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.090918,0.101629,0.111293,0.126322,0.150212,0.190417,0.263385", \ "0.095545,0.106252,0.115931,0.130957,0.154861,0.195073,0.268031", \ "0.113715,0.124401,0.134065,0.149107,0.173018,0.213231,0.286202", \ "0.150732,0.161380,0.171032,0.186102,0.210063,0.250353,0.323355", \ "0.201616,0.213854,0.224556,0.240532,0.265171,0.305792,0.378807", \ "0.256397,0.270778,0.282954,0.301144,0.328026,0.370478,0.444656", \ "0.313602,0.330393,0.344626,0.365199,0.394711,0.439652,0.515535"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.015891,0.019788,0.023958,0.031593,0.045998,0.074746,0.134450", \ "0.015894,0.019788,0.023958,0.031584,0.045996,0.074752,0.134473", \ "0.015904,0.019808,0.023977,0.031592,0.045995,0.074741,0.134453", \ "0.016136,0.020016,0.024154,0.031712,0.046093,0.074771,0.134460", \ "0.020613,0.023882,0.027457,0.034217,0.047602,0.075508,0.134725", \ "0.026660,0.030001,0.033415,0.039755,0.052433,0.079037,0.136312", \ "0.033355,0.037030,0.040468,0.046506,0.058449,0.083734,0.139432"); } } internal_power () { related_pin : "CK"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("3.243278,3.319121,3.358479,3.532130,3.581348,3.607232,3.632142", \ "3.237063,3.305660,3.354027,3.522337,3.584993,3.610568,3.602038", \ "3.219425,3.293000,3.332525,3.503255,3.564239,3.589715,3.580315", \ "3.222452,3.290709,3.338826,3.508224,3.568705,3.594341,3.584991", \ "3.247088,3.320754,3.364047,3.533930,3.578454,3.603671,3.629537", \ "3.313265,3.387002,3.432410,3.594584,3.661561,3.689730,3.679375", \ "3.428064,3.505762,3.550745,3.716849,3.774792,3.777208,3.801942"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("3.536714,3.599337,3.634808,3.680077,3.731320,3.755249,3.734104", \ "3.534894,3.590002,3.629263,3.670602,3.694377,3.719761,3.732221", \ "3.514688,3.571085,3.609882,3.654582,3.708302,3.698319,3.709851", \ "3.505451,3.559739,3.598214,3.652210,3.670314,3.696378,3.708034", \ "3.533151,3.586974,3.612345,3.671845,3.710657,3.736907,3.750469", \ "3.592455,3.646078,3.684466,3.717963,3.752417,3.779315,3.790115", \ "3.712902,3.764695,3.798795,3.839039,3.877580,3.900897,3.913547"); } } internal_power () { related_pin : "RN"; when : "!CK & !D"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("2.569795,2.639006,2.683711,2.704298,2.742492,2.769000,2.785279", \ "2.562127,2.625372,2.675704,2.709312,2.735347,2.727613,2.741672", \ "2.551267,2.612648,2.659770,2.693029,2.715555,2.743044,2.757764", \ "2.560828,2.616373,2.662641,2.694742,2.703294,2.732761,2.749236", \ "2.614237,2.659545,2.694996,2.724261,2.744826,2.775959,2.762299", \ "2.710423,2.739929,2.766694,2.779814,2.804737,2.805044,2.825858", \ "2.827589,2.853624,2.877328,2.875240,2.894843,2.903210,2.922436"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("2.627988,2.697115,2.742149,2.763168,2.801462,2.829543,2.807692", \ "2.618566,2.682221,2.721072,2.766910,2.792980,2.785550,2.801180", \ "2.606936,2.670241,2.716347,2.750111,2.773205,2.800406,2.815967", \ "2.617477,2.672780,2.719279,2.752066,2.760865,2.790292,2.807304", \ "2.664778,2.715143,2.751275,2.781014,2.802408,2.833344,2.820261", \ "2.765570,2.794588,2.821014,2.848218,2.860520,2.861546,2.882700", \ "2.885670,2.912604,2.929768,2.940191,2.949867,2.963770,2.977706"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("3.865625,3.966239,4.031691,4.102111,4.176879,4.239449,4.288756", \ "3.851642,3.955798,4.011840,4.083366,4.175687,4.235590,4.284800", \ "3.836529,3.935812,4.006536,4.058781,4.146276,4.210472,4.258825", \ "3.848588,3.943468,4.009210,4.063438,4.163944,4.225631,4.278447", \ "3.907343,3.988366,4.040169,4.103290,4.197689,4.259267,4.276153", \ "4.012180,4.077575,4.122013,4.183641,4.261687,4.324051,4.341055", \ "4.166515,4.217675,4.243796,4.289667,4.374225,4.422411,4.475331"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("3.862401,3.962641,4.027891,4.100795,4.177387,4.238684,4.285731", \ "3.850892,3.952005,4.019414,4.082206,4.172654,4.233479,4.242300", \ "3.836684,3.931699,3.995389,4.060729,4.146431,4.208764,4.253586", \ "3.843848,3.945883,3.998236,4.064650,4.163484,4.222704,4.272926", \ "3.901490,3.982412,4.041170,4.103514,4.196522,4.254316,4.270279", \ "4.011499,4.080245,4.121285,4.181235,4.258746,4.318305,4.333608", \ "4.165412,4.216435,4.242269,4.287600,4.370060,4.418554,4.468173"); } rise_power(scalar) {values ("0.0"); } } } pin (QN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 59.973020; function : "IQN"; timing () { related_pin : "CK"; timing_type : rising_edge; timing_sense : non_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.211087,0.227991,0.243593,0.267259,0.302694,0.356843,0.442163", \ "0.216355,0.233284,0.248880,0.272561,0.308005,0.362162,0.447481", \ "0.234429,0.251340,0.266953,0.290648,0.326103,0.380330,0.465626", \ "0.258526,0.275469,0.291074,0.314763,0.350253,0.404509,0.489901", \ "0.282083,0.299005,0.314725,0.338221,0.373751,0.428102,0.513505", \ "0.302806,0.319780,0.335900,0.358944,0.394795,0.449025,0.534707", \ "0.319186,0.336174,0.351926,0.375695,0.411353,0.466166,0.551827"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.183528,0.199931,0.217946,0.251598,0.316592,0.444527,0.699125", \ "0.188727,0.205126,0.223154,0.256805,0.321806,0.449731,0.704333", \ "0.206580,0.222979,0.241005,0.274664,0.339666,0.467586,0.722183", \ "0.231400,0.247731,0.265734,0.299412,0.364377,0.492277,0.746888", \ "0.255896,0.272369,0.290267,0.324000,0.388926,0.516849,0.771321", \ "0.278134,0.294567,0.312994,0.346175,0.411538,0.539052,0.793909", \ "0.296988,0.313380,0.331384,0.365002,0.430022,0.558095,0.812776"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.0349471,0.0405088,0.0461409,0.0557149,0.0724311,0.101314,0.155328", \ "0.0349676,0.0405121,0.0461499,0.0557195,0.0724353,0.101310,0.155313", \ "0.0350000,0.0405597,0.0461962,0.0557534,0.0724596,0.101323,0.155328", \ "0.0350501,0.0406075,0.0462433,0.0557818,0.0724935,0.101334,0.155328", \ "0.0350980,0.0406431,0.0462685,0.0558226,0.0725119,0.101334,0.155322", \ "0.0351739,0.0407291,0.0463695,0.0559134,0.0726148,0.101427,0.155397", \ "0.0352373,0.0408135,0.0464577,0.0559920,0.0726735,0.101468,0.155394"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.0217542,0.0327411,0.0467574,0.0758644,0.135642,0.256588,0.499871", \ "0.0217515,0.0327399,0.0467638,0.0758575,0.135639,0.256589,0.499874", \ "0.0217516,0.0327359,0.0467615,0.0758563,0.135642,0.256589,0.499874", \ "0.0217590,0.0327362,0.0467524,0.0758547,0.135640,0.256586,0.499873", \ "0.0217374,0.0327388,0.0467572,0.0758664,0.135642,0.256585,0.499874", \ "0.0217675,0.0327467,0.0467626,0.0758594,0.135638,0.256586,0.499873", \ "0.0218055,0.0327678,0.0467780,0.0758640,0.135643,0.256586,0.499874"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & !D"; sdf_cond : "(CK == 1'b0) && (D == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.266765,0.285882,0.305765,0.341092,0.406188,0.532856,0.786348", \ "0.271405,0.290505,0.310391,0.345722,0.410814,0.537496,0.790972", \ "0.289540,0.308638,0.328531,0.363856,0.428941,0.555623,0.809128", \ "0.326407,0.345533,0.365421,0.400750,0.465841,0.592525,0.846028", \ "0.382390,0.401258,0.420895,0.455787,0.520289,0.646873,0.900144", \ "0.448480,0.466922,0.485942,0.519954,0.583535,0.709143,0.962018", \ "0.519882,0.538109,0.556700,0.589647,0.651795,0.776172,1.028412"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.031715,0.043232,0.056861,0.084091,0.140751,0.259720,0.502414", \ "0.031698,0.043231,0.056862,0.084095,0.140745,0.259701,0.502440", \ "0.031699,0.043229,0.056861,0.084093,0.140743,0.259719,0.502436", \ "0.031704,0.043232,0.056865,0.084094,0.140759,0.259709,0.502396", \ "0.031726,0.043247,0.056875,0.084105,0.140743,0.259683,0.502446", \ "0.031883,0.043357,0.056977,0.084183,0.140786,0.259651,0.502404", \ "0.032085,0.043551,0.057155,0.084260,0.140850,0.259663,0.502410"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & D"; sdf_cond : "(CK == 1'b0) && (D == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.266782,0.285885,0.305754,0.341076,0.406142,0.532809,0.786273", \ "0.271409,0.290506,0.310385,0.345704,0.410783,0.537452,0.790928", \ "0.289515,0.308646,0.328519,0.363842,0.428920,0.555581,0.809069", \ "0.326434,0.345547,0.365425,0.400751,0.465813,0.592473,0.845977", \ "0.382285,0.401226,0.420924,0.455749,0.520538,0.646794,0.900196", \ "0.448657,0.467110,0.486413,0.520249,0.583837,0.709399,0.962288", \ "0.520535,0.538791,0.557302,0.589705,0.651828,0.776164,1.028387"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.031694,0.043222,0.056846,0.084070,0.140705,0.259596,0.502406", \ "0.031693,0.043221,0.056849,0.084074,0.140724,0.259648,0.502429", \ "0.031705,0.043221,0.056846,0.084066,0.140719,0.259614,0.502399", \ "0.031700,0.043224,0.056850,0.084074,0.140729,0.259616,0.502341", \ "0.031724,0.043237,0.056862,0.084073,0.140730,0.259637,0.502334", \ "0.031874,0.043345,0.056961,0.084165,0.140760,0.259607,0.502333", \ "0.032064,0.043540,0.057137,0.084244,0.140825,0.259629,0.502361"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & !D"; sdf_cond : "(CK == 1'b1) && (D == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.794193,0.811979,0.831226,0.865776,0.930064,1.057869,1.314183", \ "0.797016,0.815840,0.834980,0.869723,0.933568,1.061828,1.318137", \ "0.815686,0.835809,0.853649,0.887863,0.952955,1.080502,1.336913", \ "0.848501,0.868715,0.887907,0.921394,0.986062,1.113713,1.370169", \ "0.895413,0.914472,0.934818,0.968235,1.032638,1.160716,1.417081", \ "0.959481,0.978438,0.997534,1.031823,1.096840,1.224625,1.481009", \ "1.043513,1.062328,1.081727,1.115920,1.180261,1.308397,1.564760"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.028991,0.038744,0.051149,0.077887,0.136043,0.257080,0.500715", \ "0.029023,0.038768,0.051163,0.077890,0.136057,0.257087,0.500716", \ "0.029022,0.038726,0.051163,0.077907,0.136041,0.257076,0.500649", \ "0.029039,0.038706,0.051116,0.077889,0.136034,0.257111,0.500659", \ "0.029024,0.038730,0.051114,0.077883,0.136039,0.257079,0.500732", \ "0.029020,0.038733,0.051144,0.077903,0.136027,0.257106,0.500652", \ "0.029020,0.038763,0.051144,0.077909,0.136059,0.257008,0.500613"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & D"; sdf_cond : "(CK == 1'b1) && (D == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.793331,0.811165,0.830449,0.865110,0.929694,1.057556,1.314132", \ "0.796174,0.815004,0.835587,0.869086,0.933052,1.061526,1.318103", \ "0.814895,0.835039,0.852937,0.887238,0.952415,1.080224,1.336882", \ "0.847814,0.868044,0.887264,0.920840,0.985575,1.113465,1.370175", \ "0.894853,0.913929,0.934310,0.967794,1.032311,1.160559,1.417137", \ "0.959136,0.979247,0.997022,1.031547,1.096631,1.224535,1.481126", \ "1.043278,1.062111,1.081366,1.115764,1.180178,1.308428,1.564952"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.028974,0.038732,0.051135,0.077884,0.136063,0.257089,0.500724", \ "0.028993,0.038748,0.051116,0.077881,0.136059,0.257091,0.500711", \ "0.028999,0.038714,0.051151,0.077905,0.136057,0.257089,0.500648", \ "0.029024,0.038695,0.051110,0.077881,0.136049,0.257063,0.500658", \ "0.029007,0.038721,0.051102,0.077878,0.136037,0.257091,0.500730", \ "0.028986,0.038706,0.051149,0.077892,0.136023,0.257060,0.500650", \ "0.029013,0.038757,0.051154,0.077907,0.136057,0.257008,0.500613"); } } internal_power () { related_pin : "CK"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("3.535908,3.592804,3.627290,3.684977,3.728936,3.742415,3.742837", \ "3.534145,3.591146,3.629363,3.675569,3.705045,3.740726,3.741063", \ "3.513929,3.569799,3.608599,3.659504,3.684307,3.719555,3.718695", \ "3.504685,3.560894,3.600630,3.648856,3.680954,3.717414,3.716868", \ "3.532464,3.588126,3.614682,3.649461,3.721053,3.740438,3.724511", \ "3.591691,3.647256,3.686742,3.722952,3.762964,3.800640,3.798647", \ "3.712155,3.765882,3.801171,3.843916,3.887899,3.887477,3.921857"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("3.245571,3.318348,3.362220,3.537268,3.591951,3.594531,3.606973", \ "3.236826,3.306001,3.354952,3.528915,3.595391,3.597952,3.611035", \ "3.221999,3.293360,3.333505,3.510168,3.574683,3.577084,3.589314", \ "3.219425,3.291028,3.339661,3.511737,3.579057,3.581737,3.593898", \ "3.247124,3.321082,3.365125,3.529832,3.588878,3.625281,3.604200", \ "3.317659,3.387328,3.429680,3.599627,3.671894,3.677168,3.687919", \ "3.435678,3.506092,3.550735,3.722028,3.762161,3.798534,3.811101"); } } internal_power () { related_pin : "RN"; when : "!CK & !D"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("2.568244,2.633941,2.685780,2.709259,2.752677,2.755929,2.759938", \ "2.560643,2.626341,2.665984,2.714125,2.711924,2.749213,2.751129", \ "2.549767,2.613617,2.661858,2.697761,2.725783,2.729979,2.733422", \ "2.559317,2.617456,2.652962,2.699529,2.713669,2.719451,2.757935", \ "2.612739,2.660614,2.697129,2.729021,2.754978,2.763658,2.770564", \ "2.708884,2.741049,2.757002,2.784050,2.781740,2.826308,2.835190", \ "2.825972,2.854760,2.869497,2.880092,2.871613,2.886308,2.897115"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("2.627983,2.692750,2.732371,2.768146,2.778158,2.815504,2.815898", \ "2.617892,2.683189,2.723237,2.771733,2.769989,2.807266,2.808195", \ "2.605425,2.671764,2.706465,2.754937,2.783407,2.788085,2.790401", \ "2.615967,2.673774,2.709591,2.756852,2.771221,2.777845,2.815993", \ "2.668775,2.716331,2.753407,2.785789,2.812539,2.821050,2.829012", \ "2.764035,2.795679,2.823163,2.828487,2.837577,2.882737,2.892255", \ "2.884070,2.907511,2.931961,2.934990,2.926640,2.941355,2.952438"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("3.863544,3.959932,4.031819,4.103442,4.192056,4.260847,4.262002", \ "3.849591,3.947851,4.014718,4.085461,4.149537,4.221361,4.259460", \ "3.835500,3.941696,4.007170,4.067053,4.156791,4.231887,4.269562", \ "3.846524,3.943805,4.006062,4.068523,4.136095,4.214177,4.254829", \ "3.905275,3.989665,4.050927,4.108279,4.199740,4.245780,4.285358", \ "4.017011,4.078761,4.128353,4.164371,4.236208,4.310299,4.352582", \ "4.164439,4.218869,4.245278,4.294872,4.341824,4.409698,4.449956"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("3.860311,3.962617,4.025155,4.105826,4.187405,4.259967,4.256682", \ "3.846022,3.943904,4.010694,4.087248,4.149997,4.220473,4.254450", \ "3.838117,3.935487,3.996370,4.068864,4.157407,4.230094,4.264340", \ "3.849295,3.938933,4.008094,4.069716,4.136384,4.209741,4.249340", \ "3.906933,3.983538,4.038361,4.108461,4.196613,4.243364,4.279524", \ "4.009425,4.081370,4.120839,4.163517,4.234181,4.304770,4.346033", \ "4.163353,4.210245,4.244772,4.292791,4.338708,4.404888,4.442824"); } fall_power(scalar) {values ("0.0"); } } } } /****************************************************************************************** Module : DFFR_X2 Cell Description : Pos.edge D-Flip-Flop with active low reset, and drive strength X2 *******************************************************************************************/ cell (DFFR_X2) { drive_strength : 2; ff ("IQ" , "IQN") { next_state : "D"; clocked_on : "CK"; clear : "!RN"; } area : 5.852000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 83.537177; leakage_power () { when : "!CK & !D & !RN & !Q & QN"; value : 70.224950; } leakage_power () { when : "!CK & !D & RN & !Q & QN"; value : 85.688556; } leakage_power () { when : "!CK & !D & RN & Q & !QN"; value : 90.682858; } leakage_power () { when : "!CK & D & !RN & !Q & QN"; value : 74.862859; } leakage_power () { when : "!CK & D & RN & !Q & QN"; value : 90.036811; } leakage_power () { when : "!CK & D & RN & Q & !QN"; value : 89.008682; } leakage_power () { when : "CK & !D & !RN & !Q & QN"; value : 69.370491; } leakage_power () { when : "CK & !D & RN & !Q & QN"; value : 85.683293; } leakage_power () { when : "CK & !D & RN & Q & !QN"; value : 85.924108; } leakage_power () { when : "CK & D & !RN & !Q & QN"; value : 78.687597; } leakage_power () { when : "CK & D & RN & !Q & QN"; value : 94.997359; } leakage_power () { when : "CK & D & RN & Q & !QN"; value : 87.278561; } pin (D) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.102967; fall_capacitance : 1.017086; rise_capacitance : 1.102967; timing () { related_pin : "CK"; timing_type : hold_rising; when : "RN"; sdf_cond : "RN === 1'b1"; fall_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.001507,0.039588,0.077759", \ "0.024729,0.050282,0.063656", \ "0.303511,0.340698,0.337845"); } rise_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.007044,0.054843,0.107182", \ "0.069869,0.121348,0.173022", \ "0.219054,0.265338,0.304036"); } } timing () { related_pin : "CK"; timing_type : setup_rising; when : "RN"; sdf_cond : "RN === 1'b1"; fall_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.152492,0.095767,0.032431", \ "0.209950,0.152561,0.091662", \ "0.374503,0.317600,0.255677"); } rise_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.064051,0.039939,0.059667", \ "0.112621,0.085448,0.099887", \ "0.197252,0.160072,0.162954"); } } internal_power () { when : "!CK & !RN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.222186,3.205239,3.181085,3.168481,3.182426,3.256079,3.386752"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.288235,2.265649,2.240574,2.220592,2.228948,2.285850,2.418453"); } } internal_power () { when : "!CK & RN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.232929,3.215130,3.190917,3.178341,3.193738,3.266086,3.394849"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.353067,2.330927,2.306295,2.287400,2.292841,2.348536,2.479559"); } } internal_power () { when : "!CK & RN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.197637,3.180593,3.156162,3.143668,3.158714,3.232592,3.359688"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.334512,2.310066,2.285239,2.264439,2.274092,2.327826,2.457371"); } } internal_power () { when : "CK & !RN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.556557,0.534994,0.523686,0.519797,0.516913,0.515705,0.514818"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.463636,-0.466541,-0.470334,-0.472722,-0.474383,-0.475260,-0.475674"); } } internal_power () { when : "CK & RN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.557361,0.535861,0.524707,0.521078,0.517424,0.516713,0.515519"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.464481,-0.467010,-0.470508,-0.472200,-0.473839,-0.474946,-0.475206"); } } internal_power () { when : "CK & RN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.481474,0.484821,0.486013,0.485380,0.485223,0.485944,0.485770"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.333872,-0.366255,-0.406628,-0.426624,-0.436517,-0.442766,-0.447164"); } } } pin (RN) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 2.483708; fall_capacitance : 2.377765; rise_capacitance : 2.483708; timing () { related_pin : "CK"; timing_type : recovery_rising; rise_constraint(Recovery_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.197563,-0.255954,-0.334800", \ "-0.211487,-0.267649,-0.342490", \ "-0.188045,-0.249568,-0.321708"); } } timing () { related_pin : "CK"; timing_type : removal_rising; rise_constraint(Removal_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.674217,0.698319,0.757421", \ "0.812349,0.838405,0.893479", \ "1.295034,1.319675,1.378845"); } } timing () { related_pin : "RN"; timing_type : min_pulse_width; fall_constraint(Pulse_width_3) { index_1 ("0.00231025,0.112657,0.500000"); values ("0.659889,0.714505,1.102497"); } } internal_power () { when : "!CK & !D & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.954420,0.914059,0.898325,0.892415,0.887779,0.886172,0.883923"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.817740,-0.819838,-0.823958,-0.828302,-0.829584,-0.828867,-0.827404"); } } internal_power () { when : "!CK & D & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.953627,0.913208,0.897779,0.892307,0.887549,0.886131,0.884035"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.751070,-0.755060,-0.761614,-0.769661,-0.774728,-0.774166,-0.775183"); } } internal_power () { when : "CK & !D & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.971101,0.926805,0.908588,0.900460,0.894494,0.892970,0.890647"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.820261,-0.821278,-0.826588,-0.829961,-0.831415,-0.830144,-0.829715"); } } internal_power () { when : "CK & D & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.970916,0.926667,0.908021,0.900402,0.894374,0.892913,0.890581"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.822058,-0.823041,-0.828357,-0.831718,-0.833074,-0.831937,-0.831486"); } } } pin (CK) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock : true; capacitance : 0.923320; fall_capacitance : 0.830910; rise_capacitance : 0.923320; timing () { related_pin : "CK"; timing_type : min_pulse_width; when : "RN"; sdf_cond : "RN === 1'b1"; fall_constraint(Pulse_width_3) { index_1 ("0.00231025,0.112657,0.500000"); values ("0.224863,0.241558,0.500500"); } rise_constraint(Pulse_width_3) { index_1 ("0.00231025,0.112657,0.500000"); values ("0.327437,0.330934,0.500500"); } } internal_power () { when : "!D & !RN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.977998,2.952239,2.923332,2.942772,3.027779,3.186682,3.409322"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.310927,2.297124,2.262463,2.256870,2.307909,2.439326,2.642858"); } } internal_power () { when : "!D & RN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.977998,2.951312,2.923604,2.942323,3.027920,3.186891,3.410747"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.319926,2.299773,2.269909,2.265210,2.321140,2.451246,2.654524"); } } internal_power () { when : "!D & RN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.454297,5.426320,5.399257,5.421125,5.505951,5.660839,5.884386"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & !RN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.906025,4.879871,4.851089,4.865561,4.951324,5.107468,5.349663"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("12.340670,12.301780,12.008980,11.778650,11.741940,11.860330,12.150670"); } } internal_power () { when : "D & RN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.015485,4.986628,4.958138,4.975980,5.060882,5.219444,5.459737"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & RN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.810488,2.785435,2.756874,2.771328,2.856092,3.010707,3.231026"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.446554,2.433077,2.401908,2.397697,2.454172,2.576077,2.785352"); } } } pin (Q) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 120.850000; function : "IQ"; timing () { related_pin : "CK"; timing_type : rising_edge; timing_sense : non_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.277849,0.285850,0.292810,0.305021,0.326358,0.364312,0.435497", \ "0.283051,0.291068,0.298019,0.310242,0.331552,0.369507,0.440692", \ "0.300862,0.308882,0.315847,0.328063,0.349393,0.387357,0.458544", \ "0.325521,0.333578,0.340479,0.352726,0.374005,0.411946,0.483171", \ "0.350109,0.358098,0.364952,0.377207,0.398476,0.436539,0.507569", \ "0.372210,0.380242,0.387067,0.399691,0.420495,0.458569,0.529515", \ "0.391070,0.399075,0.406006,0.418178,0.439531,0.477574,0.548799"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.451355,0.464808,0.474649,0.495623,0.548703,0.669208,0.920127", \ "0.456695,0.470118,0.479963,0.500940,0.554023,0.674533,0.925460", \ "0.474995,0.488415,0.498242,0.519236,0.572343,0.692853,0.943834", \ "0.499181,0.512605,0.522451,0.543458,0.596585,0.717110,0.968049", \ "0.522572,0.535995,0.545954,0.566636,0.619770,0.740428,0.991340", \ "0.543130,0.556563,0.566405,0.587054,0.640393,0.761058,1.012190", \ "0.559002,0.572436,0.581986,0.603383,0.656612,0.777693,1.028823"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.0157530,0.0200237,0.0237019,0.0306525,0.0445028,0.0730696,0.133172", \ "0.0157513,0.0200274,0.0237032,0.0306509,0.0445137,0.0730739,0.133178", \ "0.0157543,0.0200231,0.0237051,0.0306554,0.0445072,0.0730648,0.133167", \ "0.0157543,0.0200257,0.0236984,0.0306633,0.0445180,0.0730772,0.133177", \ "0.0157520,0.0200246,0.0237032,0.0306530,0.0445011,0.0730643,0.133171", \ "0.0157493,0.0200277,0.0237004,0.0306466,0.0444901,0.0730929,0.133196", \ "0.0157575,0.0200303,0.0237091,0.0306612,0.0444996,0.0730615,0.133163"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.0264935,0.0380410,0.0500466,0.0755187,0.133890,0.255422,0.499308", \ "0.0264919,0.0380391,0.0500480,0.0755161,0.133891,0.255421,0.499309", \ "0.0264963,0.0380445,0.0500501,0.0755173,0.133895,0.255421,0.499310", \ "0.0264956,0.0380439,0.0500535,0.0755148,0.133895,0.255422,0.499308", \ "0.0264975,0.0380455,0.0500533,0.0755237,0.133891,0.255425,0.499311", \ "0.0265021,0.0380565,0.0500582,0.0755170,0.133887,0.255424,0.499306", \ "0.0265161,0.0380700,0.0500666,0.0755302,0.133901,0.255423,0.499310"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & !D"; sdf_cond : "(CK == 1'b0) && (D == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.080531,0.091767,0.100706,0.114833,0.137783,0.177332,0.250516", \ "0.085108,0.096345,0.105288,0.119417,0.142363,0.181923,0.255103", \ "0.103355,0.114558,0.123497,0.137637,0.160605,0.200168,0.273363", \ "0.140328,0.151538,0.160497,0.174698,0.197735,0.237347,0.310549", \ "0.188318,0.201491,0.211551,0.226831,0.250857,0.290864,0.364111", \ "0.239004,0.254703,0.266473,0.283759,0.309840,0.351884,0.426252", \ "0.292874,0.310984,0.324666,0.344387,0.373053,0.417357,0.493008"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.014258,0.018480,0.022570,0.030167,0.044791,0.074329,0.135129", \ "0.014258,0.018482,0.022572,0.030177,0.044790,0.074333,0.135131", \ "0.014264,0.018511,0.022592,0.030185,0.044799,0.074346,0.135122", \ "0.014817,0.018879,0.022876,0.030378,0.044892,0.074378,0.135149", \ "0.019856,0.023344,0.026801,0.033513,0.046962,0.075296,0.135315", \ "0.026018,0.029582,0.032806,0.039037,0.051809,0.078966,0.136825", \ "0.032692,0.036681,0.039928,0.045808,0.057798,0.083571,0.139297"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & D"; sdf_cond : "(CK == 1'b0) && (D == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.080536,0.091770,0.100710,0.114837,0.137787,0.177336,0.250521", \ "0.085111,0.096349,0.105290,0.119423,0.142377,0.181936,0.255125", \ "0.103354,0.114565,0.123501,0.137648,0.160614,0.200182,0.273374", \ "0.140370,0.151568,0.160520,0.174708,0.197738,0.237362,0.310567", \ "0.188203,0.201473,0.211511,0.226951,0.250985,0.291051,0.364241", \ "0.239139,0.254774,0.266507,0.283878,0.309952,0.352025,0.426386", \ "0.292886,0.310999,0.324687,0.344403,0.373070,0.417377,0.492968"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.014257,0.018480,0.022572,0.030170,0.044806,0.074339,0.135129", \ "0.014259,0.018479,0.022573,0.030165,0.044791,0.074327,0.135132", \ "0.014267,0.018511,0.022596,0.030176,0.044812,0.074334,0.135129", \ "0.014815,0.018881,0.022876,0.030381,0.044896,0.074375,0.135143", \ "0.019876,0.023347,0.026807,0.033505,0.046952,0.075295,0.135317", \ "0.025989,0.029564,0.032802,0.039032,0.051794,0.078959,0.136826", \ "0.032694,0.036683,0.039926,0.045809,0.057799,0.083572,0.139300"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & !D"; sdf_cond : "(CK == 1'b1) && (D == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.080594,0.091726,0.100580,0.114551,0.137208,0.176172,0.248218", \ "0.085171,0.096304,0.105166,0.119137,0.141794,0.180768,0.252816", \ "0.103409,0.114515,0.123367,0.137345,0.160017,0.198995,0.271049", \ "0.140383,0.151498,0.160358,0.174393,0.197133,0.236160,0.308254", \ "0.188335,0.201359,0.211307,0.226569,0.250246,0.289710,0.361779", \ "0.239417,0.254928,0.266466,0.283566,0.309242,0.350525,0.423826", \ "0.293676,0.311509,0.324937,0.344178,0.372263,0.415731,0.490367"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.014147,0.018314,0.022333,0.029803,0.044159,0.073136,0.133502", \ "0.014151,0.018316,0.022336,0.029811,0.044159,0.073140,0.133498", \ "0.014159,0.018339,0.022359,0.029824,0.044170,0.073124,0.133502", \ "0.014684,0.018694,0.022629,0.030005,0.044255,0.073185,0.133500", \ "0.019662,0.023090,0.026492,0.033072,0.046288,0.074134,0.133793", \ "0.025692,0.029148,0.032317,0.038412,0.050886,0.077580,0.135450", \ "0.032193,0.036069,0.039229,0.044986,0.056617,0.081901,0.138193"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & D"; sdf_cond : "(CK == 1'b1) && (D == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.080594,0.091726,0.100579,0.114551,0.137208,0.176172,0.248218", \ "0.085171,0.096304,0.105166,0.119133,0.141798,0.180768,0.252812", \ "0.103409,0.114515,0.123367,0.137345,0.160017,0.198995,0.271049", \ "0.140383,0.151498,0.160358,0.174393,0.197133,0.236160,0.308254", \ "0.188335,0.201359,0.211307,0.226569,0.250246,0.289710,0.361779", \ "0.239417,0.254928,0.266466,0.283566,0.309242,0.350525,0.423826", \ "0.293676,0.311509,0.324937,0.344178,0.372263,0.415731,0.490367"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.014147,0.018314,0.022333,0.029803,0.044158,0.073137,0.133502", \ "0.014151,0.018316,0.022336,0.029816,0.044153,0.073140,0.133500", \ "0.014159,0.018339,0.022359,0.029824,0.044170,0.073124,0.133502", \ "0.014684,0.018694,0.022629,0.030005,0.044255,0.073185,0.133500", \ "0.019662,0.023090,0.026492,0.033072,0.046288,0.074134,0.133793", \ "0.025692,0.029148,0.032317,0.038412,0.050886,0.077580,0.135450", \ "0.032193,0.036069,0.039229,0.044986,0.056617,0.081901,0.138193"); } } internal_power () { related_pin : "CK"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.627771,4.736831,4.811406,5.142752,5.223778,5.277754,5.324372", \ "4.631614,4.737764,4.799061,5.117886,5.237395,5.265855,5.270662", \ "4.605636,4.711697,4.757978,5.132255,5.215010,5.242278,5.246215", \ "4.606196,4.709425,4.784100,5.123089,5.225384,5.254203,5.257866", \ "4.639493,4.745519,4.805701,5.136892,5.219702,5.246954,5.320146", \ "4.705926,4.814534,4.879571,5.204836,5.326054,5.353945,5.361760", \ "4.825453,4.930644,4.998045,5.317005,5.392163,5.419973,5.491466"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.523338,5.501438,5.442809,5.422704,5.535245,5.578833,5.556495", \ "5.509813,5.489357,5.456881,5.408938,5.466935,5.580169,5.558439", \ "5.501041,5.479505,5.440076,5.392132,5.512682,5.555873,5.532907", \ "5.490884,5.468628,5.421781,5.428108,5.462129,5.557870,5.535036", \ "5.511092,5.488635,5.449996,5.404543,5.508451,5.551962,5.528036", \ "5.573021,5.550177,5.502881,5.484792,5.538542,5.581321,5.624813", \ "5.683392,5.658348,5.603900,5.576586,5.684121,5.720121,5.694818"); } } internal_power () { related_pin : "RN"; when : "!CK & !D"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.485645,4.605437,4.688791,4.787081,4.850373,4.828547,4.832171", \ "4.459020,4.577367,4.671417,4.746097,4.792177,4.812152,4.817029", \ "4.445179,4.549757,4.639419,4.714670,4.791132,4.774414,4.845363", \ "4.471853,4.560283,4.652676,4.727046,4.795698,4.824668,4.835268", \ "4.587230,4.649530,4.707254,4.778173,4.851938,4.887725,4.901280", \ "4.790879,4.821589,4.861198,4.929122,4.963475,5.007764,5.028044", \ "5.072106,5.063971,5.096015,5.109694,5.134062,5.184349,5.211272"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.543098,4.662631,4.746470,4.845149,4.862959,4.887498,4.890623", \ "4.523098,4.633513,4.727802,4.803143,4.871627,4.870400,4.872809", \ "4.500212,4.605102,4.695240,4.770980,4.805143,4.830949,4.901209", \ "4.527401,4.615647,4.708219,4.783159,4.852092,4.881999,4.918145", \ "4.641942,4.703926,4.781058,4.832935,4.907596,4.944989,4.957829", \ "4.843292,4.872861,4.913578,4.981520,5.031045,5.061525,5.081825", \ "5.125527,5.116295,5.148578,5.162443,5.187188,5.237695,5.265212"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.671003,5.867635,5.983974,6.042511,6.111699,6.230389,6.277753", \ "5.642161,5.844397,5.945494,6.058103,6.102538,6.219321,6.211244", \ "5.615337,5.818444,5.907076,6.007131,6.054632,6.173619,6.230646", \ "5.645396,5.831589,5.937525,6.036767,6.089855,6.142201,6.245848", \ "5.774559,5.916074,6.019490,6.073883,6.160619,6.213281,6.282305", \ "5.996262,6.101889,6.176098,6.245968,6.296731,6.347550,6.412739", \ "6.297510,6.361844,6.418572,6.450996,6.501662,6.596821,6.609135"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.668486,5.859364,5.966753,6.049351,6.116808,6.230042,6.214228", \ "5.639596,5.840405,5.941717,6.054758,6.104978,6.178808,6.197707", \ "5.622360,5.812623,5.914915,6.013021,6.058098,6.173204,6.225655", \ "5.650223,5.825475,5.934057,5.989692,6.092235,6.141070,6.234620", \ "5.766851,5.920451,6.016730,6.077038,6.161752,6.212341,6.275389", \ "5.988555,6.102119,6.178024,6.246986,6.296075,6.344061,6.405649", \ "6.297406,6.361939,6.418178,6.449931,6.499270,6.599892,6.600453"); } rise_power(scalar) {values ("0.0"); } } } pin (QN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 119.245100; function : "IQN"; timing () { related_pin : "CK"; timing_type : rising_edge; timing_sense : non_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.297414,0.324198,0.345767,0.378157,0.425150,0.492164,0.589333", \ "0.302676,0.329510,0.351081,0.383473,0.430470,0.497488,0.594676", \ "0.320975,0.347807,0.369359,0.401767,0.448784,0.515817,0.613060", \ "0.345190,0.371971,0.393560,0.425990,0.473020,0.540069,0.637295", \ "0.368532,0.395361,0.417050,0.449169,0.496224,0.563405,0.660584", \ "0.389030,0.415876,0.437461,0.469575,0.516877,0.584075,0.681485", \ "0.404880,0.431706,0.453014,0.485868,0.533067,0.600746,0.698115"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.203209,0.225567,0.245917,0.282003,0.348694,0.477137,0.731835", \ "0.208415,0.230781,0.251126,0.287222,0.353889,0.482335,0.737033", \ "0.226227,0.248602,0.268956,0.305054,0.371727,0.500171,0.754882", \ "0.250893,0.273262,0.293588,0.329711,0.396337,0.524772,0.779505", \ "0.275471,0.297818,0.318065,0.354185,0.420814,0.549354,0.803900", \ "0.297554,0.319954,0.340172,0.376675,0.442838,0.571417,0.825876", \ "0.316403,0.338787,0.359104,0.395151,0.461863,0.590400,0.845146"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.0544652,0.0632652,0.0708070,0.0827584,0.101533,0.128696,0.179753", \ "0.0545172,0.0632660,0.0708059,0.0827573,0.101534,0.128698,0.179751", \ "0.0545551,0.0632779,0.0708322,0.0827698,0.101546,0.128704,0.179750", \ "0.0545152,0.0633170,0.0708407,0.0828031,0.101558,0.128717,0.179765", \ "0.0544924,0.0633145,0.0708543,0.0827836,0.101559,0.128722,0.179775", \ "0.0545695,0.0633985,0.0709219,0.0828902,0.101607,0.128737,0.179774", \ "0.0546803,0.0634440,0.0709831,0.0829105,0.101679,0.128771,0.179795"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.0236580,0.0367522,0.0507977,0.0791677,0.137217,0.256700,0.499655", \ "0.0236595,0.0367484,0.0507991,0.0791654,0.137217,0.256694,0.499654", \ "0.0236505,0.0367481,0.0507929,0.0791649,0.137218,0.256697,0.499656", \ "0.0236460,0.0367436,0.0507875,0.0791628,0.137217,0.256693,0.499656", \ "0.0236491,0.0367439,0.0507963,0.0791611,0.137213,0.256695,0.499659", \ "0.0236626,0.0367539,0.0508026,0.0791656,0.137216,0.256699,0.499658", \ "0.0236931,0.0367778,0.0508160,0.0791832,0.137225,0.256697,0.499658"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & !D"; sdf_cond : "(CK == 1'b0) && (D == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.316462,0.344007,0.368104,0.408925,0.479825,0.609924,0.864000", \ "0.321044,0.348591,0.372691,0.413515,0.484408,0.614525,0.868584", \ "0.339222,0.366763,0.390857,0.431686,0.502577,0.632681,0.886745", \ "0.376066,0.403653,0.427731,0.468556,0.539430,0.669515,0.923572", \ "0.430447,0.457581,0.481216,0.521360,0.591721,0.721364,0.975225", \ "0.493278,0.519842,0.542882,0.582039,0.651193,0.780029,1.033432", \ "0.561245,0.587503,0.610030,0.648181,0.716028,0.843566,1.096073"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.039028,0.053616,0.068013,0.095311,0.150113,0.265191,0.505320", \ "0.039031,0.053617,0.068011,0.095312,0.150107,0.265200,0.505324", \ "0.039035,0.053616,0.068013,0.095316,0.150118,0.265218,0.505247", \ "0.039029,0.053615,0.068013,0.095311,0.150118,0.265218,0.505227", \ "0.039028,0.053626,0.068023,0.095325,0.150114,0.265221,0.505180", \ "0.039085,0.053670,0.068066,0.095354,0.150152,0.265229,0.505282", \ "0.039194,0.053760,0.068094,0.095415,0.150228,0.265223,0.505171"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & D"; sdf_cond : "(CK == 1'b0) && (D == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.316507,0.344038,0.368127,0.408939,0.479823,0.609909,0.863959", \ "0.321074,0.348624,0.372708,0.413523,0.484414,0.614498,0.868559", \ "0.339261,0.366795,0.390886,0.431703,0.502581,0.632660,0.886722", \ "0.376148,0.403714,0.427787,0.468586,0.539441,0.669513,0.923552", \ "0.430434,0.457628,0.481229,0.521533,0.591886,0.721584,0.975365", \ "0.493481,0.519998,0.543007,0.582245,0.651390,0.780238,1.033625", \ "0.561370,0.587621,0.610156,0.648300,0.716141,0.843668,1.096071"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.039020,0.053608,0.068002,0.095292,0.150086,0.265157,0.505234", \ "0.039029,0.053608,0.067999,0.095294,0.150077,0.265140,0.505244", \ "0.039032,0.053609,0.068001,0.095298,0.150090,0.265131,0.505211", \ "0.039025,0.053610,0.068002,0.095291,0.150094,0.265144,0.505180", \ "0.039029,0.053619,0.068013,0.095310,0.150100,0.265179,0.505121", \ "0.039086,0.053663,0.068054,0.095338,0.150123,0.265189,0.505224", \ "0.039190,0.053750,0.068085,0.095400,0.150205,0.265186,0.505118"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & !D"; sdf_cond : "(CK == 1'b1) && (D == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.825402,0.850121,0.871376,0.907781,0.974121,1.102333,1.358441", \ "0.829573,0.855289,0.875588,0.911751,0.978151,1.106334,1.362489", \ "0.848163,0.872829,0.894065,0.931042,0.996731,1.124959,1.381189", \ "0.881062,0.905672,0.927018,0.963259,1.029755,1.158061,1.414237", \ "0.927788,0.952463,0.973786,1.010165,1.076724,1.205151,1.461216", \ "0.992880,1.017776,1.038880,1.074046,1.140411,1.268712,1.524985", \ "1.077089,1.100699,1.121913,1.158023,1.224311,1.352461,1.608546"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.029947,0.041951,0.054672,0.080939,0.137374,0.256983,0.500459", \ "0.029947,0.041911,0.054683,0.080928,0.137385,0.256996,0.500412", \ "0.029947,0.041951,0.054668,0.080927,0.137383,0.256982,0.500390", \ "0.029940,0.041948,0.054683,0.080926,0.137386,0.256989,0.500374", \ "0.029960,0.041947,0.054671,0.080926,0.137370,0.257057,0.500454", \ "0.029925,0.041938,0.054656,0.080929,0.137370,0.256983,0.500424", \ "0.029943,0.041963,0.054678,0.080949,0.137379,0.257063,0.500463"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & D"; sdf_cond : "(CK == 1'b1) && (D == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.824535,0.850445,0.870594,0.907095,0.973594,1.102017,1.358376", \ "0.828623,0.853365,0.874726,0.911076,0.977624,1.106022,1.362450", \ "0.847364,0.872070,0.894491,0.929800,0.996239,1.124675,1.381150", \ "0.880347,0.904971,0.926383,0.962706,1.029332,1.157827,1.414238", \ "0.927195,0.951954,0.973248,1.009724,1.076396,1.204987,1.461311", \ "0.992652,1.017390,1.038520,1.073738,1.140325,1.268636,1.525095", \ "1.076849,1.101601,1.121713,1.157862,1.224221,1.352491,1.608730"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.029930,0.041896,0.054660,0.080925,0.137376,0.256983,0.500446", \ "0.029946,0.041927,0.054674,0.080920,0.137384,0.256995,0.500458", \ "0.029933,0.041936,0.054638,0.080921,0.137377,0.256982,0.500389", \ "0.029932,0.041924,0.054667,0.080920,0.137385,0.256988,0.500375", \ "0.029945,0.041925,0.054658,0.080925,0.137369,0.257056,0.500382", \ "0.029922,0.041929,0.054649,0.080923,0.137384,0.256982,0.500427", \ "0.029937,0.041923,0.054672,0.080945,0.137378,0.257063,0.500462"); } } internal_power () { related_pin : "CK"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("5.522990,5.502690,5.445201,5.427585,5.528421,5.531756,5.531315", \ "5.509461,5.490614,5.431448,5.413851,5.524400,5.533206,5.564821", \ "5.500694,5.480697,5.414705,5.399086,5.462309,5.508810,5.538091", \ "5.490534,5.469883,5.424143,5.432793,5.466375,5.510939,5.509240", \ "5.510738,5.489903,5.452344,5.409442,5.518643,5.573160,5.571222", \ "5.572667,5.551451,5.505263,5.489574,5.549002,5.602720,5.637244", \ "5.683039,5.659626,5.606396,5.581388,5.694351,5.741292,5.738060"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("4.627754,4.736179,4.812028,5.147540,5.234349,5.292935,5.299671", \ "4.629967,4.738129,4.800765,5.141288,5.247787,5.287109,5.313620", \ "4.605634,4.712748,4.790296,5.136944,5.224216,5.263580,5.289222", \ "4.606219,4.710311,4.785082,5.127793,5.235718,5.275420,5.232769", \ "4.637877,4.745894,4.806692,5.137831,5.230260,5.268397,5.294966", \ "4.705644,4.810389,4.868346,5.209589,5.269202,5.307404,5.336713", \ "4.823954,4.931006,4.999002,5.322252,5.402733,5.441477,5.466683"); } } internal_power () { related_pin : "RN"; when : "!CK & !D"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("4.485084,4.606420,4.690945,4.742873,4.841329,4.850033,4.873185", \ "4.466540,4.578365,4.673540,4.750570,4.799308,4.833685,4.858337", \ "4.444632,4.550763,4.641550,4.719220,4.795697,4.795680,4.821503", \ "4.471289,4.561376,4.643303,4.731457,4.805893,4.790074,4.808389", \ "4.587039,4.650497,4.709468,4.785280,4.862230,4.840947,4.877493", \ "4.790321,4.822689,4.863415,4.933471,4.973355,4.962302,5.021255", \ "5.071522,5.064928,5.098209,5.114071,5.144416,5.139017,5.186443"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("4.542559,4.663591,4.748688,4.800939,4.873288,4.908961,4.932638", \ "4.522553,4.634129,4.729915,4.807599,4.881687,4.891806,4.913239", \ "4.499662,4.606073,4.697387,4.775421,4.815527,4.852402,4.879900", \ "4.526856,4.616658,4.702910,4.787565,4.862024,4.835978,4.865632", \ "4.641378,4.704947,4.774034,4.837456,4.917826,4.898593,4.934466", \ "4.842731,4.873962,4.915800,4.985861,5.026677,5.014985,5.054858", \ "5.124940,5.117481,5.150788,5.166827,5.197546,5.192320,5.240433"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("5.671012,5.865471,5.960803,6.081617,6.123391,6.183911,6.256362", \ "5.641360,5.841834,5.947728,6.061721,6.112824,6.202619,6.251691", \ "5.614523,5.813541,5.909868,6.014928,6.065220,6.126999,6.210680", \ "5.645409,5.820476,5.928966,5.989448,6.100342,6.163808,6.246141", \ "5.773838,5.922609,6.001478,6.078634,6.171571,6.235698,6.321168", \ "5.985669,6.108439,6.178417,6.250414,6.307394,6.369561,6.457097", \ "6.296693,6.372901,6.420954,6.467646,6.513091,6.570545,6.649464"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("5.668507,5.861903,5.968982,6.086626,6.127201,6.183910,6.252370", \ "5.638689,5.835373,5.957734,6.016588,6.116714,6.201489,6.249384", \ "5.621564,5.813709,5.930457,6.027795,6.068981,6.126645,6.205694", \ "5.649429,5.827123,5.934760,5.994224,6.102683,6.162644,6.240570", \ "5.777716,5.922481,6.005806,6.081740,6.172515,6.233530,6.318997", \ "5.986101,6.097709,6.180322,6.251406,6.305122,6.366053,6.449783", \ "6.296594,6.364432,6.420533,6.466498,6.510475,6.565459,6.641417"); } fall_power(scalar) {values ("0.0"); } } } } /****************************************************************************************** Module : DFFS_X1 Cell Description : Pos.edge D-Flip-Flop with active low set, and drive strength X1 *******************************************************************************************/ cell (DFFS_X1) { drive_strength : 1; ff ("IQ" , "IQN") { next_state : "D"; clocked_on : "CK"; preset : "!SN"; } area : 5.320000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 55.811923; leakage_power () { when : "!CK & !D & !SN & Q & !QN"; value : 56.938155; } leakage_power () { when : "!CK & !D & SN & !Q & QN"; value : 57.899830; } leakage_power () { when : "!CK & !D & SN & Q & !QN"; value : 60.873872; } leakage_power () { when : "!CK & D & !SN & Q & !QN"; value : 48.332209; } leakage_power () { when : "!CK & D & SN & !Q & QN"; value : 60.464906; } leakage_power () { when : "!CK & D & SN & Q & !QN"; value : 57.435613; } leakage_power () { when : "CK & !D & !SN & Q & !QN"; value : 45.979116; } leakage_power () { when : "CK & !D & SN & !Q & QN"; value : 57.684123; } leakage_power () { when : "CK & !D & SN & Q & !QN"; value : 54.221630; } leakage_power () { when : "CK & D & !SN & Q & !QN"; value : 47.337740; } leakage_power () { when : "CK & D & SN & !Q & QN"; value : 66.998094; } leakage_power () { when : "CK & D & SN & Q & !QN"; value : 55.577783; } pin (D) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.143907; fall_capacitance : 1.057943; rise_capacitance : 1.143907; timing () { related_pin : "CK"; timing_type : hold_rising; when : "SN"; sdf_cond : "SN === 1'b1"; fall_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.002058,0.043697,0.089983", \ "0.026247,0.056093,0.078358", \ "0.304537,0.346864,0.357056"); } rise_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.009884,0.061680,0.124322", \ "0.073874,0.128901,0.189968", \ "0.225086,0.270860,0.323902"); } } timing () { related_pin : "CK"; timing_type : setup_rising; when : "SN"; sdf_cond : "SN === 1'b1"; fall_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.148571,0.087939,0.014070", \ "0.205749,0.144645,0.073370", \ "0.369739,0.309109,0.235098"); } rise_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.062845,0.033465,0.040725", \ "0.111292,0.079116,0.081014", \ "0.196459,0.153890,0.143727"); } } internal_power () { when : "!CK & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.501261,2.484497,2.460708,2.448543,2.458018,2.522820,2.629852"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.365918,1.339353,1.319087,1.296994,1.301313,1.350462,1.460453"); } } internal_power () { when : "!CK & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.384781,3.367918,3.341387,3.331289,3.344064,3.407640,3.540233"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.145606,2.122672,2.095646,2.074716,2.078797,2.132960,2.261606"); } } internal_power () { when : "!CK & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.350220,3.332658,3.308179,3.294793,3.309673,3.373221,3.501270"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.129647,2.107584,2.081201,2.058065,2.062807,2.115567,2.240176"); } } internal_power () { when : "CK & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.481287,0.484624,0.484802,0.485384,0.484992,0.485477,0.484502"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.336302,-0.367781,-0.407524,-0.427540,-0.437540,-0.443675,-0.448042"); } } internal_power () { when : "CK & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.558421,0.537416,0.526324,0.521373,0.518228,0.517093,0.516043"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.463416,-0.465680,-0.467609,-0.471404,-0.472384,-0.473282,-0.473855"); } } internal_power () { when : "CK & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.481682,0.484643,0.484794,0.485402,0.484985,0.485501,0.484500"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.336320,-0.368176,-0.407271,-0.427317,-0.437103,-0.443336,-0.447561"); } } } pin (SN) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.365264; fall_capacitance : 1.315611; rise_capacitance : 1.365264; timing () { related_pin : "CK"; timing_type : recovery_rising; rise_constraint(Recovery_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.111454,-0.169895,-0.245929", \ "-0.085185,-0.144650,-0.220925", \ "-0.099823,-0.159543,-0.235873"); } } timing () { related_pin : "CK"; timing_type : removal_rising; rise_constraint(Removal_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.344132,0.398972,0.469727", \ "0.482045,0.537495,0.607964", \ "0.966473,1.021675,1.092158"); } } timing () { related_pin : "SN"; timing_type : min_pulse_width; fall_constraint(Pulse_width_3) { index_1 ("0.00231025,0.112657,0.500000"); values ("0.727356,0.817535,1.221257"); } } internal_power () { when : "!CK & !D & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.387443,1.353616,1.336988,1.373169,1.458798,1.603710,1.817325"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.423161,0.405442,0.376558,0.359261,0.383474,0.464639,0.615476"); } } internal_power () { when : "!CK & D & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.531465,0.510276,0.500016,0.493971,0.492224,0.491098,0.490845"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.449623,-0.450771,-0.454391,-0.455565,-0.456432,-0.456401,-0.456302"); } } internal_power () { when : "CK & !D & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.510890,0.497475,0.490477,0.487657,0.485228,0.484287,0.483452"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.451601,-0.452797,-0.455397,-0.456292,-0.456202,-0.456560,-0.455466"); } } internal_power () { when : "CK & D & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.510888,0.497471,0.490492,0.487653,0.485239,0.484280,0.483463"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.451618,-0.452797,-0.455401,-0.456291,-0.456204,-0.456556,-0.455462"); } } } pin (CK) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock : true; capacitance : 0.928100; fall_capacitance : 0.841377; rise_capacitance : 0.928100; timing () { related_pin : "CK"; timing_type : min_pulse_width; when : "SN"; sdf_cond : "SN === 1'b1"; fall_constraint(Pulse_width_3) { index_1 ("0.00231025,0.112657,0.500000"); values ("0.229747,0.249626,0.500500"); } rise_constraint(Pulse_width_3) { index_1 ("0.00231025,0.112657,0.500000"); values ("0.192503,0.203076,0.500500"); } } internal_power () { when : "!D & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.175457,5.152111,5.123201,5.141593,5.235624,5.401987,5.644099"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("8.210079,8.198115,8.179679,8.192065,8.247217,8.359304,8.557462"); } } internal_power () { when : "!D & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.673427,3.648331,3.619186,3.633450,3.711460,3.870769,4.092123"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.851629,1.844433,1.805200,1.801178,1.850212,1.975909,2.176396"); } } internal_power () { when : "!D & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("6.116003,6.090854,6.060135,6.077482,6.160639,6.315271,6.543835"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.495549,3.470250,3.440436,3.452882,3.531658,3.683102,3.900987"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.971814,1.962163,1.934957,1.933387,1.984246,2.100922,2.305358"); } } internal_power () { when : "D & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.725122,5.699299,5.669136,5.681902,5.760598,5.916925,6.145756"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.497910,3.473695,3.443287,3.456683,3.535593,3.688233,3.912056"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.995412,1.982351,1.956843,1.955243,2.004818,2.121944,2.326242"); } } } pin (Q) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 60.653700; function : "IQ"; timing () { related_pin : "CK"; timing_type : rising_edge; timing_sense : non_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.238311,0.247151,0.255339,0.268671,0.290871,0.329488,0.401236", \ "0.243548,0.252393,0.260563,0.273920,0.296091,0.334721,0.406479", \ "0.261968,0.270807,0.278993,0.292347,0.314547,0.353144,0.424888", \ "0.289499,0.298358,0.306423,0.319716,0.341948,0.380554,0.452346", \ "0.317512,0.326385,0.334605,0.347830,0.369968,0.408552,0.480444", \ "0.343305,0.352158,0.360990,0.373555,0.395733,0.434344,0.506941", \ "0.366526,0.374973,0.381970,0.395428,0.418613,0.456090,0.527819"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.298450,0.308820,0.320389,0.347067,0.406976,0.532421,0.786657", \ "0.303737,0.314123,0.325706,0.352362,0.412264,0.537712,0.791965", \ "0.322193,0.332565,0.344132,0.370830,0.430733,0.556196,0.810445", \ "0.348819,0.359178,0.370667,0.397409,0.457330,0.582814,0.837072", \ "0.375931,0.386095,0.397743,0.424112,0.484067,0.609597,0.864014", \ "0.400495,0.410824,0.422338,0.448758,0.508783,0.634324,0.888332", \ "0.420873,0.431259,0.442735,0.469736,0.530096,0.655264,0.910060"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.0154765,0.0192980,0.0231905,0.0304140,0.0445157,0.0732640,0.133585", \ "0.0154775,0.0192972,0.0231869,0.0304157,0.0445140,0.0732518,0.133589", \ "0.0154775,0.0192974,0.0231901,0.0304197,0.0445146,0.0732594,0.133592", \ "0.0154741,0.0193013,0.0231813,0.0304246,0.0445002,0.0732615,0.133596", \ "0.0154811,0.0193067,0.0231932,0.0304174,0.0445151,0.0732553,0.133599", \ "0.0154819,0.0193125,0.0231958,0.0304152,0.0444876,0.0732574,0.133624", \ "0.0154873,0.0193154,0.0232015,0.0304214,0.0445141,0.0732545,0.133595"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.0198869,0.0296595,0.0425551,0.0714429,0.132187,0.254533,0.499536", \ "0.0198853,0.0296643,0.0425512,0.0714544,0.132185,0.254537,0.499537", \ "0.0198916,0.0296665,0.0425554,0.0714522,0.132197,0.254534,0.499536", \ "0.0198920,0.0296627,0.0425627,0.0714443,0.132193,0.254539,0.499531", \ "0.0198963,0.0296742,0.0425604,0.0714396,0.132183,0.254543,0.499538", \ "0.0199035,0.0296661,0.0425686,0.0714486,0.132187,0.254541,0.499538", \ "0.0199263,0.0296973,0.0425754,0.0714517,0.132188,0.254539,0.499529"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & !D"; sdf_cond : "(CK == 1'b0) && (D == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.842336,0.849122,0.856130,0.873292,0.919922,1.035767,1.284921", \ "0.846233,0.853242,0.860195,0.877376,0.923995,1.039818,1.288983", \ "0.864873,0.871760,0.878801,0.895996,0.942638,1.058426,1.307619", \ "0.896989,0.903899,0.910887,0.928097,0.974764,1.090694,1.339855", \ "0.943465,0.950406,0.957568,0.974324,1.021008,1.136850,1.386303", \ "1.007675,1.014551,1.021450,1.038444,1.085175,1.200944,1.449993", \ "1.092994,1.099788,1.106644,1.123662,1.170292,1.286045,1.534898"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.041633,0.053262,0.062130,0.080743,0.134351,0.255271,0.499881", \ "0.041722,0.053263,0.062130,0.080737,0.134361,0.255281,0.499879", \ "0.041691,0.053262,0.062124,0.080743,0.134355,0.255271,0.499881", \ "0.041692,0.053268,0.062140,0.080748,0.134354,0.255273,0.499880", \ "0.041712,0.053266,0.062136,0.080745,0.134362,0.255272,0.499880", \ "0.041698,0.053263,0.062136,0.080745,0.134353,0.255271,0.499881", \ "0.041645,0.053264,0.062136,0.080737,0.134361,0.255279,0.499877"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & D"; sdf_cond : "(CK == 1'b0) && (D == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.842967,0.849660,0.856640,0.873688,0.920259,1.035842,1.284826", \ "0.846906,0.853787,0.860816,0.877896,0.924447,1.040081,1.289028", \ "0.865463,0.872336,0.879366,0.896448,0.943024,1.058678,1.307686", \ "0.897821,0.904625,0.911536,0.928632,0.975322,1.091014,1.340137", \ "0.944888,0.951753,0.958073,0.975657,1.022288,1.137455,1.386594", \ "1.009195,1.015819,1.023526,1.039859,1.086577,1.202250,1.451311", \ "1.094262,1.101173,1.107888,1.125058,1.171047,1.286575,1.535431"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.041713,0.053303,0.062171,0.080769,0.134359,0.255283,0.499881", \ "0.041730,0.053302,0.062177,0.080753,0.134358,0.255276,0.499878", \ "0.041723,0.053294,0.062162,0.080764,0.134367,0.255277,0.499881", \ "0.041725,0.053288,0.062172,0.080766,0.134372,0.255276,0.499879", \ "0.041731,0.053295,0.062148,0.080752,0.134366,0.255278,0.499880", \ "0.041719,0.053281,0.062152,0.080760,0.134365,0.255277,0.499878", \ "0.041670,0.053282,0.062153,0.080751,0.134353,0.255276,0.499878"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & !D"; sdf_cond : "(CK == 1'b1) && (D == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.485429,0.495943,0.507617,0.534480,0.594728,0.720717,0.975734", \ "0.489629,0.500140,0.511791,0.538657,0.598941,0.724927,0.979901", \ "0.508066,0.518533,0.530191,0.557055,0.617300,0.743313,0.998288", \ "0.543343,0.553800,0.565265,0.592181,0.652431,0.778366,1.033302", \ "0.586148,0.596599,0.608124,0.634983,0.695213,0.821138,1.076057", \ "0.632924,0.643367,0.654992,0.681535,0.742065,0.868000,1.122883", \ "0.683968,0.694400,0.706295,0.732875,0.793074,0.918792,1.173823"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.020022,0.029759,0.042590,0.071442,0.132212,0.254568,0.499551", \ "0.019986,0.029720,0.042568,0.071436,0.132216,0.254566,0.499549", \ "0.019964,0.029687,0.042553,0.071427,0.132202,0.254565,0.499550", \ "0.019957,0.029679,0.042538,0.071424,0.132199,0.254564,0.499550", \ "0.019952,0.029683,0.042535,0.071420,0.132202,0.254561,0.499550", \ "0.019951,0.029679,0.042532,0.071421,0.132209,0.254562,0.499549", \ "0.019944,0.029670,0.042533,0.071418,0.132205,0.254557,0.499547"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & D"; sdf_cond : "(CK == 1'b1) && (D == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.485664,0.496175,0.507842,0.534661,0.594859,0.720802,0.975741", \ "0.489879,0.500382,0.512002,0.538841,0.599083,0.725009,0.979905", \ "0.508274,0.518748,0.530388,0.557196,0.617432,0.743384,0.998254", \ "0.543516,0.553983,0.565430,0.592313,0.652543,0.778394,1.033292", \ "0.586282,0.596739,0.608244,0.635091,0.695290,0.821177,1.076029", \ "0.633024,0.643460,0.655075,0.681604,0.742108,0.868006,1.122842", \ "0.684018,0.694435,0.706326,0.732908,0.793080,0.918670,1.173758"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.020020,0.029760,0.042593,0.071444,0.132210,0.254568,0.499551", \ "0.019990,0.029716,0.042569,0.071438,0.132216,0.254566,0.499549", \ "0.019965,0.029701,0.042549,0.071427,0.132201,0.254564,0.499549", \ "0.019955,0.029690,0.042538,0.071426,0.132200,0.254564,0.499550", \ "0.019951,0.029685,0.042536,0.071420,0.132203,0.254561,0.499547", \ "0.019954,0.029681,0.042533,0.071421,0.132208,0.254562,0.499549", \ "0.019946,0.029672,0.042538,0.071419,0.132205,0.254557,0.499550"); } } internal_power () { related_pin : "CK"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("2.933243,2.999219,3.040288,3.214300,3.260948,3.302272,3.293661", \ "2.927550,2.998669,3.029608,3.223903,3.269054,3.272934,3.297944", \ "2.912811,2.985340,3.017398,3.197295,3.243484,3.268449,3.276209", \ "2.912073,2.984620,3.023591,3.200549,3.230131,3.271582,3.262350", \ "2.933270,3.007534,3.049100,3.218893,3.281836,3.289887,3.314737", \ "2.999421,3.067202,3.108056,3.288519,3.338149,3.346280,3.368685", \ "3.112068,3.177514,3.217949,3.396422,3.428347,3.443501,3.469752"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("3.124236,3.170234,3.215437,3.278081,3.323679,3.380698,3.386493", \ "3.115927,3.166178,3.206780,3.269632,3.323146,3.362322,3.351751", \ "3.104122,3.150820,3.191143,3.253377,3.302561,3.360137,3.365790", \ "3.092546,3.146886,3.189445,3.251204,3.319159,3.341687,3.348093", \ "3.117299,3.169749,3.200721,3.279212,3.336486,3.358965,3.364314", \ "3.171731,3.226471,3.267005,3.316743,3.384541,3.407427,3.414801", \ "3.288857,3.333247,3.366974,3.446330,3.503956,3.530900,3.533584"); } } internal_power () { related_pin : "SN"; when : "!CK & !D"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("3.653882,3.573156,3.486199,3.384642,3.355468,3.342681,3.324324", \ "3.641362,3.557723,3.475541,3.369042,3.324846,3.334709,3.313079", \ "3.632064,3.556191,3.458553,3.347203,3.303304,3.313215,3.296663", \ "3.650190,3.573068,3.485729,3.364136,3.347177,3.347598,3.328696", \ "3.702276,3.616534,3.520252,3.427632,3.388601,3.394336,3.377455", \ "3.774603,3.695585,3.591088,3.485411,3.461058,3.467201,3.448509", \ "3.888916,3.811561,3.710600,3.603108,3.562416,3.565575,3.552502"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("3.227084,3.146720,3.048465,2.960086,2.900027,2.913501,2.897347", \ "3.215050,3.136618,3.044394,2.939122,2.896952,2.907677,2.893590", \ "3.215170,3.129982,3.043622,2.926488,2.880851,2.890555,2.875387", \ "3.215667,3.136434,3.041459,2.924695,2.898507,2.906622,2.892111", \ "3.219928,3.138478,3.046329,2.934833,2.897412,2.908729,2.893999", \ "3.223160,3.138308,3.036085,2.927084,2.896192,2.903627,2.891386", \ "3.235376,3.150342,3.056126,2.948699,2.896486,2.903424,2.890006"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("3.688553,3.725820,3.759731,3.841646,3.907454,3.934913,3.942611", \ "3.670041,3.706649,3.746258,3.813754,3.894776,3.922332,3.930915", \ "3.655884,3.692955,3.737781,3.799325,3.873569,3.900543,3.909529", \ "3.674131,3.710812,3.739403,3.828490,3.883416,3.910461,3.921959", \ "3.705757,3.748583,3.782183,3.863544,3.916688,3.943381,3.954492", \ "3.771718,3.815860,3.848091,3.930040,3.995190,4.022437,4.033186", \ "3.867855,3.902229,3.941928,3.995210,4.066399,4.124043,4.139737"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("3.690734,3.729051,3.761445,3.844095,3.910954,3.940017,3.948835", \ "3.671084,3.707886,3.748068,3.816213,3.898279,3.926918,3.937139", \ "3.661507,3.702599,3.739519,3.793137,3.877087,3.905220,3.916189", \ "3.675943,3.712366,3.756145,3.809196,3.886779,3.915095,3.928097", \ "3.713917,3.752462,3.784158,3.865982,3.920047,3.948018,3.995036", \ "3.773218,3.818397,3.850054,3.932556,3.998539,4.027050,4.039240", \ "3.870131,3.907381,3.944357,4.014606,4.069829,4.099699,4.145491"); } fall_power(scalar) {values ("0.0"); } } } pin (QN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 60.272200; function : "IQN"; timing () { related_pin : "CK"; timing_type : rising_edge; timing_sense : non_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.210527,0.227741,0.243719,0.268020,0.303856,0.356266,0.439831", \ "0.215815,0.233033,0.249032,0.273310,0.309149,0.361565,0.445138", \ "0.234257,0.251471,0.267455,0.291779,0.327625,0.380056,0.463634", \ "0.260867,0.278094,0.293989,0.318353,0.354227,0.406679,0.490280", \ "0.287968,0.304995,0.321055,0.345045,0.380955,0.433462,0.517213", \ "0.312490,0.329688,0.345609,0.369669,0.405702,0.458267,0.541636", \ "0.332836,0.350085,0.365975,0.390619,0.427016,0.479240,0.563412"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.174682,0.190994,0.208968,0.242618,0.307596,0.435451,0.689992", \ "0.179917,0.196236,0.214205,0.247868,0.312818,0.440683,0.695232", \ "0.198338,0.214653,0.232638,0.266299,0.331256,0.459105,0.713648", \ "0.225871,0.242203,0.260050,0.293670,0.358670,0.486517,0.741105", \ "0.253852,0.270147,0.288233,0.321771,0.386687,0.514520,0.769199", \ "0.279659,0.295962,0.313858,0.347491,0.412437,0.540350,0.795663", \ "0.302858,0.318790,0.335583,0.369359,0.435332,0.562065,0.816578"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.0350668,0.0410347,0.0469651,0.0567622,0.0717892,0.0981655,0.152997", \ "0.0350671,0.0410342,0.0469666,0.0567577,0.0717951,0.0981642,0.153000", \ "0.0350877,0.0410501,0.0469819,0.0567677,0.0718044,0.0981732,0.153002", \ "0.0351093,0.0410565,0.0469960,0.0567851,0.0718143,0.0981753,0.153017", \ "0.0351331,0.0410789,0.0470085,0.0567922,0.0718121,0.0981773,0.153000", \ "0.0351730,0.0411569,0.0470978,0.0568850,0.0718964,0.0982368,0.153042", \ "0.0352500,0.0412250,0.0471695,0.0569561,0.0719539,0.0982642,0.153016"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.0213869,0.0324467,0.0465444,0.0757031,0.135462,0.256416,0.499736", \ "0.0213809,0.0324464,0.0465461,0.0757006,0.135463,0.256418,0.499739", \ "0.0213785,0.0324441,0.0465387,0.0757000,0.135460,0.256418,0.499737", \ "0.0213773,0.0324429,0.0465371,0.0756986,0.135463,0.256420,0.499737", \ "0.0213439,0.0324510,0.0465440,0.0757036,0.135463,0.256418,0.499739", \ "0.0214101,0.0324600,0.0465478,0.0757064,0.135460,0.256424,0.499742", \ "0.0214426,0.0324904,0.0465746,0.0757157,0.135467,0.256424,0.499740"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & !D"; sdf_cond : "(CK == 1'b0) && (D == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.658442,0.693298,0.728084,0.781236,0.852389,0.939522,1.057810", \ "0.662640,0.697419,0.732148,0.785317,0.856464,0.943566,1.061883", \ "0.681278,0.715943,0.750753,0.803943,0.875100,0.962173,1.080517", \ "0.713349,0.748074,0.782845,0.836065,0.907242,0.994448,1.112763", \ "0.759818,0.794564,0.829524,0.882269,0.953437,1.040594,1.159179", \ "0.823999,0.858732,0.893407,0.946420,1.017605,1.104653,1.222885", \ "0.909093,0.943981,0.978598,1.031658,1.102652,1.189719,1.307741"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.118204,0.130068,0.140737,0.152785,0.161335,0.175342,0.222273", \ "0.118344,0.130078,0.140739,0.152785,0.161339,0.175387,0.222292", \ "0.118315,0.130072,0.140739,0.152783,0.161347,0.175363,0.222292", \ "0.118307,0.130006,0.140720,0.152803,0.161322,0.175371,0.222304", \ "0.118316,0.130068,0.140729,0.152786,0.161353,0.175346,0.222274", \ "0.118230,0.130012,0.140722,0.152815,0.161340,0.175371,0.222306", \ "0.118073,0.130027,0.140736,0.152806,0.161395,0.175377,0.222298"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & D"; sdf_cond : "(CK == 1'b0) && (D == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.659133,0.693771,0.728520,0.781640,0.852750,0.939653,1.057783", \ "0.663050,0.697880,0.732697,0.785814,0.856931,0.943876,1.061955", \ "0.681609,0.716452,0.751257,0.804389,0.875512,0.962486,1.080628", \ "0.714011,0.748827,0.783472,0.836589,0.907754,0.994779,1.113061", \ "0.761318,0.795904,0.829977,0.883607,0.954757,1.041250,1.159507", \ "0.825534,0.859971,0.895440,0.947820,1.018990,1.106011,1.224176", \ "0.910487,0.945319,0.979818,1.032960,1.103452,1.190274,1.308284"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.118265,0.130188,0.140883,0.152930,0.161452,0.175449,0.222372", \ "0.118319,0.130131,0.140886,0.152912,0.161450,0.175439,0.222326", \ "0.118294,0.130191,0.140865,0.152909,0.161447,0.175454,0.222305", \ "0.118275,0.130185,0.140864,0.152901,0.161441,0.175435,0.222313", \ "0.118407,0.130153,0.140838,0.152890,0.161406,0.175444,0.222308", \ "0.118383,0.130139,0.140833,0.152867,0.161421,0.175452,0.222346", \ "0.118137,0.130048,0.140816,0.152859,0.161455,0.175453,0.222329"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & !D"; sdf_cond : "(CK == 1'b1) && (D == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.397511,0.414821,0.430911,0.455569,0.492315,0.546022,0.631056", \ "0.401820,0.419134,0.435196,0.459803,0.496450,0.550048,0.634969", \ "0.420337,0.437620,0.453669,0.478230,0.514764,0.568289,0.653153", \ "0.455638,0.472918,0.488776,0.513379,0.549885,0.603288,0.688080", \ "0.498458,0.515729,0.531650,0.556198,0.592651,0.646032,0.730790", \ "0.545231,0.562500,0.578530,0.602754,0.639502,0.692875,0.777590", \ "0.596318,0.613572,0.629868,0.654108,0.690511,0.743663,0.828511"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.035235,0.041222,0.047253,0.057381,0.072925,0.099383,0.154048", \ "0.035220,0.041192,0.047188,0.057240,0.072721,0.099219,0.153957", \ "0.035211,0.041174,0.047153,0.057149,0.072575,0.099091,0.153870", \ "0.035208,0.041167,0.047139,0.057114,0.072494,0.099033,0.153833", \ "0.035207,0.041166,0.047137,0.057093,0.072462,0.099003,0.153814", \ "0.035212,0.041166,0.047130,0.057080,0.072445,0.098965,0.153791", \ "0.035202,0.041154,0.047115,0.057071,0.072439,0.098955,0.153781"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & D"; sdf_cond : "(CK == 1'b1) && (D == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.397740,0.415032,0.431129,0.455749,0.492455,0.546112,0.631075", \ "0.402075,0.419376,0.435400,0.459985,0.496598,0.550141,0.634983", \ "0.420523,0.437823,0.453859,0.478383,0.514905,0.568368,0.653170", \ "0.455792,0.473091,0.488934,0.513516,0.549999,0.603322,0.688079", \ "0.498571,0.515862,0.531769,0.556305,0.592731,0.646079,0.730776", \ "0.545314,0.562585,0.578610,0.602823,0.639550,0.692887,0.777557", \ "0.596347,0.613598,0.629887,0.654140,0.690521,0.743645,0.828450"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.035228,0.041238,0.047260,0.057393,0.072935,0.099395,0.154061", \ "0.035219,0.041201,0.047200,0.057253,0.072729,0.099228,0.153963", \ "0.035219,0.041182,0.047170,0.057162,0.072579,0.099100,0.153876", \ "0.035215,0.041173,0.047150,0.057124,0.072512,0.099048,0.153838", \ "0.035213,0.041172,0.047140,0.057102,0.072477,0.099011,0.153818", \ "0.035218,0.041174,0.047139,0.057088,0.072453,0.098972,0.153795", \ "0.035192,0.041158,0.047121,0.057077,0.072445,0.098961,0.153780"); } } internal_power () { related_pin : "CK"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("3.123203,3.171671,3.203442,3.284283,3.336987,3.373295,3.371991", \ "3.114875,3.164582,3.209622,3.275879,3.336388,3.372665,3.371426", \ "3.103093,3.152250,3.194026,3.259577,3.322931,3.352735,3.351283", \ "3.092550,3.145154,3.177542,3.257406,3.297392,3.334193,3.332963", \ "3.121961,3.162898,3.203634,3.257327,3.314595,3.363715,3.383907", \ "3.179857,3.227844,3.269804,3.323115,3.397667,3.434076,3.434425", \ "3.287798,3.334529,3.369838,3.434197,3.482308,3.523627,3.518922"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("2.933264,2.999704,3.040190,3.220681,3.274173,3.295105,3.293283", \ "2.929236,2.999613,3.031339,3.208007,3.278544,3.274703,3.283480", \ "2.914055,2.984069,3.018769,3.203627,3.256751,3.277956,3.261445", \ "2.912728,2.984339,3.024830,3.206796,3.243484,3.264368,3.281956", \ "2.933280,3.008224,3.050449,3.222089,3.262255,3.282689,3.300313", \ "2.998453,3.069816,3.107134,3.294693,3.317267,3.339058,3.353743", \ "3.115629,3.178000,3.208420,3.402590,3.441411,3.470136,3.460148"); } } internal_power () { related_pin : "SN"; when : "!CK & !D"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("3.651347,3.574984,3.489489,3.381679,3.347601,3.369845,3.344726", \ "3.638806,3.559538,3.466829,3.375831,3.338482,3.325492,3.299236", \ "3.637064,3.549481,3.461921,3.359591,3.316971,3.339795,3.316808", \ "3.655113,3.574682,3.473455,3.377203,3.316738,3.338689,3.314483", \ "3.699583,3.616719,3.523531,3.414207,3.364944,3.386237,3.362984", \ "3.779942,3.697450,3.594353,3.494336,3.437330,3.459112,3.435850", \ "3.893893,3.804088,3.713868,3.610495,3.576109,3.557437,3.538098"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("3.224559,3.148543,3.053086,2.961234,2.913611,2.940562,2.918270", \ "3.215112,3.136781,3.047845,2.946508,2.910467,2.899555,2.876922", \ "3.212600,3.132674,3.046795,2.939068,2.894569,2.918062,2.895545", \ "3.220663,3.137678,3.044271,2.936757,2.874433,2.898685,2.879897", \ "3.222672,3.140302,3.034063,2.941697,2.873502,2.900607,2.879663", \ "3.220552,3.140183,3.039453,2.939034,2.909808,2.895483,2.876830", \ "3.232732,3.152160,3.059326,2.939321,2.910221,2.895264,2.909782"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("3.685571,3.727242,3.762569,3.819515,3.885477,3.927635,3.962493", \ "3.667045,3.707915,3.749037,3.820001,3.873027,3.915847,3.916563", \ "3.652869,3.696642,3.740528,3.797164,3.886604,3.906654,3.929337", \ "3.671208,3.712292,3.742236,3.813208,3.896394,3.902889,3.941685", \ "3.711429,3.744236,3.784956,3.841568,3.929798,3.969992,3.974356", \ "3.777377,3.813074,3.850863,3.908123,3.973354,4.014943,4.052550", \ "3.867857,3.903636,3.944727,4.001593,4.079622,4.121885,4.125190"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("3.690750,3.728622,3.771910,3.821866,3.916508,3.944028,3.968850", \ "3.668053,3.709450,3.750866,3.822485,3.876427,3.930198,3.922761", \ "3.663106,3.695922,3.742296,3.799558,3.890139,3.897697,3.935440", \ "3.675956,3.713806,3.743998,3.815563,3.899843,3.907556,3.947813", \ "3.713944,3.745725,3.786956,3.843938,3.933207,3.974641,3.980425", \ "3.778985,3.816505,3.852851,3.910588,3.976666,4.019536,4.058593", \ "3.870136,3.905901,3.947076,4.004296,4.083055,4.126492,4.131310"); } rise_power(scalar) {values ("0.0"); } } } } /****************************************************************************************** Module : DFFS_X2 Cell Description : Pos.edge D-Flip-Flop with active low set, and drive strength X2 *******************************************************************************************/ cell (DFFS_X2) { drive_strength : 2; ff ("IQ" , "IQN") { next_state : "D"; clocked_on : "CK"; preset : "!SN"; } area : 5.586000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 81.793789; leakage_power () { when : "!CK & !D & !SN & Q & !QN"; value : 82.331370; } leakage_power () { when : "!CK & !D & SN & !Q & QN"; value : 85.119990; } leakage_power () { when : "!CK & !D & SN & Q & !QN"; value : 86.305752; } leakage_power () { when : "!CK & D & !SN & Q & !QN"; value : 73.713929; } leakage_power () { when : "!CK & D & SN & !Q & QN"; value : 87.693607; } leakage_power () { when : "!CK & D & SN & Q & !QN"; value : 82.851533; } leakage_power () { when : "CK & !D & !SN & Q & !QN"; value : 71.308397; } leakage_power () { when : "CK & !D & SN & !Q & QN"; value : 84.880629; } leakage_power () { when : "CK & !D & SN & Q & !QN"; value : 79.551195; } leakage_power () { when : "CK & D & !SN & Q & !QN"; value : 72.667020; } leakage_power () { when : "CK & D & SN & !Q & QN"; value : 94.194694; } leakage_power () { when : "CK & D & SN & Q & !QN"; value : 80.907348; } pin (D) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.142194; fall_capacitance : 1.058243; rise_capacitance : 1.142194; timing () { related_pin : "CK"; timing_type : hold_rising; when : "SN"; sdf_cond : "SN === 1'b1"; fall_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.001087,0.041248,0.089725", \ "0.027672,0.055540,0.079093", \ "0.310083,0.350596,0.361072"); } rise_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.009202,0.060890,0.124255", \ "0.069784,0.126456,0.188083", \ "0.219945,0.268604,0.323708"); } } timing () { related_pin : "CK"; timing_type : setup_rising; when : "SN"; sdf_cond : "SN === 1'b1"; fall_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.156577,0.095359,0.020004", \ "0.214189,0.151759,0.079723", \ "0.379741,0.318402,0.242951"); } rise_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.059112,0.031434,0.037214", \ "0.107071,0.076877,0.077915", \ "0.190679,0.150172,0.139725"); } } internal_power () { when : "!CK & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.567557,2.549436,2.526967,2.514389,2.523734,2.587549,2.692868"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.367015,1.338006,1.315726,1.297327,1.301854,1.350433,1.459140"); } } internal_power () { when : "!CK & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.451656,3.434947,3.409802,3.398227,3.411299,3.475550,3.603564"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.118452,2.096271,2.070156,2.048904,2.052770,2.106162,2.235660"); } } internal_power () { when : "!CK & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.416514,3.399365,3.375398,3.362852,3.376816,3.440569,3.568819"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.102903,2.081258,2.055115,2.032943,2.037188,2.089895,2.213655"); } } internal_power () { when : "CK & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.481250,0.484826,0.484805,0.485561,0.485008,0.485694,0.484515"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.336213,-0.367517,-0.407277,-0.427289,-0.437282,-0.443421,-0.447787"); } } internal_power () { when : "CK & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.558654,0.537620,0.526501,0.521579,0.518270,0.517351,0.516078"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.463362,-0.465467,-0.468521,-0.471156,-0.472127,-0.473037,-0.473613"); } } internal_power () { when : "CK & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.481225,0.484847,0.484797,0.485580,0.485002,0.485727,0.484514"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.336175,-0.367908,-0.407021,-0.427065,-0.436843,-0.443082,-0.447307"); } } } pin (SN) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.334611; fall_capacitance : 1.299606; rise_capacitance : 1.334611; timing () { related_pin : "CK"; timing_type : recovery_rising; rise_constraint(Recovery_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.101682,-0.161846,-0.240091", \ "-0.074660,-0.134295,-0.213039", \ "-0.081011,-0.141144,-0.219393"); } } timing () { related_pin : "CK"; timing_type : removal_rising; rise_constraint(Removal_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.133439,0.188778,0.263444", \ "0.110569,0.165987,0.239981", \ "0.151718,0.207513,0.275014"); } } timing () { related_pin : "SN"; timing_type : min_pulse_width; fall_constraint(Pulse_width_3) { index_1 ("0.00231025,0.112657,0.500000"); values ("1.120559,1.211658,1.591969"); } } internal_power () { when : "!CK & !D & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.361721,1.329045,1.310823,1.347357,1.433970,1.578340,1.794054"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.423879,0.403803,0.374782,0.357860,0.385667,0.465150,0.616782"); } } internal_power () { when : "!CK & D & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.533533,0.511076,0.500459,0.496512,0.493467,0.492293,0.491473"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.451626,-0.451653,-0.454094,-0.455879,-0.456686,-0.456679,-0.457444"); } } internal_power () { when : "CK & !D & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.510552,0.498707,0.490874,0.487801,0.485234,0.484659,0.483474"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.451512,-0.452633,-0.454818,-0.455994,-0.456238,-0.456291,-0.455443"); } } internal_power () { when : "CK & D & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.510550,0.498703,0.490887,0.487798,0.485246,0.484653,0.483486"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.451527,-0.452640,-0.454840,-0.455996,-0.456242,-0.456291,-0.455701"); } } } pin (CK) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock : true; capacitance : 0.929361; fall_capacitance : 0.843067; rise_capacitance : 0.929361; timing () { related_pin : "CK"; timing_type : min_pulse_width; when : "SN"; sdf_cond : "SN === 1'b1"; fall_constraint(Pulse_width_3) { index_1 ("0.00231025,0.112657,0.500000"); values ("0.240127,0.255523,0.500500"); } rise_constraint(Pulse_width_3) { index_1 ("0.00231025,0.112657,0.500000"); values ("0.304847,0.310452,0.500500"); } } internal_power () { when : "!D & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.309931,5.286740,5.256578,5.274396,5.368711,5.534023,5.773335"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("7.656205,7.664028,7.647287,7.660921,7.724593,7.825935,8.041753"); } } internal_power () { when : "!D & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.740797,3.717215,3.686731,3.699192,3.776219,3.938249,4.157456"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.853444,1.843271,1.800943,1.794649,1.848627,1.973518,2.170991"); } } internal_power () { when : "!D & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("6.249009,6.224468,6.193452,6.210253,6.291829,6.447160,6.674796"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.562617,3.540262,3.509295,3.521359,3.598224,3.748763,3.974444"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.968729,1.958431,1.932377,1.929227,1.982652,2.097712,2.300076"); } } internal_power () { when : "D & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.764264,5.737901,5.711544,5.718139,5.798571,5.953243,6.192271"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.567332,3.545008,3.512484,3.520448,3.603654,3.756612,3.987128"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.988577,1.979354,1.954894,1.954944,2.002484,2.118297,2.323368"); } } } pin (Q) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 120.996200; function : "IQ"; timing () { related_pin : "CK"; timing_type : rising_edge; timing_sense : non_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.266010,0.273220,0.279789,0.291504,0.312174,0.349468,0.420335", \ "0.271260,0.278480,0.285030,0.296744,0.317408,0.354716,0.425591", \ "0.289699,0.296940,0.303505,0.315222,0.335874,0.373173,0.444057", \ "0.317539,0.324766,0.331216,0.342915,0.363624,0.400886,0.471820", \ "0.345970,0.353244,0.359718,0.371442,0.392070,0.429333,0.500360", \ "0.372326,0.379581,0.386113,0.397688,0.418980,0.455658,0.527414", \ "0.395735,0.402957,0.408393,0.420302,0.441963,0.478029,0.548878"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.420011,0.427544,0.434779,0.455875,0.511146,0.633724,0.886408", \ "0.425323,0.432826,0.440077,0.461174,0.516446,0.639030,0.891718", \ "0.443948,0.451455,0.458668,0.479761,0.535062,0.657693,0.910365", \ "0.470976,0.478508,0.485645,0.506771,0.562084,0.684674,0.937379", \ "0.498429,0.505679,0.512976,0.533787,0.589026,0.711764,0.964610", \ "0.522973,0.530763,0.537735,0.559233,0.614582,0.737409,0.989211", \ "0.543458,0.550993,0.557878,0.579253,0.635508,0.757877,1.011131"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.0151661,0.0191938,0.0226882,0.0294775,0.0433022,0.0721407,0.132966", \ "0.0151709,0.0191944,0.0226900,0.0294736,0.0432979,0.0721500,0.132963", \ "0.0151641,0.0191944,0.0226812,0.0294723,0.0433030,0.0721417,0.132974", \ "0.0151643,0.0191950,0.0226865,0.0294779,0.0433062,0.0721395,0.132977", \ "0.0151689,0.0191946,0.0226893,0.0294778,0.0433125,0.0721507,0.132970", \ "0.0151745,0.0191992,0.0226864,0.0294716,0.0432903,0.0721582,0.132981", \ "0.0151749,0.0192047,0.0226963,0.0294809,0.0433009,0.0721363,0.132985"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.0250516,0.0367984,0.0470705,0.0730932,0.132891,0.254947,0.499631", \ "0.0250543,0.0367968,0.0470704,0.0730930,0.132894,0.254946,0.499631", \ "0.0250509,0.0367966,0.0470751,0.0730886,0.132890,0.254946,0.499630", \ "0.0250479,0.0367971,0.0470698,0.0730912,0.132895,0.254946,0.499628", \ "0.0250522,0.0367990,0.0470706,0.0730885,0.132887,0.254946,0.499629", \ "0.0250626,0.0368037,0.0470753,0.0730907,0.132889,0.254946,0.499630", \ "0.0250694,0.0368285,0.0470882,0.0730925,0.132894,0.254954,0.499627"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & !D"; sdf_cond : "(CK == 1'b0) && (D == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("1.265271,1.265272,1.265273,1.273851,1.306817,1.408077,1.646925", \ "1.269464,1.269465,1.269466,1.277860,1.310836,1.412077,1.650958", \ "1.288397,1.288398,1.288399,1.296726,1.329738,1.430906,1.669866", \ "1.321766,1.321767,1.321768,1.330238,1.363235,1.464473,1.703279", \ "1.369099,1.369100,1.369101,1.377602,1.410577,1.511816,1.750606", \ "1.432959,1.432960,1.432961,1.440962,1.473893,1.575069,1.813902", \ "1.516401,1.516402,1.516403,1.524830,1.557799,1.659024,1.897921"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.062727,0.076527,0.086172,0.100947,0.142173,0.257726,0.500628", \ "0.062719,0.076523,0.086174,0.100927,0.142171,0.257711,0.500640", \ "0.062662,0.076525,0.086164,0.100936,0.142170,0.257706,0.500637", \ "0.062705,0.076521,0.086163,0.100941,0.142181,0.257710,0.500629", \ "0.062729,0.076522,0.086161,0.100937,0.142179,0.257710,0.500629", \ "0.062780,0.076519,0.086164,0.100931,0.142180,0.257712,0.500641", \ "0.062659,0.076524,0.086164,0.100936,0.142176,0.257710,0.500628"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & D"; sdf_cond : "(CK == 1'b0) && (D == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("1.265896,1.265897,1.266064,1.274549,1.307245,1.408293,1.646906", \ "1.269918,1.269919,1.270056,1.278593,1.311292,1.412335,1.650924", \ "1.289294,1.289295,1.289296,1.297302,1.330152,1.431163,1.669772", \ "1.322576,1.322577,1.322578,1.330980,1.363795,1.464809,1.703425", \ "1.369227,1.369249,1.369880,1.378065,1.410912,1.512184,1.750796", \ "1.433926,1.433927,1.434107,1.442101,1.474988,1.576083,1.814774", \ "1.516796,1.516797,1.516798,1.526133,1.559032,1.660141,1.898832"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.062748,0.076557,0.086196,0.100970,0.142184,0.257730,0.500646", \ "0.062770,0.076558,0.086200,0.100965,0.142192,0.257714,0.500631", \ "0.062714,0.076555,0.086194,0.100966,0.142180,0.257707,0.500628", \ "0.062729,0.076551,0.086191,0.100968,0.142182,0.257711,0.500630", \ "0.062740,0.076548,0.086185,0.100952,0.142180,0.257711,0.500629", \ "0.062690,0.076542,0.086181,0.100955,0.142176,0.257710,0.500629", \ "0.062685,0.076527,0.086183,0.100944,0.142173,0.257705,0.500637"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & !D"; sdf_cond : "(CK == 1'b1) && (D == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.608579,0.616183,0.623520,0.644861,0.700410,0.823521,1.076930", \ "0.612663,0.620307,0.627648,0.648963,0.704591,0.827720,1.081114", \ "0.631053,0.638690,0.646016,0.667331,0.722957,0.846084,1.099473", \ "0.666233,0.673848,0.681051,0.702351,0.757968,0.881082,1.134465", \ "0.708877,0.716483,0.723546,0.744947,0.800565,0.923629,1.176998", \ "0.754916,0.762534,0.770275,0.791379,0.846934,0.969990,1.223341", \ "0.806152,0.813756,0.820833,0.841992,0.896574,1.019682,1.273966"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.025200,0.036973,0.047197,0.073127,0.132915,0.254970,0.499642", \ "0.025165,0.036921,0.047152,0.073109,0.132911,0.254972,0.499643", \ "0.025145,0.036882,0.047120,0.073099,0.132911,0.254966,0.499643", \ "0.025129,0.036866,0.047105,0.073095,0.132906,0.254966,0.499640", \ "0.025127,0.036861,0.047103,0.073092,0.132907,0.254969,0.499638", \ "0.025124,0.036853,0.047090,0.073092,0.132904,0.254967,0.499644", \ "0.025129,0.036851,0.047091,0.073085,0.132904,0.254964,0.499638"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & D"; sdf_cond : "(CK == 1'b1) && (D == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.608765,0.616422,0.623780,0.645039,0.700583,0.823614,1.076944", \ "0.612940,0.620562,0.627892,0.649215,0.704764,0.827819,1.081130", \ "0.631305,0.638924,0.646238,0.667523,0.723107,0.846172,1.099486", \ "0.666448,0.674050,0.681242,0.702519,0.758094,0.881153,1.134466", \ "0.709047,0.716643,0.723714,0.745077,0.800661,0.923676,1.176987", \ "0.755049,0.762648,0.770375,0.791466,0.846994,0.970009,1.223307", \ "0.806225,0.813819,0.820892,0.842041,0.896586,1.019659,1.273909"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.025209,0.036978,0.047203,0.073129,0.132915,0.254971,0.499644", \ "0.025171,0.036926,0.047160,0.073114,0.132907,0.254974,0.499642", \ "0.025144,0.036887,0.047123,0.073099,0.132908,0.254972,0.499643", \ "0.025134,0.036873,0.047111,0.073091,0.132910,0.254966,0.499640", \ "0.025130,0.036864,0.047105,0.073096,0.132910,0.254969,0.499638", \ "0.025127,0.036858,0.047097,0.073089,0.132903,0.254967,0.499644", \ "0.025124,0.036854,0.047090,0.073086,0.132904,0.254966,0.499639"); } } internal_power () { related_pin : "CK"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("4.336124,4.430732,4.565974,4.842260,4.937424,4.939738,4.961026", \ "4.330349,4.427247,4.565745,4.853904,4.907383,4.954460,4.975629", \ "4.314770,4.411527,4.549332,4.819875,4.922688,4.925304,4.946309", \ "4.309760,4.411652,4.561363,4.830151,4.893327,4.963371,4.983232", \ "4.331816,4.440947,4.572000,4.835493,4.897757,4.968310,4.987260", \ "4.397111,4.495998,4.644652,4.931446,5.001357,5.011454,5.022707", \ "4.500437,4.603378,4.759398,5.041968,5.074760,5.092650,5.114000"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("5.116305,5.059601,4.995150,5.036594,5.139679,5.171991,5.187127", \ "5.105081,5.046177,5.012174,5.042353,5.143675,5.175552,5.190520", \ "5.094121,5.035413,4.996045,5.026295,5.117607,5.218556,5.165050", \ "5.087065,5.034466,4.966542,5.024917,5.081764,5.184725,5.198423", \ "5.106938,5.047544,4.995034,5.008244,5.093915,5.191883,5.204227", \ "5.159859,5.112502,5.070196,5.072715,5.187695,5.286846,5.244621", \ "5.270200,5.209184,5.160719,5.154601,5.272247,5.366555,5.372905"); } } internal_power () { related_pin : "SN"; when : "!CK & !D"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("7.741814,7.375457,7.115417,6.745769,6.483713,6.316075,6.140717", \ "7.758030,7.393084,7.101155,6.723222,6.480429,6.324876,6.158627", \ "7.867639,7.473856,7.163351,6.796676,6.488108,6.336741,6.147933", \ "8.040324,7.629488,7.300202,6.878453,6.534661,6.345328,6.156559", \ "8.244630,7.804222,7.450957,7.004651,6.602143,6.387874,6.224444", \ "8.439280,7.961635,7.572402,7.104924,6.680526,6.459299,6.289161", \ "8.595244,8.105625,7.729592,7.207449,6.785909,6.574122,6.399210"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("7.330410,6.969951,6.683164,6.339785,6.071426,5.949186,5.725551", \ "7.352294,6.985708,6.709373,6.366709,6.064785,5.909572,5.728950", \ "7.468735,7.072413,6.780588,6.402992,6.089431,5.925653,5.709605", \ "7.607311,7.192502,6.859580,6.448054,6.113923,5.917918,5.729052", \ "7.780192,7.322390,6.963861,6.526914,6.136893,5.918026,5.738573", \ "7.924456,7.410863,7.039886,6.559056,6.152257,5.918363,5.738360", \ "7.942770,7.452711,7.069731,6.548709,6.151957,5.933052,5.728528"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("5.724444,5.658378,5.588058,5.622663,5.727087,5.800609,5.847156", \ "5.706198,5.639243,5.579281,5.585323,5.704917,5.792572,5.772521", \ "5.690597,5.623350,5.576395,5.611795,5.674925,5.763720,5.809961", \ "5.715247,5.632386,5.575421,5.614601,5.684192,5.772771,5.823177", \ "5.744346,5.677234,5.617679,5.610559,5.714085,5.803753,5.855535", \ "5.819035,5.735790,5.687872,5.698919,5.814286,5.901791,5.885236", \ "5.914590,5.831745,5.778631,5.815804,5.888003,5.968190,6.010669"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("5.725595,5.659463,5.616827,5.624058,5.714012,5.804843,5.854092", \ "5.721954,5.639788,5.580009,5.586210,5.711417,5.796965,5.778707", \ "5.706962,5.623963,5.577275,5.618536,5.677700,5.767888,5.816112", \ "5.715724,5.633207,5.576644,5.583638,5.734638,5.777251,5.829545", \ "5.761332,5.678453,5.621647,5.620109,5.740346,5.808282,5.861803", \ "5.820790,5.737542,5.689894,5.698264,5.817628,5.906537,5.891705", \ "5.916714,5.833994,5.781054,5.816805,5.897680,5.973678,6.017253"); } fall_power(scalar) {values ("0.0"); } } } pin (QN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 120.544000; function : "IQN"; timing () { related_pin : "CK"; timing_type : rising_edge; timing_sense : non_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.300842,0.328767,0.351473,0.385500,0.433179,0.497126,0.592534", \ "0.306165,0.334057,0.356771,0.390799,0.438480,0.502433,0.597843", \ "0.324732,0.352685,0.375361,0.409385,0.457094,0.521108,0.616498", \ "0.351769,0.379733,0.402334,0.436395,0.484122,0.548083,0.643507", \ "0.379207,0.406907,0.429668,0.463418,0.511054,0.575178,0.670751", \ "0.403711,0.431941,0.454397,0.488840,0.536616,0.600830,0.695360", \ "0.424162,0.452154,0.474520,0.508848,0.557566,0.621322,0.717274"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.198213,0.220579,0.240946,0.277096,0.343692,0.472008,0.726641", \ "0.203459,0.225841,0.246188,0.282336,0.348930,0.477258,0.731899", \ "0.221909,0.244303,0.264639,0.300810,0.367394,0.495712,0.750364", \ "0.249754,0.272128,0.292376,0.328506,0.395143,0.523428,0.778116", \ "0.278174,0.300602,0.320876,0.357026,0.423580,0.551875,0.806659", \ "0.304525,0.326933,0.347246,0.383275,0.450520,0.578235,0.833707", \ "0.327899,0.350298,0.369535,0.405798,0.473463,0.600572,0.855180"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.0560616,0.0657164,0.0737697,0.0857009,0.100033,0.124240,0.177276", \ "0.0560487,0.0657177,0.0737711,0.0856993,0.100034,0.124236,0.177275", \ "0.0560832,0.0657130,0.0737697,0.0856992,0.100028,0.124260,0.177272", \ "0.0560877,0.0657234,0.0737724,0.0857033,0.100052,0.124245,0.177292", \ "0.0561117,0.0657220,0.0737739,0.0856894,0.100032,0.124241,0.177270", \ "0.0560730,0.0657887,0.0738531,0.0857490,0.100064,0.124280,0.177289", \ "0.0561978,0.0658333,0.0738918,0.0858147,0.100128,0.124263,0.177304"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.0235343,0.0367052,0.0508233,0.0791261,0.137017,0.256533,0.499583", \ "0.0235355,0.0367051,0.0508169,0.0791296,0.137017,0.256537,0.499583", \ "0.0235277,0.0367028,0.0508169,0.0791256,0.137015,0.256533,0.499584", \ "0.0235247,0.0366977,0.0508158,0.0791247,0.137014,0.256541,0.499582", \ "0.0235279,0.0367024,0.0508203,0.0791274,0.137017,0.256538,0.499582", \ "0.0235539,0.0367186,0.0508306,0.0791316,0.137018,0.256541,0.499586", \ "0.0235839,0.0367470,0.0508561,0.0791498,0.137028,0.256537,0.499588"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & !D"; sdf_cond : "(CK == 1'b0) && (D == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("1.021164,1.069703,1.114530,1.184500,1.279110,1.391242,1.536476", \ "1.025351,1.073673,1.118519,1.188512,1.283149,1.395263,1.540561", \ "1.043872,1.092383,1.137433,1.207375,1.302052,1.414117,1.559485", \ "1.077571,1.125927,1.171043,1.240890,1.335524,1.447646,1.592909", \ "1.124892,1.173248,1.218334,1.288258,1.382863,1.494985,1.640240", \ "1.189879,1.237057,1.281970,1.351607,1.446172,1.558239,1.703558", \ "1.271867,1.320413,1.365409,1.435484,1.530094,1.642222,1.787482"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.212706,0.225041,0.234557,0.245128,0.248464,0.253306,0.296109", \ "0.212643,0.225028,0.234556,0.245134,0.248451,0.253300,0.296168", \ "0.212392,0.224966,0.234553,0.245120,0.248456,0.253277,0.296167", \ "0.212557,0.225040,0.234553,0.245121,0.248451,0.253307,0.296072", \ "0.212517,0.225013,0.234536,0.245120,0.248469,0.253308,0.296066", \ "0.211419,0.225023,0.234537,0.245131,0.248473,0.253310,0.296142", \ "0.212402,0.224972,0.234554,0.245115,0.248464,0.253294,0.296086"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & D"; sdf_cond : "(CK == 1'b0) && (D == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("1.022139,1.070144,1.115192,1.185168,1.279571,1.391527,1.536588", \ "1.025711,1.074184,1.119327,1.189175,1.283610,1.395573,1.540590", \ "1.044717,1.093021,1.137972,1.207928,1.302455,1.414388,1.559438", \ "1.078136,1.126698,1.171557,1.241611,1.336061,1.448004,1.593067", \ "1.125574,1.173785,1.219173,1.288709,1.383175,1.495343,1.640427", \ "1.189350,1.237659,1.283412,1.352739,1.447310,1.559289,1.704420", \ "1.272357,1.320611,1.365997,1.436770,1.531314,1.643317,1.788477"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.212175,0.225144,0.234677,0.245250,0.248559,0.253386,0.296207", \ "0.212673,0.225164,0.234677,0.245280,0.248561,0.253366,0.296093", \ "0.212600,0.225194,0.234713,0.245250,0.248564,0.253356,0.296086", \ "0.212077,0.225180,0.234661,0.245231,0.248544,0.253369,0.296093", \ "0.211856,0.225152,0.234676,0.245213,0.248529,0.253378,0.296089", \ "0.212347,0.225125,0.234616,0.245193,0.248504,0.253334,0.296069", \ "0.212346,0.225085,0.234609,0.245161,0.248504,0.253327,0.296161"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & !D"; sdf_cond : "(CK == 1'b1) && (D == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.489292,0.517240,0.540066,0.574603,0.623289,0.688517,0.785308", \ "0.493485,0.521485,0.544311,0.578746,0.627370,0.692532,0.789232", \ "0.511948,0.539957,0.562759,0.597133,0.645649,0.710732,0.807377", \ "0.547151,0.575147,0.597828,0.632165,0.680631,0.745659,0.842261", \ "0.589810,0.617799,0.640338,0.674781,0.723211,0.788164,0.884742", \ "0.635863,0.663870,0.687076,0.721215,0.769569,0.834495,0.931060", \ "0.687046,0.715109,0.737654,0.771844,0.819223,0.884165,0.981629"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.056069,0.065894,0.074150,0.086623,0.101426,0.125567,0.178345", \ "0.056068,0.065844,0.074049,0.086433,0.101229,0.125398,0.178260", \ "0.056028,0.065806,0.073993,0.086289,0.101048,0.125286,0.178165", \ "0.056030,0.065793,0.073956,0.086239,0.100976,0.125242,0.178139", \ "0.056027,0.065787,0.073957,0.086210,0.100935,0.125203,0.178135", \ "0.056018,0.065783,0.073934,0.086198,0.100902,0.125155,0.178101", \ "0.056039,0.065772,0.073926,0.086153,0.100842,0.125096,0.178082"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & D"; sdf_cond : "(CK == 1'b1) && (D == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.489468,0.517454,0.540302,0.574791,0.623460,0.688627,0.785341", \ "0.493716,0.521724,0.544547,0.578996,0.627540,0.692645,0.789263", \ "0.512176,0.540177,0.562972,0.597325,0.645804,0.710834,0.807406", \ "0.547347,0.575335,0.598010,0.632333,0.680764,0.745744,0.842291", \ "0.589965,0.617947,0.640498,0.674910,0.723313,0.788221,0.884746", \ "0.635987,0.663982,0.687169,0.721302,0.769636,0.834524,0.931039", \ "0.687103,0.715147,0.737705,0.771893,0.819245,0.884147,0.981583"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.056104,0.065918,0.074181,0.086638,0.101450,0.125558,0.178333", \ "0.056121,0.065862,0.074065,0.086454,0.101229,0.125402,0.178268", \ "0.056050,0.065824,0.074011,0.086306,0.101066,0.125299,0.178173", \ "0.056044,0.065810,0.073972,0.086253,0.100989,0.125254,0.178155", \ "0.056039,0.065802,0.073972,0.086226,0.100948,0.125201,0.178137", \ "0.056025,0.065781,0.073949,0.086211,0.100915,0.125164,0.178109", \ "0.056050,0.065784,0.073937,0.086166,0.100847,0.125130,0.178088"); } } internal_power () { related_pin : "CK"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("5.115533,5.059888,4.999935,5.007341,5.160444,5.214785,5.204717", \ "5.104293,5.048508,4.987898,4.995418,5.093015,5.218245,5.208082", \ "5.093339,5.037740,4.971599,4.999877,5.138407,5.192882,5.182947", \ "5.086280,5.030234,4.971305,4.979778,5.102944,5.158822,5.216116", \ "5.106940,5.049887,4.999737,5.010829,5.111276,5.234356,5.222028", \ "5.159059,5.114875,5.045877,5.090873,5.208498,5.261148,5.262491", \ "5.269415,5.211372,5.165379,5.164797,5.280051,5.382042,5.390689"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("4.320844,4.428135,4.572133,4.872139,4.932308,4.982273,4.978838", \ "4.326957,4.428000,4.575781,4.863745,4.932010,4.929224,4.993442", \ "4.311345,4.411859,4.550208,4.829916,4.904345,4.967767,4.964131", \ "4.306304,4.411923,4.551852,4.840044,4.914028,4.938355,4.933457", \ "4.331885,4.441672,4.577813,4.844713,4.918893,4.943116,5.004434", \ "4.397739,4.496840,4.635467,4.925081,4.989405,5.017958,5.040665", \ "4.496950,4.605703,4.745617,5.026438,5.095448,5.135352,5.131924"); } } internal_power () { related_pin : "SN"; when : "!CK & !D"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("7.741596,7.381832,7.119114,6.757068,6.497049,6.341813,6.171762", \ "7.756037,7.396473,7.107202,6.735280,6.503433,6.342182,6.162607", \ "7.867475,7.478134,7.169216,6.810635,6.506534,6.309398,6.138222", \ "8.037157,7.616964,7.306024,6.886850,6.548682,6.343387,6.176224", \ "8.244976,7.806639,7.455906,6.990216,6.615585,6.387350,6.224467", \ "8.437472,7.965398,7.574264,7.115630,6.703521,6.466236,6.307377", \ "8.595273,8.108935,7.735335,7.218766,6.809420,6.565806,6.405105"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("7.328422,6.971252,6.691434,6.346255,6.089597,5.951478,5.746509", \ "7.350306,6.989120,6.714829,6.312166,6.084371,5.926389,5.679903", \ "7.466780,7.075793,6.786130,6.393631,6.101843,5.898208,5.728067", \ "7.618310,7.195948,6.870300,6.455053,6.127588,5.912268,5.748390", \ "7.778344,7.325948,6.974103,6.541298,6.150356,5.913894,5.756666", \ "7.924410,7.427979,7.043661,6.567872,6.166625,5.911086,5.756429", \ "7.943984,7.461195,7.075198,6.554452,6.163913,5.904665,5.748849"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("5.722183,5.644709,5.592784,5.636202,5.751163,5.843116,5.797214", \ "5.703931,5.626278,5.583951,5.595333,5.725965,5.766559,5.793319", \ "5.704580,5.625679,5.561014,5.564505,5.696160,5.806102,5.760020", \ "5.713015,5.634734,5.580259,5.591876,5.705449,5.815410,5.772929", \ "5.758271,5.664238,5.628211,5.642912,5.735418,5.846496,5.873134", \ "5.816827,5.738006,5.692456,5.711309,5.835242,5.875840,5.903228", \ "5.912390,5.834074,5.783239,5.806361,5.901422,6.010743,6.028385"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("5.739609,5.661795,5.592652,5.633885,5.735249,5.847430,5.801393", \ "5.719746,5.642123,5.587783,5.596228,5.729247,5.771698,5.799504", \ "5.704735,5.626306,5.581883,5.565925,5.707820,5.810532,5.766158", \ "5.713477,5.635570,5.586761,5.593671,5.746458,5.819910,5.779259", \ "5.759122,5.680782,5.632177,5.652758,5.755077,5.851084,5.879551", \ "5.818569,5.739891,5.694519,5.717935,5.838599,5.880564,5.909676", \ "5.914506,5.836431,5.785673,5.807690,5.905343,6.016226,6.034955"); } rise_power(scalar) {values ("0.0"); } } } } /****************************************************************************************** Module : DFF_X1 Cell Description : Pos.edge D-Flip-Flop with drive strength X1 *******************************************************************************************/ cell (DFF_X1) { drive_strength : 1; ff ("IQ" , "IQN") { next_state : "D"; clocked_on : "CK"; } area : 4.522000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 54.439799; leakage_power () { when : "!CK & !D & !Q & QN"; value : 52.390980; } leakage_power () { when : "!CK & !D & Q & !QN"; value : 55.625065; } leakage_power () { when : "!CK & D & !Q & QN"; value : 56.690319; } leakage_power () { when : "!CK & D & Q & !QN"; value : 53.923539; } leakage_power () { when : "CK & !D & !Q & QN"; value : 52.392652; } leakage_power () { when : "CK & !D & Q & !QN"; value : 50.716481; } leakage_power () { when : "CK & D & !Q & QN"; value : 61.706718; } leakage_power () { when : "CK & D & Q & !QN"; value : 52.072635; } pin (D) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.109132; fall_capacitance : 1.030132; rise_capacitance : 1.109132; timing () { related_pin : "CK"; timing_type : hold_rising; fall_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.000981,0.039970,0.081649", \ "0.025345,0.053392,0.071179", \ "0.302156,0.343813,0.350507"); } rise_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.008396,0.058333,0.115557", \ "0.072794,0.125745,0.181478", \ "0.221042,0.269810,0.315769"); } } timing () { related_pin : "CK"; timing_type : setup_rising; fall_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.148905,0.089857,0.021657", \ "0.205952,0.146511,0.080977", \ "0.370521,0.310827,0.242646"); } rise_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.064026,0.036534,0.047865", \ "0.112636,0.082038,0.088181", \ "0.198840,0.156941,0.150275"); } } internal_power () { when : "!CK & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.139013,3.122137,3.097262,3.084367,3.102419,3.171667,3.309128"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.314148,2.291129,2.268712,2.246477,2.253468,2.311950,2.442091"); } } internal_power () { when : "!CK & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.103379,3.087772,3.061569,3.050377,3.067899,3.137409,3.278667"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.296274,2.271995,2.247231,2.228424,2.237231,2.289066,2.416544"); } } internal_power () { when : "CK & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.558419,0.537732,0.526026,0.521630,0.518303,0.517180,0.516059"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.462223,-0.464899,-0.468179,-0.470164,-0.471582,-0.472499,-0.473049"); } } internal_power () { when : "CK & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.481437,0.484626,0.485060,0.484855,0.485108,0.485742,0.484475"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.335961,-0.367384,-0.407554,-0.426866,-0.437096,-0.443331,-0.447639"); } } } pin (CK) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock : true; capacitance : 0.909577; fall_capacitance : 0.821035; rise_capacitance : 0.909577; timing () { related_pin : "CK"; timing_type : min_pulse_width; fall_constraint(Pulse_width_3) { index_1 ("0.00231025,0.112657,0.500000"); values ("0.223031,0.243109,0.500500"); } rise_constraint(Pulse_width_3) { index_1 ("0.00231025,0.112657,0.500000"); values ("0.190061,0.199663,0.500500"); } } internal_power () { when : "!D & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.376260,3.350778,3.322030,3.338851,3.419802,3.579041,3.805479"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.859719,1.834284,1.803043,1.799141,1.851625,1.986192,2.189332"); } } internal_power () { when : "!D & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.621398,5.592695,5.563918,5.583058,5.663307,5.810122,6.039364"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.655830,5.629992,5.597861,5.613670,5.696579,5.854272,6.092176"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.191655,3.167005,3.138886,3.153935,3.235482,3.393927,3.619154"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.989167,1.977031,1.951520,1.948882,2.002245,2.123821,2.331776"); } } } pin (Q) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 60.730000; function : "IQ"; timing () { related_pin : "CK"; timing_type : rising_edge; timing_sense : non_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("0.231861,0.240605,0.248663,0.261848,0.283859,0.322321,0.394025", \ "0.237107,0.245833,0.253905,0.267091,0.289104,0.327566,0.399269", \ "0.255360,0.264089,0.272139,0.285355,0.307371,0.345812,0.417520", \ "0.281774,0.290504,0.298507,0.311687,0.333759,0.372155,0.443881", \ "0.308660,0.317195,0.325116,0.338412,0.360341,0.398808,0.470412", \ "0.332848,0.341605,0.349625,0.363334,0.385248,0.423114,0.494674", \ "0.354092,0.362887,0.370478,0.383858,0.405788,0.444355,0.514736"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("0.292372,0.302661,0.314151,0.340863,0.400918,0.526579,0.781154", \ "0.297660,0.307949,0.319440,0.346130,0.406179,0.531847,0.786462", \ "0.315834,0.326111,0.337611,0.364326,0.424388,0.550057,0.804665", \ "0.341388,0.351646,0.363106,0.389819,0.449900,0.575596,0.830264", \ "0.367224,0.377506,0.388874,0.415275,0.475409,0.601257,0.856005", \ "0.390103,0.400404,0.411657,0.438497,0.498603,0.624453,0.879808", \ "0.409314,0.419613,0.431154,0.457805,0.517926,0.644100,0.899106"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("0.0152192,0.0189122,0.0227601,0.0299979,0.0441125,0.0729778,0.133520", \ "0.0152213,0.0189065,0.0227670,0.0299988,0.0441169,0.0729660,0.133513", \ "0.0152208,0.0189127,0.0227620,0.0299833,0.0441077,0.0729677,0.133520", \ "0.0152194,0.0189113,0.0227753,0.0300015,0.0441118,0.0729686,0.133536", \ "0.0152246,0.0189144,0.0227767,0.0299967,0.0441126,0.0729679,0.133520", \ "0.0152241,0.0189250,0.0227619,0.0299939,0.0440995,0.0729426,0.133554", \ "0.0152277,0.0189267,0.0227675,0.0299999,0.0441098,0.0729665,0.133516"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("0.0196400,0.0293680,0.0422330,0.0711819,0.132051,0.254581,0.499897", \ "0.0196371,0.0293684,0.0422312,0.0711834,0.132050,0.254579,0.499894", \ "0.0196401,0.0293697,0.0422350,0.0711844,0.132051,0.254581,0.499895", \ "0.0196461,0.0293772,0.0422367,0.0711955,0.132052,0.254581,0.499897", \ "0.0196513,0.0293868,0.0422389,0.0711846,0.132052,0.254581,0.499896", \ "0.0196775,0.0293812,0.0422394,0.0711821,0.132055,0.254581,0.499898", \ "0.0196812,0.0294044,0.0422482,0.0711860,0.132054,0.254582,0.499895"); } } internal_power () { related_pin : "CK"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("2.895655,2.960809,3.003986,3.183330,3.223735,3.228134,3.247304", \ "2.889928,2.956154,2.984671,3.176981,3.227914,3.232477,3.250863", \ "2.872807,2.941017,2.980307,3.152778,3.206620,3.210986,3.229335", \ "2.870156,2.942477,2.979326,3.160046,3.200849,3.205135,3.223221", \ "2.896908,2.961914,3.004765,3.168472,3.229727,3.234317,3.253016", \ "2.962635,3.035349,3.072562,3.251050,3.295886,3.303550,3.323252", \ "3.081527,3.148547,3.184588,3.353410,3.403407,3.406713,3.433888"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("3.063743,3.094435,3.138681,3.188055,3.260228,3.284094,3.293848", \ "3.058455,3.094342,3.129793,3.179576,3.259422,3.283424,3.293496", \ "3.038419,3.074403,3.114908,3.164280,3.239664,3.264341,3.273812", \ "3.035319,3.071693,3.107540,3.171193,3.228698,3.253031,3.262393", \ "3.052381,3.096823,3.131789,3.182420,3.254660,3.278644,3.288070", \ "3.118556,3.153896,3.185595,3.261533,3.315590,3.340580,3.345823", \ "3.231178,3.264594,3.298298,3.374264,3.418053,3.438886,3.447762"); } } } pin (QN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 60.272200; function : "IQN"; timing () { related_pin : "CK"; timing_type : rising_edge; timing_sense : non_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.205967,0.223236,0.239212,0.263474,0.299161,0.351285,0.434529", \ "0.211259,0.228534,0.244504,0.268746,0.304420,0.356546,0.439831", \ "0.229408,0.246687,0.262662,0.286940,0.322636,0.374763,0.458042", \ "0.254955,0.272201,0.288149,0.312417,0.348147,0.400315,0.483659", \ "0.280742,0.298046,0.313911,0.337863,0.373651,0.425982,0.509412", \ "0.303590,0.320895,0.336646,0.361073,0.396876,0.449243,0.533319", \ "0.322782,0.340075,0.356106,0.380352,0.416202,0.468926,0.552646"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.169723,0.186077,0.204057,0.237704,0.302664,0.430531,0.685098", \ "0.174964,0.191308,0.209298,0.242947,0.307906,0.435762,0.690351", \ "0.193222,0.209558,0.227540,0.261207,0.326170,0.454024,0.708591", \ "0.219634,0.235974,0.253901,0.287550,0.352565,0.480362,0.734944", \ "0.246510,0.262656,0.280706,0.314282,0.379150,0.507024,0.761484", \ "0.270696,0.287062,0.305008,0.339186,0.404047,0.531360,0.785749", \ "0.291922,0.308334,0.325863,0.359698,0.424579,0.552556,0.805799"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.0347198,0.0407263,0.0466583,0.0563982,0.0712933,0.0976181,0.152489", \ "0.0347186,0.0407121,0.0466512,0.0563988,0.0712919,0.0976208,0.152486", \ "0.0347450,0.0407316,0.0466783,0.0564089,0.0713030,0.0976170,0.152485", \ "0.0347666,0.0407598,0.0466958,0.0564285,0.0713130,0.0976239,0.152491", \ "0.0348219,0.0407848,0.0467032,0.0564301,0.0713155,0.0976249,0.152495", \ "0.0348518,0.0408408,0.0468024,0.0565232,0.0713863,0.0976820,0.152524", \ "0.0349206,0.0409287,0.0468716,0.0565957,0.0714561,0.0977136,0.152504"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.0210189,0.0321013,0.0462022,0.0753849,0.135155,0.256140,0.499494", \ "0.0210199,0.0321030,0.0462034,0.0753846,0.135155,0.256144,0.499497", \ "0.0210150,0.0320987,0.0462050,0.0753848,0.135153,0.256138,0.499493", \ "0.0210139,0.0320980,0.0462014,0.0753811,0.135153,0.256137,0.499494", \ "0.0209792,0.0320980,0.0462076,0.0753874,0.135154,0.256145,0.499498", \ "0.0210406,0.0321158,0.0462137,0.0753872,0.135154,0.256143,0.499494", \ "0.0210763,0.0321431,0.0462369,0.0753965,0.135157,0.256144,0.499494"); } } internal_power () { related_pin : "CK"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("3.063710,3.096280,3.127261,3.195737,3.275845,3.281917,3.290038", \ "3.057296,3.088075,3.133261,3.188293,3.240144,3.281259,3.289715", \ "3.037262,3.076202,3.118442,3.171935,3.220403,3.270434,3.270037", \ "3.034461,3.065376,3.111051,3.178630,3.244354,3.250927,3.258426", \ "3.059813,3.092447,3.120385,3.190037,3.235370,3.276481,3.284277", \ "3.117369,3.155594,3.189128,3.240975,3.296350,3.338470,3.341740", \ "3.229982,3.266375,3.301876,3.363518,3.433673,3.436641,3.444441"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("2.895623,2.957091,3.003669,3.174921,3.205706,3.226151,3.243079", \ "2.889942,2.957421,2.997347,3.181701,3.210097,3.230453,3.247174", \ "2.872841,2.941570,2.981497,3.160286,3.188671,3.208970,3.225611", \ "2.873875,2.933386,2.980487,3.147315,3.182827,3.203156,3.219491", \ "2.896863,2.962489,3.008412,3.175970,3.211764,3.232388,3.249135", \ "2.961903,3.034883,3.073088,3.242115,3.301253,3.301577,3.319534", \ "3.082622,3.148175,3.187436,3.360939,3.403957,3.404820,3.430214"); } } } } /****************************************************************************************** Module : DFF_X2 Cell Description : Pos.edge D-Flip-Flop with drive strength X2 *******************************************************************************************/ cell (DFF_X2) { drive_strength : 2; ff ("IQ" , "IQN") { next_state : "D"; clocked_on : "CK"; } area : 5.054000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 80.729317; leakage_power () { when : "!CK & !D & !Q & QN"; value : 79.596415; } leakage_power () { when : "!CK & !D & Q & !QN"; value : 81.056850; } leakage_power () { when : "!CK & D & !Q & QN"; value : 83.901454; } leakage_power () { when : "!CK & D & Q & !QN"; value : 79.339459; } leakage_power () { when : "CK & !D & !Q & QN"; value : 79.589071; } leakage_power () { when : "CK & !D & Q & !QN"; value : 76.046046; } leakage_power () { when : "CK & D & !Q & QN"; value : 88.903137; } leakage_power () { when : "CK & D & Q & !QN"; value : 77.402105; } pin (D) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.092061; fall_capacitance : 1.014682; rise_capacitance : 1.092061; timing () { related_pin : "CK"; timing_type : hold_rising; fall_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.000150,0.037360,0.079224", \ "0.026907,0.053153,0.070078", \ "0.308913,0.347145,0.352417"); } rise_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.007178,0.056748,0.113853", \ "0.068307,0.122301,0.178711", \ "0.217369,0.265302,0.312406"); } } timing () { related_pin : "CK"; timing_type : setup_rising; fall_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.157663,0.098129,0.029791", \ "0.214294,0.154496,0.088745", \ "0.380604,0.321043,0.252674"); } rise_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.060614,0.034685,0.046565", \ "0.108361,0.079837,0.086494", \ "0.191848,0.153623,0.148379"); } } internal_power () { when : "!CK & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.192203,3.175970,3.152487,3.138732,3.156425,3.221782,3.354554"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.379302,2.357849,2.330800,2.313731,2.321118,2.376388,2.505521"); } } internal_power () { when : "!CK & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.157860,3.140960,3.116437,3.103269,3.121730,3.189142,3.327057"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.359862,2.339323,2.315068,2.294047,2.299764,2.356433,2.480026"); } } internal_power () { when : "CK & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.558667,0.537943,0.526209,0.521834,0.518314,0.517447,0.516111"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.461951,-0.464866,-0.467967,-0.469887,-0.471313,-0.472247,-0.472800"); } } internal_power () { when : "CK & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.481622,0.484820,0.485231,0.484853,0.485131,0.485975,0.484500"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.335753,-0.366880,-0.407296,-0.426597,-0.436825,-0.442971,-0.447381"); } } } pin (CK) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock : true; capacitance : 0.890272; fall_capacitance : 0.800803; rise_capacitance : 0.890272; timing () { related_pin : "CK"; timing_type : min_pulse_width; fall_constraint(Pulse_width_3) { index_1 ("0.00231025,0.112657,0.500000"); values ("0.232800,0.248075,0.500500"); } rise_constraint(Pulse_width_3) { index_1 ("0.00231025,0.112657,0.500000"); values ("0.303320,0.308900,0.500500"); } } internal_power () { when : "!D & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.374026,3.348381,3.319141,3.336230,3.417691,3.577098,3.801811"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.872054,1.856449,1.829351,1.810526,1.862371,1.997005,2.200985"); } } internal_power () { when : "!D & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.670320,5.642909,5.613793,5.631406,5.713226,5.859928,6.089733"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.719521,5.692641,5.662868,5.677090,5.759119,5.918540,6.155727"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.191610,3.165336,3.137805,3.152920,3.232860,3.390641,3.610409"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.002075,1.990851,1.964663,1.962331,2.015602,2.137310,2.346373"); } } } pin (Q) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 121.155000; function : "IQ"; timing () { related_pin : "CK"; timing_type : rising_edge; timing_sense : non_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.261211,0.268345,0.274824,0.286519,0.307137,0.344421,0.415331", \ "0.266441,0.273581,0.280076,0.291741,0.312401,0.349670,0.420584", \ "0.284701,0.291829,0.298328,0.310021,0.330660,0.367909,0.438828", \ "0.311077,0.318233,0.324657,0.336348,0.356989,0.394251,0.465183", \ "0.337821,0.344927,0.351480,0.363054,0.383621,0.420998,0.491717", \ "0.362219,0.369366,0.375671,0.387926,0.408616,0.445240,0.516004", \ "0.383680,0.390385,0.396860,0.408545,0.429307,0.466695,0.536214"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.415137,0.422535,0.429616,0.450697,0.505990,0.628569,0.881170", \ "0.420434,0.427798,0.434924,0.455961,0.511285,0.633873,0.886489", \ "0.438779,0.446154,0.453286,0.474302,0.529640,0.652238,0.904861", \ "0.464430,0.471782,0.478909,0.499939,0.555250,0.677876,0.930547", \ "0.490127,0.497528,0.504461,0.525461,0.580607,0.703368,0.956140", \ "0.512809,0.520212,0.527145,0.548233,0.603574,0.726301,0.979744", \ "0.531382,0.538782,0.545811,0.567024,0.622385,0.745574,0.998552"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.0151929,0.0191404,0.0226178,0.0294356,0.0432781,0.0721551,0.133045", \ "0.0151958,0.0191431,0.0226319,0.0294450,0.0432665,0.0721540,0.133038", \ "0.0151947,0.0191460,0.0226236,0.0294341,0.0432682,0.0721578,0.133026", \ "0.0151949,0.0191401,0.0226288,0.0294303,0.0432778,0.0721545,0.133035", \ "0.0151943,0.0191462,0.0226215,0.0294262,0.0432829,0.0721470,0.133033", \ "0.0152008,0.0191450,0.0226236,0.0294347,0.0432506,0.0721423,0.133055", \ "0.0152025,0.0191533,0.0226235,0.0294350,0.0432729,0.0721519,0.133031"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.0251142,0.0368107,0.0470603,0.0731112,0.132953,0.255004,0.499649", \ "0.0251134,0.0368150,0.0470553,0.0731135,0.132954,0.255003,0.499648", \ "0.0251167,0.0368086,0.0470582,0.0731140,0.132953,0.255003,0.499648", \ "0.0251113,0.0368133,0.0470583,0.0731095,0.132953,0.255005,0.499649", \ "0.0251227,0.0368190,0.0470549,0.0731112,0.132955,0.255004,0.499649", \ "0.0251226,0.0368240,0.0470636,0.0731147,0.132960,0.255003,0.499649", \ "0.0251403,0.0368404,0.0470788,0.0731187,0.132958,0.255011,0.499649"); } } internal_power () { related_pin : "CK"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("4.322018,4.435653,4.573018,4.841390,4.929677,4.921184,4.989229", \ "4.324102,4.419487,4.573299,4.816273,4.912757,4.935771,4.935338", \ "4.312154,4.406608,4.549888,4.838553,4.916537,4.908438,4.975803", \ "4.297642,4.410771,4.550625,4.818409,4.906199,4.897538,4.964068", \ "4.325509,4.430670,4.582681,4.858320,4.937029,4.927538,4.996305", \ "4.383963,4.499758,4.640150,4.885976,5.002871,5.001922,5.003684", \ "4.510372,4.616407,4.759896,5.039943,5.108541,5.100045,5.116371"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("5.076064,4.998908,4.951132,4.979761,5.064569,5.164567,5.112139", \ "5.080575,4.981680,4.938957,4.968298,5.067924,5.099314,5.114836", \ "5.061797,4.972366,4.925335,4.955027,5.044575,5.144465,5.091228", \ "5.055845,4.972975,4.918976,4.920426,5.029496,5.129179,5.142713", \ "5.068569,4.996562,4.920453,4.921951,5.060768,5.158830,5.104349", \ "5.143017,5.058083,5.004834,5.031477,5.127286,5.157225,5.161203", \ "5.238691,5.152978,5.096427,5.094667,5.232650,5.323120,5.265826"); } } } pin (QN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 120.544000; function : "IQN"; timing () { related_pin : "CK"; timing_type : rising_edge; timing_sense : non_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.296070,0.324021,0.346634,0.380629,0.428183,0.491935,0.587124", \ "0.301395,0.329280,0.351942,0.385893,0.433479,0.497248,0.592428", \ "0.319746,0.347635,0.370299,0.404230,0.451833,0.515615,0.610800", \ "0.345387,0.373257,0.395922,0.429862,0.477443,0.541253,0.636507", \ "0.371035,0.399004,0.421481,0.455388,0.502799,0.566749,0.662094", \ "0.393685,0.421640,0.444134,0.478139,0.525766,0.589680,0.685712", \ "0.412203,0.440191,0.462768,0.496906,0.544579,0.608997,0.704521"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.193503,0.215882,0.236208,0.272360,0.338932,0.467268,0.721961", \ "0.198731,0.221118,0.241454,0.277586,0.344185,0.472517,0.727213", \ "0.216999,0.239373,0.259714,0.295855,0.362440,0.490760,0.745452", \ "0.243376,0.265773,0.286033,0.322190,0.388768,0.517094,0.771799", \ "0.270101,0.292466,0.312874,0.348887,0.415418,0.543834,0.798339", \ "0.294506,0.316903,0.337054,0.373754,0.439628,0.568107,0.822668", \ "0.315935,0.337961,0.358237,0.394437,0.461093,0.589539,0.842844"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.0558398,0.0654908,0.0735358,0.0854074,0.0996730,0.123855,0.176963", \ "0.0558321,0.0654885,0.0735276,0.0854043,0.0996777,0.123865,0.176967", \ "0.0558038,0.0654991,0.0735419,0.0854083,0.0996766,0.123869,0.176971", \ "0.0558369,0.0655034,0.0735404,0.0854095,0.0996806,0.123873,0.176968", \ "0.0559040,0.0655028,0.0735118,0.0854057,0.0996753,0.123885,0.176968", \ "0.0558951,0.0655739,0.0735866,0.0854545,0.0997059,0.123887,0.176977", \ "0.0559913,0.0656124,0.0736486,0.0855253,0.0997877,0.123893,0.176963"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.0233787,0.0365629,0.0506814,0.0790128,0.136933,0.256492,0.499581", \ "0.0233803,0.0365618,0.0506824,0.0790115,0.136933,0.256494,0.499581", \ "0.0233775,0.0365591,0.0506739,0.0790115,0.136930,0.256493,0.499579", \ "0.0233748,0.0365564,0.0506768,0.0790080,0.136930,0.256493,0.499580", \ "0.0233864,0.0365592,0.0506762,0.0790137,0.136933,0.256494,0.499577", \ "0.0233991,0.0365740,0.0506872,0.0790147,0.136932,0.256497,0.499584", \ "0.0234301,0.0366032,0.0507133,0.0790353,0.136942,0.256496,0.499585"); } } internal_power () { related_pin : "CK"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("5.075316,4.999979,4.926941,4.936310,5.088989,5.138904,5.130021", \ "5.079845,4.986006,4.943598,4.921198,5.082812,5.142051,5.132417", \ "5.061798,4.974714,4.929952,4.908080,5.066656,5.118804,5.109024", \ "5.055106,4.973978,4.923501,4.930513,5.050419,5.103447,5.092471", \ "5.067812,4.988911,4.925163,4.932149,5.081591,5.133135,5.122204", \ "5.142283,5.045217,4.980637,4.984522,5.089267,5.199983,5.179205", \ "5.237938,5.155351,5.101138,5.112535,5.181932,5.297469,5.283565"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("4.322037,4.436400,4.574514,4.851391,4.883292,4.972959,4.938962", \ "4.320713,4.420280,4.556939,4.826650,4.933480,4.910445,4.953313", \ "4.308758,4.407373,4.542421,4.793760,4.919028,4.950994,4.925674", \ "4.297668,4.411559,4.535117,4.828473,4.859535,4.940205,4.914035", \ "4.321548,4.430528,4.580035,4.868130,4.890341,4.970088,4.974401", \ "4.383431,4.500309,4.639111,4.896044,4.965068,5.044478,5.021617", \ "4.506953,4.614258,4.735155,5.013989,5.062320,5.142721,5.134505"); } } } } /****************************************************************************************** Module : DLH_X1 Cell Description : High enable Latch with drive strength X1 *******************************************************************************************/ cell (DLH_X1) { drive_strength : 1; latch ("IQ" , "IQN") { data_in : "D"; enable : "G"; } area : 2.660000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 28.420624; leakage_power () { when : "!D & !G & !Q"; value : 23.508700; } leakage_power () { when : "!D & !G & Q"; value : 29.147235; } leakage_power () { when : "!D & G & !Q"; value : 24.851876; } leakage_power () { when : "D & !G & !Q"; value : 30.546129; } leakage_power () { when : "D & !G & Q"; value : 30.189718; } leakage_power () { when : "D & G & Q"; value : 32.280088; } pin (D) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 0.889706; fall_capacitance : 0.841577; rise_capacitance : 0.889706; timing () { related_pin : "G"; timing_type : hold_falling; fall_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.058032,0.123244,0.248378", \ "0.110996,0.174546,0.302995", \ "0.364683,0.432707,0.576651"); } rise_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.055765,0.115751,0.226441", \ "0.071083,0.118010,0.209982", \ "0.271933,0.312005,0.407237"); } } timing () { related_pin : "G"; timing_type : setup_falling; fall_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.178165,0.133475,0.112265", \ "0.233180,0.190582,0.153190", \ "0.394919,0.349272,0.272671"); } rise_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.002583,-0.066790,-0.189343", \ "0.045396,-0.019118,-0.154035", \ "0.136168,0.068147,-0.075787"); } } internal_power () { when : "!G & !Q"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.414743,0.399240,0.391644,0.387854,0.385671,0.384734,0.383717"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.331141,-0.331929,-0.334609,-0.339061,-0.345428,-0.353977,-0.354402"); } } internal_power () { when : "!G & Q"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.357400,0.360111,0.361461,0.361179,0.360964,0.360871,0.361118"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.249874,-0.273227,-0.303081,-0.317678,-0.325373,-0.330109,-0.333348"); } } } pin (G) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock : true; capacitance : 0.947488; fall_capacitance : 0.850993; rise_capacitance : 0.947488; timing () { related_pin : "G"; timing_type : min_pulse_width; rise_constraint(Pulse_width_3) { index_1 ("0.00231025,0.112657,0.500000"); values ("0.147932,0.153734,0.500500"); } } internal_power () { when : "!D & !Q"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.278535,2.249613,2.223852,2.245960,2.341095,2.503826,2.732265"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.751895,1.738108,1.703724,1.709821,1.774858,1.915897,2.150942"); } } internal_power () { when : "D & Q"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.308745,2.278509,2.252493,2.280208,2.375005,2.534300,2.769825"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.625534,1.610939,1.577677,1.580727,1.647751,1.789018,2.019116"); } } } pin (Q) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 60.542870; function : "IQ"; timing () { related_pin : "D"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.198730,0.215973,0.231210,0.253815,0.286666,0.336659,0.418737", \ "0.203302,0.220560,0.235795,0.258405,0.291256,0.341252,0.423347", \ "0.220948,0.238188,0.253441,0.276041,0.308888,0.358885,0.440992", \ "0.250911,0.268151,0.283374,0.305886,0.338708,0.388730,0.470869", \ "0.296092,0.313266,0.328442,0.350975,0.383823,0.433864,0.516046", \ "0.353501,0.371625,0.387906,0.410909,0.444422,0.494890,0.577320", \ "0.419190,0.438405,0.454577,0.480300,0.515664,0.568047,0.651958"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0777765,0.0945023,0.112494,0.146091,0.211162,0.339617,0.595634", \ "0.0825540,0.0992883,0.117279,0.150880,0.215956,0.344422,0.600433", \ "0.0970460,0.113764,0.131731,0.165301,0.230360,0.358850,0.614889", \ "0.122627,0.139466,0.157432,0.190897,0.255868,0.384342,0.640388", \ "0.152918,0.170540,0.188902,0.222421,0.287326,0.415733,0.671816", \ "0.183225,0.202074,0.221180,0.255037,0.319893,0.448164,0.704214", \ "0.209839,0.230562,0.250496,0.285361,0.350296,0.478302,0.734131"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0309722,0.0364801,0.0418151,0.0503753,0.0649503,0.0930717,0.149644", \ "0.0309708,0.0364793,0.0418145,0.0503727,0.0649516,0.0930718,0.149649", \ "0.0309751,0.0364882,0.0418151,0.0503735,0.0649555,0.0930748,0.149640", \ "0.0309722,0.0364896,0.0418273,0.0503844,0.0649571,0.0930665,0.149640", \ "0.0310639,0.0366033,0.0419456,0.0504922,0.0650321,0.0931051,0.149644", \ "0.0344380,0.0397156,0.0447322,0.0527499,0.0665498,0.0939547,0.150087", \ "0.0388330,0.0442832,0.0494496,0.0574043,0.0709794,0.0977361,0.152524"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0178812,0.0290280,0.0431503,0.0725145,0.133003,0.255036,0.500100", \ "0.0178878,0.0290279,0.0431454,0.0725125,0.133007,0.255067,0.500103", \ "0.0178753,0.0290254,0.0431525,0.0725057,0.132995,0.255068,0.500104", \ "0.0184882,0.0294287,0.0433906,0.0726061,0.133005,0.255042,0.500043", \ "0.0203888,0.0310588,0.0446270,0.0732783,0.133189,0.255148,0.500206", \ "0.0233900,0.0336531,0.0466404,0.0744411,0.133680,0.255313,0.500332", \ "0.0276969,0.0376313,0.0498684,0.0763832,0.134444,0.255645,0.500279"); } } timing () { related_pin : "G"; timing_type : rising_edge; timing_sense : non_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.183920,0.201132,0.216341,0.238771,0.271413,0.321066,0.402766", \ "0.189113,0.206337,0.221533,0.243981,0.276599,0.326269,0.407954", \ "0.205311,0.222539,0.237739,0.260237,0.292908,0.342614,0.424367", \ "0.225604,0.242817,0.258038,0.280579,0.313271,0.363081,0.444895", \ "0.245640,0.262591,0.277848,0.300377,0.333009,0.382801,0.464814", \ "0.262041,0.279422,0.294847,0.317312,0.349743,0.399698,0.481835", \ "0.275491,0.292683,0.307801,0.330537,0.363372,0.412981,0.494918"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.139904,0.156607,0.174525,0.207964,0.272917,0.401299,0.657303", \ "0.145096,0.161790,0.179717,0.213162,0.278115,0.406489,0.662499", \ "0.162539,0.179244,0.197176,0.230616,0.295558,0.423925,0.679963", \ "0.185163,0.201852,0.219780,0.253229,0.318140,0.446488,0.702567", \ "0.206864,0.223697,0.241619,0.274899,0.339896,0.468253,0.724199", \ "0.225563,0.242266,0.260197,0.294066,0.358832,0.486835,0.742550", \ "0.239737,0.256438,0.274367,0.307911,0.372759,0.501201,0.757173"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0308893,0.0363902,0.0416914,0.0502739,0.0649443,0.0930580,0.149625", \ "0.0308888,0.0364045,0.0417089,0.0502752,0.0649389,0.0930774,0.149630", \ "0.0308833,0.0363882,0.0417006,0.0502756,0.0649421,0.0930662,0.149612", \ "0.0308655,0.0363877,0.0417016,0.0502783,0.0649388,0.0930641,0.149626", \ "0.0309003,0.0364421,0.0417476,0.0503151,0.0649547,0.0930602,0.149623", \ "0.0309398,0.0364641,0.0417886,0.0503703,0.0650232,0.0930619,0.149633", \ "0.0310319,0.0365727,0.0418765,0.0504530,0.0650777,0.0931634,0.149694"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0180932,0.0291596,0.0432118,0.0725146,0.132974,0.255103,0.500165", \ "0.0181028,0.0291593,0.0432108,0.0725126,0.132973,0.255091,0.500162", \ "0.0180952,0.0291578,0.0432106,0.0725035,0.132976,0.255089,0.500103", \ "0.0180903,0.0291574,0.0432127,0.0725135,0.132973,0.255015,0.500195", \ "0.0181119,0.0291550,0.0431916,0.0725081,0.132974,0.255097,0.500098", \ "0.0181180,0.0291736,0.0432195,0.0725055,0.132907,0.255055,0.500014", \ "0.0181460,0.0291964,0.0432342,0.0725110,0.132998,0.254956,0.500109"); } } internal_power () { related_pin : "D"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("2.572561,2.721058,2.841637,2.984707,3.153330,3.304605,3.404275", \ "2.558388,2.710645,2.825756,2.961557,3.139935,3.290335,3.390387", \ "2.538320,2.693729,2.809772,2.945219,3.122212,3.279311,3.371878", \ "2.532395,2.683417,2.802502,2.936097,3.107954,3.266186,3.361414", \ "2.541849,2.696432,2.805654,2.945296,3.112823,3.264533,3.366366", \ "2.607093,2.745692,2.855976,2.985692,3.130838,3.297000,3.399498", \ "2.683379,2.798267,2.891624,3.021011,3.191449,3.365737,3.475435"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("1.760722,1.999418,2.140966,2.218730,2.238026,2.291364,2.344315", \ "1.731026,1.978089,2.134031,2.217691,2.208664,2.280624,2.338665", \ "1.718206,1.964428,2.111343,2.203056,2.204671,2.265318,2.276211", \ "1.708195,1.951589,2.090030,2.167819,2.167668,2.223476,2.303523", \ "1.735399,1.963308,2.088839,2.156335,2.177130,2.233768,2.245858", \ "1.799811,2.025875,2.163095,2.211138,2.237012,2.232564,2.248190", \ "1.894284,2.129537,2.275988,2.334445,2.317132,2.288513,2.371733"); } } internal_power () { related_pin : "G"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("3.548708,3.710514,3.840566,3.980171,4.149168,4.293850,4.390635", \ "3.540359,3.703037,3.828706,3.963931,4.128336,4.280048,4.372863", \ "3.499227,3.659536,3.782160,3.925769,4.084592,4.241280,4.331013", \ "3.481358,3.642313,3.772823,3.911601,4.082688,4.233240,4.326485", \ "3.542390,3.710171,3.833248,3.972818,4.131894,4.290380,4.385921", \ "3.713671,3.867595,3.987379,4.121408,4.271157,4.430020,4.524974", \ "3.964299,4.122098,4.241884,4.377280,4.536331,4.680971,4.764414"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("3.470354,3.722361,3.871000,3.955900,3.998456,4.007191,4.024692", \ "3.450523,3.697061,3.851885,3.940758,3.968178,4.006067,4.020791", \ "3.423420,3.669857,3.823853,3.905481,3.932514,3.971068,3.993889", \ "3.433217,3.679511,3.826676,3.912963,3.955148,3.965655,4.030319", \ "3.493693,3.749898,3.888526,3.973522,4.014479,4.053831,4.086461", \ "3.679452,3.919807,4.051102,4.124884,4.148473,4.186665,4.233110", \ "3.908787,4.155987,4.305830,4.383363,4.391296,4.413726,4.455711"); } } } } /****************************************************************************************** Module : DLH_X2 Cell Description : High enable Latch with drive strength X2 *******************************************************************************************/ cell (DLH_X2) { drive_strength : 2; latch ("IQ" , "IQN") { data_in : "D"; enable : "G"; } area : 2.926000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 40.260914; leakage_power () { when : "!D & !G & !Q"; value : 32.680855; } leakage_power () { when : "!D & !G & Q"; value : 42.093930; } leakage_power () { when : "!D & G & !Q"; value : 34.714301; } leakage_power () { when : "D & !G & !Q"; value : 42.016581; } leakage_power () { when : "D & !G & Q"; value : 43.441628; } leakage_power () { when : "D & G & Q"; value : 46.618191; } pin (D) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.131926; fall_capacitance : 1.071708; rise_capacitance : 1.131926; timing () { related_pin : "G"; timing_type : hold_falling; fall_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.060219,0.126015,0.255339", \ "0.116313,0.180141,0.309618", \ "0.348531,0.417303,0.563559"); } rise_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.053356,0.114857,0.227211", \ "0.068060,0.114723,0.216755", \ "0.286971,0.325461,0.416957"); } } timing () { related_pin : "G"; timing_type : setup_falling; fall_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.201674,0.158237,0.129778", \ "0.260578,0.216483,0.170185", \ "0.420572,0.374625,0.297848"); } rise_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.005050,-0.059670,-0.184802", \ "0.053400,-0.011941,-0.150113", \ "0.152320,0.083551,-0.062694"); } } internal_power () { when : "!G & !Q"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.558908,0.537266,0.526044,0.520794,0.518474,0.516822,0.516236"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.456939,-0.458051,-0.461769,-0.467937,-0.475002,-0.475923,-0.476474"); } } internal_power () { when : "!G & Q"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.481517,0.484295,0.485536,0.485453,0.485065,0.485462,0.484497"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.335266,-0.367553,-0.407025,-0.427117,-0.436981,-0.443259,-0.447688"); } } } pin (G) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock : true; capacitance : 0.946882; fall_capacitance : 0.851336; rise_capacitance : 0.946882; timing () { related_pin : "G"; timing_type : min_pulse_width; rise_constraint(Pulse_width_3) { index_1 ("0.00231025,0.112657,0.500000"); values ("0.169302,0.173595,0.500500"); } } internal_power () { when : "!D & !Q"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.196178,2.169129,2.146178,2.171643,2.256108,2.419462,2.648644"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.787470,1.773219,1.740928,1.747297,1.809381,1.953483,2.182700"); } } internal_power () { when : "D & Q"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.239975,2.210470,2.183884,2.211754,2.307286,2.465695,2.701948"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.613386,1.598916,1.566136,1.570695,1.637167,1.780232,2.008442"); } } } pin (Q) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 120.697000; function : "IQ"; timing () { related_pin : "D"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.771780,7.543560,15.087100,30.174200,60.348500,120.697000"); values ("0.217910,0.238078,0.253797,0.277115,0.311087,0.362546,0.446297", \ "0.222492,0.242669,0.258393,0.281718,0.315694,0.367169,0.450918", \ "0.240218,0.260395,0.276114,0.299441,0.333411,0.384883,0.468646", \ "0.270105,0.290304,0.305945,0.329241,0.363211,0.414683,0.498459", \ "0.315151,0.335251,0.350945,0.374233,0.408218,0.459743,0.543551", \ "0.375680,0.395924,0.411519,0.435284,0.469615,0.521392,0.605424", \ "0.442779,0.464623,0.481511,0.506938,0.543032,0.596421,0.681558"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.771780,7.543560,15.087100,30.174200,60.348500,120.697000"); values ("0.0840465,0.103491,0.121744,0.155480,0.220424,0.348468,0.603545", \ "0.0887556,0.108206,0.126460,0.160203,0.225143,0.353201,0.608335", \ "0.102944,0.122394,0.140630,0.174347,0.239281,0.367364,0.622486", \ "0.128893,0.148393,0.166584,0.200217,0.265060,0.393116,0.648294", \ "0.160583,0.180930,0.199587,0.233260,0.298000,0.425959,0.681127", \ "0.193189,0.214814,0.234146,0.268090,0.332831,0.460600,0.715550", \ "0.222784,0.246357,0.266622,0.301498,0.366304,0.493768,0.748572"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.771780,7.543560,15.087100,30.174200,60.348500,120.697000"); values ("0.0370848,0.0431104,0.0482812,0.0567542,0.0714133,0.0994448,0.155595", \ "0.0370659,0.0431104,0.0482807,0.0567536,0.0713880,0.0994483,0.155597", \ "0.0370878,0.0431090,0.0482797,0.0567541,0.0713938,0.0994563,0.155584", \ "0.0370681,0.0431090,0.0482880,0.0567698,0.0714022,0.0994616,0.155578", \ "0.0370959,0.0431614,0.0483598,0.0568302,0.0714660,0.0994640,0.155585", \ "0.0401545,0.0457769,0.0505929,0.0584645,0.0724811,0.100053,0.155905", \ "0.0451702,0.0508947,0.0557715,0.0635777,0.0771255,0.103699,0.158053"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.771780,7.543560,15.087100,30.174200,60.348500,120.697000"); values ("0.0188219,0.0312514,0.0451954,0.0741507,0.134125,0.255664,0.499798", \ "0.0188131,0.0312502,0.0451945,0.0741400,0.134137,0.255731,0.499817", \ "0.0188116,0.0312486,0.0452001,0.0741491,0.134139,0.255688,0.499815", \ "0.0193316,0.0315568,0.0453875,0.0742392,0.134144,0.255711,0.499875", \ "0.0213783,0.0332944,0.0467206,0.0749500,0.134382,0.255740,0.499893", \ "0.0245471,0.0360301,0.0489244,0.0763613,0.134957,0.255953,0.499883", \ "0.0291806,0.0402185,0.0523665,0.0785582,0.135913,0.256334,0.499997"); } } timing () { related_pin : "G"; timing_type : rising_edge; timing_sense : non_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.771780,7.543560,15.087100,30.174200,60.348500,120.697000"); values ("0.203511,0.223595,0.239284,0.262495,0.296247,0.347391,0.430732", \ "0.208718,0.228866,0.244521,0.267711,0.301475,0.352641,0.435981", \ "0.225269,0.245429,0.261087,0.284338,0.318141,0.369358,0.452747", \ "0.246211,0.266383,0.282067,0.305347,0.339147,0.390462,0.473950", \ "0.266913,0.287113,0.302543,0.325933,0.359493,0.410778,0.494405", \ "0.284067,0.304228,0.320264,0.343199,0.377082,0.428282,0.512052", \ "0.298030,0.318199,0.333760,0.357137,0.391068,0.442390,0.525990"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.771780,7.543560,15.087100,30.174200,60.348500,120.697000"); values ("0.141281,0.160691,0.178899,0.212512,0.277319,0.405302,0.660416", \ "0.146502,0.165915,0.184120,0.217728,0.282542,0.410517,0.665624", \ "0.164125,0.183541,0.201734,0.235336,0.300165,0.428144,0.683260", \ "0.187197,0.206613,0.224813,0.258494,0.323259,0.451221,0.706346", \ "0.209684,0.229101,0.247296,0.280727,0.345524,0.473586,0.728581", \ "0.229141,0.248550,0.266750,0.300133,0.364769,0.493051,0.747730", \ "0.242878,0.262299,0.280494,0.314458,0.379267,0.506698,0.761696"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.771780,7.543560,15.087100,30.174200,60.348500,120.697000"); values ("0.0370510,0.0430660,0.0482061,0.0566788,0.0713563,0.0994357,0.155588", \ "0.0370622,0.0430651,0.0481975,0.0566740,0.0713528,0.0994463,0.155580", \ "0.0370634,0.0430643,0.0482000,0.0566689,0.0713544,0.0994418,0.155576", \ "0.0370556,0.0430606,0.0482011,0.0566760,0.0713576,0.0994351,0.155576", \ "0.0371149,0.0431021,0.0482210,0.0566781,0.0713535,0.0994147,0.155566", \ "0.0371414,0.0431479,0.0482908,0.0567586,0.0714236,0.0994367,0.155657", \ "0.0372104,0.0432197,0.0483633,0.0568420,0.0714923,0.0995337,0.155614"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.771780,7.543560,15.087100,30.174200,60.348500,120.697000"); values ("0.0189591,0.0313341,0.0452305,0.0741338,0.134133,0.255671,0.499815", \ "0.0189589,0.0313336,0.0452314,0.0741335,0.134122,0.255651,0.499817", \ "0.0189594,0.0313345,0.0452333,0.0741410,0.134120,0.255702,0.499867", \ "0.0189641,0.0313369,0.0452332,0.0741338,0.134124,0.255687,0.499814", \ "0.0189644,0.0313272,0.0452155,0.0741337,0.134105,0.255738,0.499816", \ "0.0189822,0.0313474,0.0452368,0.0741331,0.134050,0.255757,0.499879", \ "0.0190230,0.0313725,0.0452551,0.0741383,0.134100,0.255711,0.499762"); } } internal_power () { related_pin : "D"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.771780,7.543560,15.087100,30.174200,60.348500,120.697000"); values ("4.172933,4.299132,4.406953,4.578192,4.805086,5.031894,5.209131", \ "4.160245,4.278185,4.394553,4.541308,4.787525,5.029258,5.186063", \ "4.138327,4.245457,4.367809,4.539611,4.761019,5.002539,5.170724", \ "4.126686,4.243883,4.356352,4.518656,4.743284,4.984873,5.153842", \ "4.150215,4.250799,4.367129,4.529206,4.727323,4.988901,5.151810", \ "4.284040,4.376380,4.442871,4.576361,4.781877,5.012256,5.196309", \ "4.435552,4.490303,4.554556,4.676120,4.888024,5.118194,5.292783"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.771780,7.543560,15.087100,30.174200,60.348500,120.697000"); values ("2.938301,3.303901,3.493114,3.647615,3.637074,3.770930,3.840074", \ "2.918465,3.301546,3.477861,3.594293,3.725754,3.725412,3.795239", \ "2.910249,3.280553,3.440145,3.553452,3.603754,3.740621,3.814474", \ "2.898483,3.256916,3.453479,3.571219,3.571922,3.673382,3.753625", \ "2.925303,3.288553,3.454877,3.553825,3.512242,3.650321,3.733654", \ "3.036540,3.388699,3.552828,3.614759,3.567389,3.703682,3.786461", \ "3.181410,3.537229,3.711952,3.772850,3.763539,3.811537,3.758164"); } } internal_power () { related_pin : "G"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.771780,7.543560,15.087100,30.174200,60.348500,120.697000"); values ("4.922409,5.042849,5.171948,5.340217,5.567827,5.803121,5.953059", \ "4.895033,5.037574,5.152704,5.318069,5.556834,5.786200,5.940951", \ "4.848707,4.997162,5.105634,5.275014,5.513999,5.745461,5.902264", \ "4.856247,4.988238,5.106551,5.277477,5.504416,5.737496,5.895795", \ "4.922965,5.042529,5.159060,5.333520,5.558699,5.779017,5.950217", \ "5.079571,5.190549,5.305816,5.466779,5.690211,5.921981,6.085470", \ "5.329987,5.445241,5.564504,5.714663,5.943447,6.167031,6.322123"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.771780,7.543560,15.087100,30.174200,60.348500,120.697000"); values ("4.677546,5.022604,5.226624,5.355606,5.411333,5.501082,5.494208", \ "4.654606,5.027223,5.204493,5.340648,5.396882,5.424659,5.481678", \ "4.627602,5.000656,5.177730,5.317575,5.404011,5.455157,5.453979", \ "4.627705,5.000431,5.177021,5.299389,5.386850,5.414354,5.508631", \ "4.687529,5.071505,5.259010,5.390664,5.439980,5.439409,5.540460", \ "4.863436,5.228146,5.423691,5.533444,5.587054,5.657383,5.651682", \ "5.096073,5.482730,5.668011,5.765459,5.832165,5.808892,5.953490"); } } } } /****************************************************************************************** Module : DLL_X1 Cell Description : Low enable Latch with drive strength X1 *******************************************************************************************/ cell (DLL_X1) { drive_strength : 1; latch ("IQ" , "IQN") { data_in : "D"; enable : "!GN"; } area : 2.660000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 28.425963; leakage_power () { when : "!D & !GN & !Q"; value : 24.861690; } leakage_power () { when : "!D & GN & !Q"; value : 23.515692; } leakage_power () { when : "!D & GN & Q"; value : 29.148156; } leakage_power () { when : "D & !GN & Q"; value : 32.285151; } leakage_power () { when : "D & GN & !Q"; value : 30.553406; } leakage_power () { when : "D & GN & Q"; value : 30.191684; } pin (D) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 0.854603; fall_capacitance : 0.806854; rise_capacitance : 0.854603; timing () { related_pin : "GN"; timing_type : hold_rising; fall_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.000753,0.022802,-0.009537", \ "0.044146,0.061112,-0.002541", \ "0.302293,0.327769,0.304070"); } rise_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.005183,0.048660,0.088605", \ "0.086762,0.128845,0.169404", \ "0.281851,0.324895,0.353913"); } } timing () { related_pin : "GN"; timing_type : setup_rising; fall_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.162899,0.113665,0.068484", \ "0.216557,0.170299,0.127697", \ "0.379400,0.332905,0.292142"); } rise_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.064892,0.054855,0.167398", \ "0.111890,0.094782,0.169532", \ "0.198560,0.173087,0.196802"); } } internal_power () { when : "GN & !Q"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.413579,0.399249,0.391649,0.387430,0.385610,0.384577,0.383847"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.301015,-0.301699,-0.304174,-0.308242,-0.314020,-0.321790,-0.331825"); } } internal_power () { when : "GN & Q"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.357283,0.360131,0.361104,0.361031,0.360831,0.360809,0.361050"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.251383,-0.273407,-0.303871,-0.318037,-0.325591,-0.330109,-0.333437"); } } } pin (GN) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock : true; capacitance : 0.945655; fall_capacitance : 0.850234; rise_capacitance : 0.945655; timing () { related_pin : "GN"; timing_type : min_pulse_width; fall_constraint(Pulse_width_3) { index_1 ("0.00231025,0.112657,0.500000"); values ("0.199524,0.223869,0.500500"); } } internal_power () { when : "!D & !Q"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.530666,2.498915,2.475237,2.500472,2.602325,2.768579,3.003590"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.345967,1.324839,1.291206,1.296635,1.366417,1.510625,1.744252"); } } internal_power () { when : "D & Q"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.408826,2.376666,2.352978,2.383407,2.480133,2.645372,2.886743"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.371117,1.354912,1.321336,1.328934,1.398176,1.544909,1.780601"); } } } pin (Q) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 60.260810; function : "IQ"; timing () { related_pin : "D"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.195221,0.210601,0.224709,0.246081,0.277648,0.326269,0.406927", \ "0.199744,0.215115,0.229239,0.250604,0.282187,0.330799,0.411463", \ "0.217357,0.232748,0.246855,0.268220,0.299802,0.348417,0.429088", \ "0.247363,0.262735,0.276811,0.298093,0.329651,0.378289,0.458973", \ "0.292199,0.307482,0.321894,0.343064,0.374668,0.423341,0.504069", \ "0.349259,0.365430,0.380128,0.402802,0.435122,0.484272,0.565486", \ "0.414346,0.431513,0.446618,0.470993,0.505115,0.556181,0.638710"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.0783262,0.0941466,0.111735,0.144982,0.209670,0.337458,0.592175", \ "0.0831355,0.0989614,0.116548,0.149804,0.214498,0.342281,0.596977", \ "0.0977327,0.113536,0.131091,0.164308,0.228996,0.356808,0.611535", \ "0.123075,0.138975,0.156532,0.189648,0.254251,0.382070,0.636860", \ "0.152896,0.169437,0.187322,0.220444,0.284957,0.412725,0.667460", \ "0.182527,0.200122,0.218637,0.251981,0.316431,0.444035,0.698781", \ "0.208368,0.227600,0.246811,0.280817,0.345268,0.472640,0.727110"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.0310889,0.0361052,0.0411762,0.0495024,0.0638926,0.0917808,0.148195", \ "0.0310795,0.0361026,0.0411676,0.0495128,0.0638958,0.0917726,0.148185", \ "0.0310861,0.0361018,0.0411838,0.0495085,0.0638865,0.0917675,0.148190", \ "0.0310869,0.0361037,0.0411860,0.0495194,0.0639062,0.0917683,0.148197", \ "0.0312294,0.0362612,0.0413421,0.0496371,0.0639813,0.0918176,0.148189", \ "0.0347811,0.0395706,0.0443610,0.0521204,0.0657099,0.0928162,0.148701", \ "0.0392129,0.0441582,0.0490472,0.0567720,0.0701162,0.0966630,0.151276"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.0196174,0.0306946,0.0448777,0.0742823,0.134568,0.256079,0.499860", \ "0.0196208,0.0306930,0.0448699,0.0742860,0.134567,0.256104,0.499926", \ "0.0196062,0.0306909,0.0448721,0.0742927,0.134564,0.256079,0.499899", \ "0.0202385,0.0311050,0.0451188,0.0743715,0.134608,0.256054,0.499853", \ "0.0221162,0.0326551,0.0462745,0.0750086,0.134803,0.256160,0.499900", \ "0.0250964,0.0351578,0.0481793,0.0760914,0.135241,0.256327,0.500073", \ "0.0294878,0.0390718,0.0512832,0.0779280,0.135969,0.256737,0.500092"); } } timing () { related_pin : "GN"; timing_type : falling_edge; timing_sense : non_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.244332,0.259706,0.273785,0.295078,0.326543,0.375067,0.455545", \ "0.248573,0.263939,0.278022,0.299308,0.330785,0.379298,0.459795", \ "0.267076,0.282412,0.296502,0.317799,0.349284,0.397782,0.478295", \ "0.303647,0.319037,0.333128,0.354428,0.385858,0.434402,0.514926", \ "0.348285,0.363661,0.377760,0.399039,0.430564,0.479277,0.559678", \ "0.396771,0.412390,0.426393,0.447166,0.478772,0.527258,0.608378", \ "0.448054,0.463403,0.477457,0.499090,0.530297,0.578812,0.659889"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.140673,0.156536,0.174072,0.207185,0.271824,0.399591,0.654219", \ "0.144932,0.160779,0.178315,0.211442,0.276072,0.403838,0.658469", \ "0.163402,0.179248,0.196784,0.229908,0.294539,0.422303,0.677014", \ "0.200032,0.215880,0.233408,0.266505,0.331048,0.458812,0.713496", \ "0.248004,0.263920,0.281440,0.314693,0.379048,0.506821,0.761566", \ "0.300819,0.316854,0.334370,0.367289,0.431714,0.559604,0.814342", \ "0.357778,0.374052,0.391622,0.424636,0.488971,0.617054,0.871710"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.0310707,0.0360759,0.0411274,0.0494660,0.0639243,0.0917960,0.148212", \ "0.0310530,0.0360746,0.0411231,0.0494680,0.0639028,0.0918015,0.148195", \ "0.0310623,0.0360752,0.0411283,0.0494664,0.0639024,0.0918172,0.148197", \ "0.0310735,0.0360756,0.0411266,0.0494679,0.0639226,0.0918036,0.148195", \ "0.0310262,0.0360570,0.0411134,0.0494515,0.0639032,0.0918038,0.148209", \ "0.0309515,0.0359768,0.0410470,0.0494233,0.0638988,0.0917997,0.148202", \ "0.0308825,0.0359343,0.0410249,0.0493891,0.0638832,0.0917753,0.148152"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.0203498,0.0311541,0.0451402,0.0743760,0.134606,0.256060,0.499848", \ "0.0203549,0.0311594,0.0451387,0.0743629,0.134607,0.256054,0.499852", \ "0.0203389,0.0311530,0.0451392,0.0743755,0.134608,0.256105,0.499865", \ "0.0203833,0.0311845,0.0451593,0.0743855,0.134596,0.256099,0.499964", \ "0.0207667,0.0314579,0.0453275,0.0744535,0.134602,0.256053,0.499862", \ "0.0215488,0.0319237,0.0456199,0.0745807,0.134639,0.256043,0.499854", \ "0.0226638,0.0326421,0.0460658,0.0747933,0.134722,0.256042,0.499791"); } } internal_power () { related_pin : "D"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("2.629325,2.766651,2.877943,3.001463,3.165245,3.302574,3.386733", \ "2.620631,2.761358,2.862701,2.997083,3.134040,3.288426,3.371920", \ "2.602212,2.736622,2.844262,2.974994,3.127229,3.271917,3.357702", \ "2.591506,2.733839,2.840239,2.959369,3.113731,3.257014,3.345853", \ "2.612040,2.740331,2.842499,2.958975,3.106668,3.261550,3.349230", \ "2.664007,2.792404,2.890883,3.011464,3.141015,3.299534,3.385414", \ "2.730683,2.837783,2.920310,3.038443,3.202516,3.367548,3.459140"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("1.904184,2.128200,2.262406,2.343259,2.385531,2.444523,2.469893", \ "1.887919,2.111768,2.239695,2.340042,2.392822,2.427661,2.436976", \ "1.872791,2.095615,2.218428,2.316488,2.310313,2.382726,2.435277", \ "1.849216,2.069018,2.215425,2.271675,2.342345,2.351267,2.407160", \ "1.886087,2.091154,2.216613,2.288964,2.328674,2.301650,2.356756", \ "1.946004,2.155119,2.282515,2.331498,2.310240,2.385203,2.381177", \ "2.038934,2.263726,2.400898,2.445038,2.437418,2.466387,2.454538"); } } internal_power () { related_pin : "GN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("4.456531,4.603602,4.715119,4.842740,4.988424,5.126268,5.214695", \ "4.419766,4.569159,4.677237,4.806349,4.958333,5.092660,5.176390", \ "4.394949,4.537212,4.651756,4.783058,4.934297,5.069910,5.157307", \ "4.429256,4.572438,4.689160,4.817889,4.963343,5.107025,5.190670", \ "4.530226,4.671980,4.785707,4.910788,5.064067,5.204748,5.287415", \ "4.691489,4.848484,4.953291,5.075839,5.229154,5.362529,5.457868", \ "4.853821,5.022438,5.145962,5.295943,5.453816,5.611491,5.695488"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("4.366621,4.603014,4.749197,4.832405,4.867590,4.887222,4.903103", \ "4.326780,4.571206,4.710283,4.806372,4.826715,4.876051,4.892523", \ "4.307198,4.543868,4.689981,4.778078,4.827941,4.816572,4.861368", \ "4.341023,4.577536,4.709170,4.797072,4.830529,4.849725,4.859232", \ "4.423212,4.667462,4.798366,4.892440,4.930289,4.974460,4.994665", \ "4.519228,4.790593,4.954893,5.056679,5.089065,5.110745,5.167546", \ "4.687814,4.956509,5.140705,5.262249,5.319834,5.332931,5.354351"); } } } } /****************************************************************************************** Module : DLL_X2 Cell Description : Low enable Latch with drive strength X2 *******************************************************************************************/ cell (DLL_X2) { drive_strength : 2; latch ("IQ" , "IQN") { data_in : "D"; enable : "!GN"; } area : 2.926000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 40.260617; leakage_power () { when : "!D & !GN & !Q"; value : 34.714425; } leakage_power () { when : "!D & GN & !Q"; value : 32.680722; } leakage_power () { when : "!D & GN & Q"; value : 42.092191; } leakage_power () { when : "D & !GN & Q"; value : 46.618505; } leakage_power () { when : "D & GN & !Q"; value : 42.016638; } leakage_power () { when : "D & GN & Q"; value : 43.441220; } pin (D) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.099942; fall_capacitance : 1.038603; rise_capacitance : 1.099942; timing () { related_pin : "GN"; timing_type : hold_rising; fall_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.000873,0.026636,-0.020238", \ "0.051813,0.063098,-0.001979", \ "0.286775,0.311403,0.287956"); } rise_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.004494,0.048090,0.091354", \ "0.073069,0.123737,0.171732", \ "0.295386,0.340875,0.369632"); } } timing () { related_pin : "GN"; timing_type : setup_rising; fall_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.187324,0.136880,0.088267", \ "0.243955,0.194015,0.146653", \ "0.405053,0.358578,0.317319"); } rise_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.073441,0.061664,0.183614", \ "0.120818,0.105079,0.182605", \ "0.214078,0.189454,0.212916"); } } internal_power () { when : "GN & !Q"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.558738,0.537104,0.526431,0.521219,0.517926,0.516608,0.515875"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.426717,-0.427747,-0.431256,-0.437037,-0.445269,-0.456356,-0.470677"); } } internal_power () { when : "GN & Q"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.481336,0.484272,0.485082,0.485243,0.484971,0.485636,0.485467"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.338010,-0.369355,-0.407844,-0.427005,-0.437282,-0.443444,-0.447851"); } } } pin (GN) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock : true; capacitance : 0.941454; fall_capacitance : 0.845826; rise_capacitance : 0.941454; timing () { related_pin : "GN"; timing_type : min_pulse_width; fall_constraint(Pulse_width_3) { index_1 ("0.00231025,0.112657,0.500000"); values ("0.219673,0.240937,0.500500"); } } internal_power () { when : "!D & !Q"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.654920,2.625174,2.592930,2.629085,2.726411,2.893803,3.128996"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.280715,1.258989,1.221763,1.226377,1.298816,1.443159,1.672081"); } } internal_power () { when : "D & Q"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.488236,2.457200,2.432549,2.463627,2.558570,2.725780,2.966157"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.313381,1.298551,1.266009,1.271593,1.340576,1.485485,1.720919"); } } } pin (Q) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 120.544000; function : "IQ"; timing () { related_pin : "D"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.211543,0.231177,0.246476,0.269227,0.302380,0.352934,0.435649", \ "0.216151,0.235787,0.251091,0.273819,0.306988,0.357535,0.440313", \ "0.233856,0.253478,0.268782,0.291512,0.324702,0.375258,0.457995", \ "0.263779,0.283416,0.298653,0.321330,0.354504,0.405065,0.487848", \ "0.308711,0.328332,0.343654,0.366368,0.399553,0.450140,0.532967", \ "0.367950,0.388385,0.403788,0.427129,0.460682,0.511571,0.594645", \ "0.435398,0.456720,0.473326,0.497834,0.533213,0.585783,0.669997"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.0814613,0.100669,0.118790,0.152407,0.217233,0.345111,0.599953", \ "0.0862262,0.105438,0.123561,0.157181,0.222013,0.349897,0.604680", \ "0.100615,0.119814,0.137924,0.171511,0.236326,0.364221,0.618969", \ "0.126401,0.145663,0.163714,0.197219,0.261943,0.389845,0.644638", \ "0.157560,0.177661,0.196186,0.229687,0.294314,0.422093,0.676933", \ "0.189394,0.210780,0.229914,0.263709,0.328306,0.455913,0.710664", \ "0.218010,0.241323,0.261392,0.296065,0.360680,0.487951,0.742422"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.0363626,0.0422189,0.0472778,0.0555674,0.0700428,0.0980156,0.154220", \ "0.0363626,0.0422114,0.0472710,0.0555648,0.0700290,0.0980169,0.154209", \ "0.0363541,0.0422216,0.0472757,0.0555502,0.0700354,0.0980105,0.154206", \ "0.0363619,0.0422244,0.0472739,0.0555701,0.0700385,0.0980205,0.154203", \ "0.0363800,0.0422927,0.0473711,0.0556478,0.0701023,0.0980302,0.154194", \ "0.0397490,0.0451833,0.0498707,0.0575323,0.0712998,0.0987138,0.154573", \ "0.0447460,0.0503062,0.0550517,0.0626631,0.0760170,0.102509,0.156870"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.0185784,0.0309853,0.0449577,0.0739677,0.133956,0.255374,0.499249", \ "0.0185752,0.0309837,0.0449536,0.0739706,0.133963,0.255421,0.499281", \ "0.0185666,0.0309801,0.0449546,0.0739641,0.133957,0.255411,0.499166", \ "0.0191257,0.0313117,0.0451587,0.0740616,0.134004,0.255421,0.499157", \ "0.0211937,0.0330435,0.0464840,0.0747609,0.134193,0.255446,0.499323", \ "0.0244044,0.0357886,0.0486676,0.0761342,0.134832,0.255675,0.499327", \ "0.0291198,0.0400217,0.0521081,0.0782999,0.135694,0.256034,0.499423"); } } timing () { related_pin : "GN"; timing_type : falling_edge; timing_sense : non_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.260174,0.279765,0.295037,0.317674,0.350763,0.401151,0.483723", \ "0.264454,0.284029,0.299277,0.321916,0.355003,0.405406,0.487991", \ "0.282889,0.302497,0.317759,0.340437,0.373481,0.423929,0.506496", \ "0.319695,0.339310,0.354535,0.377213,0.410237,0.460679,0.543305", \ "0.364712,0.384374,0.399639,0.422320,0.455402,0.506033,0.588717", \ "0.413680,0.433576,0.448778,0.470879,0.504189,0.554492,0.637740", \ "0.465415,0.484988,0.500325,0.522982,0.556086,0.606646,0.689190"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.144800,0.164043,0.182105,0.215587,0.280315,0.408148,0.662863", \ "0.149073,0.168319,0.186378,0.219866,0.284598,0.412428,0.667149", \ "0.167546,0.186787,0.204853,0.238334,0.303062,0.430886,0.685677", \ "0.204215,0.223461,0.241524,0.274935,0.339631,0.467460,0.722289", \ "0.252816,0.272142,0.290412,0.323776,0.388365,0.516088,0.770895", \ "0.306527,0.325988,0.344046,0.377352,0.441837,0.569778,0.824520", \ "0.364590,0.384333,0.402438,0.436297,0.500242,0.628238,0.882531"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.0363330,0.0421972,0.0472250,0.0555232,0.0700403,0.0980324,0.154207", \ "0.0363370,0.0421950,0.0472253,0.0555247,0.0700508,0.0980362,0.154210", \ "0.0363458,0.0421923,0.0472240,0.0555212,0.0700488,0.0980301,0.154210", \ "0.0363518,0.0421987,0.0472232,0.0555215,0.0700414,0.0980324,0.154207", \ "0.0363451,0.0421823,0.0471980,0.0555078,0.0700475,0.0980228,0.154197", \ "0.0362498,0.0421234,0.0471865,0.0554873,0.0700374,0.0980265,0.154212", \ "0.0362203,0.0420849,0.0471480,0.0554607,0.0700176,0.0979730,0.154182"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.0193159,0.0314345,0.0452183,0.0740617,0.133966,0.255403,0.499143", \ "0.0193140,0.0314327,0.0452203,0.0740637,0.133966,0.255405,0.499145", \ "0.0193179,0.0314326,0.0452207,0.0740611,0.133956,0.255433,0.499217", \ "0.0193537,0.0314600,0.0452371,0.0740690,0.133993,0.255439,0.499224", \ "0.0197831,0.0317268,0.0453992,0.0741429,0.133980,0.255427,0.499219", \ "0.0205882,0.0322192,0.0457292,0.0742777,0.134031,0.255385,0.499169", \ "0.0217831,0.0329778,0.0462159,0.0745333,0.134108,0.255380,0.499146"); } } internal_power () { related_pin : "D"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("4.053309,4.163109,4.278304,4.437538,4.630091,4.872918,5.021940", \ "4.034809,4.149285,4.254442,4.419190,4.627390,4.856636,5.003780", \ "4.012487,4.127569,4.230539,4.392043,4.602019,4.832570,4.982212", \ "4.002336,4.118176,4.219794,4.374958,4.583670,4.814854,4.965557", \ "4.027824,4.134120,4.222494,4.370475,4.568957,4.818554,4.969284", \ "4.170951,4.245442,4.315790,4.436257,4.640234,4.846854,5.010926", \ "4.313733,4.354376,4.414400,4.523895,4.725856,4.953920,5.103440"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("2.923170,3.321483,3.499145,3.610916,3.709137,3.717662,3.809356", \ "2.902198,3.290846,3.479500,3.614735,3.690389,3.731720,3.761580", \ "2.889134,3.259424,3.462515,3.557104,3.667039,3.680888,3.774845", \ "2.880145,3.244485,3.430905,3.554299,3.602894,3.616908,3.711008", \ "2.922752,3.258527,3.437955,3.518852,3.593993,3.608805,3.708658", \ "3.027543,3.357718,3.516773,3.580058,3.535794,3.684215,3.658521", \ "3.171397,3.533685,3.687359,3.768206,3.763534,3.687972,3.795277"); } } internal_power () { related_pin : "GN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("5.723271,5.854061,5.955116,6.116984,6.326921,6.549548,6.696394", \ "5.701025,5.811845,5.928819,6.074827,6.296231,6.516871,6.658554", \ "5.668476,5.787453,5.904699,6.064290,6.265059,6.497967,6.643775", \ "5.702178,5.821220,5.939681,6.096386,6.314859,6.530079,6.677923", \ "5.796020,5.916484,6.041149,6.195767,6.401318,6.633674,6.778564", \ "5.971011,6.088793,6.210596,6.353549,6.576512,6.795119,6.951053", \ "6.150952,6.284892,6.413018,6.576733,6.817962,7.042462,7.180520"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("5.511956,5.893395,6.073053,6.199173,6.287496,6.256074,6.417275", \ "5.482295,5.847108,6.029558,6.152997,6.254304,6.301397,6.292434", \ "5.454999,5.832154,6.014253,6.144563,6.172722,6.199452,6.286110", \ "5.475300,5.867172,6.048109,6.158607,6.242902,6.208236,6.365906", \ "5.573571,5.942070,6.119399,6.239474,6.291049,6.319134,6.380945", \ "5.685807,6.091787,6.295511,6.411002,6.458655,6.486760,6.528268", \ "5.867414,6.277419,6.488689,6.621535,6.682816,6.760179,6.775398"); } } } } /****************************************************************************************** Module : FA_X1 Cell Description : Combinational cell (FA_X1) with drive strength X1 *******************************************************************************************/ cell (FA_X1) { drive_strength : 1; area : 4.256000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 46.586748; leakage_power () { when : "!A & !B & !CI"; value : 32.894890; } leakage_power () { when : "!A & !B & CI"; value : 40.882841; } leakage_power () { when : "!A & B & !CI"; value : 47.960911; } leakage_power () { when : "!A & B & CI"; value : 46.061975; } leakage_power () { when : "A & !B & !CI"; value : 43.700123; } leakage_power () { when : "A & !B & CI"; value : 49.445989; } leakage_power () { when : "A & B & !CI"; value : 55.557710; } leakage_power () { when : "A & B & CI"; value : 56.189545; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.700986; fall_capacitance : 3.539237; rise_capacitance : 3.700986; } pin (B) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.473161; fall_capacitance : 3.356388; rise_capacitance : 3.473161; } pin (CI) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 2.739305; fall_capacitance : 2.631900; rise_capacitance : 2.739305; } pin (CO) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 60.119600; function : "((A & B) | (CI & (A | B)))"; timing () { related_pin : "A"; when : "!B & CI"; sdf_cond : "(B == 1'b0) && (CI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.255489,0.274530,0.291984,0.318328,0.357107,0.415252,0.505561", \ "0.257397,0.276435,0.293897,0.320198,0.358946,0.417031,0.507293", \ "0.268316,0.287306,0.304711,0.331015,0.369716,0.427747,0.517954", \ "0.297356,0.316073,0.333492,0.359723,0.398389,0.456391,0.546548", \ "0.348781,0.367522,0.384849,0.411024,0.449660,0.507627,0.597764", \ "0.423965,0.442902,0.460321,0.486578,0.525262,0.583270,0.673427", \ "0.510626,0.531888,0.551088,0.579439,0.620054,0.679366,0.770065"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.089284,0.106695,0.125334,0.159408,0.224378,0.352591,0.607092", \ "0.094877,0.112298,0.130932,0.165019,0.230002,0.358232,0.612728", \ "0.110720,0.128115,0.146729,0.180777,0.245746,0.374007,0.628538", \ "0.137925,0.155224,0.173826,0.207787,0.272668,0.400913,0.655492", \ "0.170210,0.187848,0.207057,0.241664,0.306796,0.434931,0.689504", \ "0.203562,0.222155,0.242119,0.277420,0.342962,0.471515,0.725928", \ "0.233820,0.254201,0.275303,0.311852,0.377909,0.506362,0.761171"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.038970,0.045125,0.051088,0.061011,0.077802,0.107240,0.161593", \ "0.038922,0.045058,0.051013,0.060919,0.077693,0.107144,0.161504", \ "0.038843,0.045009,0.050946,0.060843,0.077596,0.107047,0.161394", \ "0.038836,0.044951,0.050911,0.060816,0.077547,0.106980,0.161304", \ "0.038832,0.044931,0.050929,0.060837,0.077557,0.106965,0.161260", \ "0.040340,0.046080,0.051821,0.061459,0.077967,0.107188,0.161352", \ "0.048261,0.054105,0.059276,0.067833,0.082651,0.109858,0.162549"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.022401,0.033382,0.047122,0.075572,0.135081,0.256425,0.498636", \ "0.022398,0.033382,0.047102,0.075560,0.135081,0.256423,0.498635", \ "0.022339,0.033346,0.047082,0.075554,0.135080,0.256421,0.498635", \ "0.022895,0.033746,0.047361,0.075674,0.135098,0.256414,0.498632", \ "0.024594,0.035811,0.049275,0.077112,0.135602,0.256434,0.498623", \ "0.027346,0.038463,0.051780,0.078875,0.136944,0.257068,0.498618", \ "0.031772,0.042420,0.055427,0.081707,0.138151,0.258007,0.499167"); } } timing () { related_pin : "A"; when : "B & !CI"; sdf_cond : "(B == 1'b1) && (CI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.235441,0.254005,0.271536,0.298839,0.340386,0.403112,0.498583", \ "0.239611,0.258185,0.275719,0.303021,0.344551,0.407270,0.502733", \ "0.255699,0.274225,0.291746,0.318999,0.360482,0.423161,0.518609", \ "0.286827,0.304956,0.322401,0.349544,0.390901,0.453488,0.548893", \ "0.333541,0.351919,0.369226,0.396173,0.437260,0.499668,0.594984", \ "0.395527,0.414394,0.431900,0.458921,0.499988,0.562370,0.657699", \ "0.464046,0.484070,0.502427,0.530398,0.572792,0.637800,0.735097"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.096609,0.113681,0.132150,0.166240,0.231622,0.360242,0.615346", \ "0.101288,0.118351,0.136806,0.170875,0.236239,0.364830,0.619910", \ "0.117958,0.135010,0.153432,0.187444,0.252728,0.381269,0.636327", \ "0.150651,0.167346,0.185646,0.219487,0.284588,0.413031,0.668095", \ "0.190458,0.207966,0.226566,0.260549,0.325434,0.453614,0.708555", \ "0.227309,0.246737,0.266267,0.300707,0.365693,0.493784,0.748459", \ "0.258493,0.280561,0.301795,0.337408,0.402459,0.530280,0.784935"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.036771,0.043623,0.050756,0.062986,0.082934,0.114378,0.168959", \ "0.036778,0.043596,0.050724,0.062955,0.082910,0.114365,0.168952", \ "0.036740,0.043559,0.050666,0.062861,0.082813,0.114303,0.168927", \ "0.036625,0.043414,0.050529,0.062655,0.082603,0.114163,0.168856", \ "0.036428,0.043158,0.050219,0.062299,0.082234,0.113909,0.168725", \ "0.038838,0.045151,0.051632,0.063024,0.082546,0.114066,0.168805", \ "0.043104,0.049400,0.055582,0.066610,0.086767,0.118831,0.171656"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.022242,0.033242,0.047134,0.075960,0.135812,0.257040,0.499513", \ "0.022226,0.033217,0.047115,0.075915,0.135747,0.256987,0.499483", \ "0.022183,0.033170,0.047080,0.075859,0.135676,0.256899,0.499413", \ "0.022344,0.033249,0.047084,0.075831,0.135632,0.256834,0.499336", \ "0.025400,0.035519,0.048674,0.076659,0.135762,0.256801,0.499289", \ "0.030227,0.039636,0.051707,0.078382,0.136736,0.257133,0.499287", \ "0.036198,0.045655,0.056729,0.081359,0.137751,0.257861,0.499634"); } } timing () { related_pin : "B"; when : "!A & CI"; sdf_cond : "(A == 1'b0) && (CI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.258321,0.277371,0.294778,0.321119,0.359888,0.418010,0.508280", \ "0.262150,0.281146,0.298575,0.324856,0.363592,0.421649,0.511837", \ "0.279512,0.298518,0.315911,0.342177,0.380875,0.438905,0.529056", \ "0.309521,0.328189,0.345603,0.371857,0.410545,0.468568,0.558694", \ "0.354518,0.373575,0.390945,0.417171,0.455829,0.513843,0.603954", \ "0.416730,0.435899,0.453347,0.479675,0.518436,0.576499,0.666648", \ "0.489389,0.509879,0.528513,0.556334,0.596673,0.656054,0.746843"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.094690,0.111534,0.129804,0.163587,0.228513,0.356951,0.611771", \ "0.099804,0.116662,0.134941,0.168724,0.233666,0.362103,0.616929", \ "0.115498,0.132336,0.150603,0.184354,0.249281,0.377732,0.632581", \ "0.143432,0.160184,0.178404,0.212052,0.276876,0.405310,0.660179", \ "0.178612,0.195469,0.213919,0.247809,0.312605,0.440924,0.695777", \ "0.215283,0.233314,0.252511,0.286944,0.351880,0.480130,0.734863", \ "0.249627,0.269512,0.289780,0.325086,0.390323,0.518456,0.773092"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.038945,0.045106,0.051061,0.060976,0.077783,0.107201,0.161531", \ "0.038867,0.045032,0.050953,0.060864,0.077665,0.107073,0.161388", \ "0.038875,0.044967,0.050922,0.060838,0.077615,0.107012,0.161313", \ "0.038820,0.044981,0.050905,0.060828,0.077599,0.106980,0.161271", \ "0.038847,0.044941,0.050912,0.060820,0.077614,0.106982,0.161262", \ "0.040161,0.046055,0.051766,0.061414,0.077985,0.107181,0.161346", \ "0.044608,0.050748,0.056439,0.065781,0.081606,0.109551,0.162485"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.021786,0.032734,0.046554,0.075318,0.135178,0.256769,0.498992", \ "0.021785,0.032744,0.046553,0.075307,0.135178,0.256769,0.498993", \ "0.021785,0.032732,0.046554,0.075307,0.135179,0.256771,0.498995", \ "0.022067,0.032948,0.046706,0.075371,0.135192,0.256758,0.498984", \ "0.023953,0.034573,0.047961,0.076063,0.135406,0.256782,0.498976", \ "0.026789,0.037139,0.050098,0.077464,0.136074,0.256987,0.499005", \ "0.030870,0.040995,0.053382,0.079660,0.137038,0.257431,0.499181"); } } timing () { related_pin : "B"; when : "A & !CI"; sdf_cond : "(A == 1'b1) && (CI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.255600,0.274131,0.291176,0.316890,0.355135,0.413338,0.503938", \ "0.260131,0.278711,0.295712,0.321442,0.359690,0.417891,0.508507", \ "0.278151,0.296711,0.313720,0.339452,0.377702,0.435920,0.526548", \ "0.310192,0.328445,0.345437,0.371163,0.409410,0.467643,0.558272", \ "0.356409,0.374803,0.391834,0.417531,0.455765,0.513983,0.604604", \ "0.418552,0.437330,0.454488,0.480289,0.518647,0.576953,0.667590", \ "0.489719,0.509870,0.528164,0.555541,0.595658,0.655482,0.746877"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.095868,0.112927,0.131392,0.165486,0.230851,0.359486,0.614565", \ "0.100722,0.117787,0.136233,0.170291,0.235630,0.364224,0.619265", \ "0.115933,0.132986,0.151416,0.185428,0.250713,0.379258,0.634254", \ "0.143764,0.160761,0.179113,0.213015,0.278166,0.406632,0.661622", \ "0.179279,0.196200,0.214795,0.248854,0.313931,0.442289,0.697253", \ "0.216726,0.234776,0.253986,0.288502,0.353667,0.481976,0.736820", \ "0.252339,0.272069,0.292328,0.327624,0.393005,0.521123,0.775844"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.037595,0.043589,0.049480,0.059431,0.076892,0.107281,0.161745", \ "0.037606,0.043593,0.049464,0.059417,0.076897,0.107297,0.161765", \ "0.037616,0.043584,0.049454,0.059432,0.076889,0.107309,0.161778", \ "0.037608,0.043594,0.049473,0.059449,0.076901,0.107313,0.161782", \ "0.037584,0.043582,0.049502,0.059462,0.076924,0.107319,0.161770", \ "0.039006,0.044817,0.050471,0.060140,0.077351,0.107536,0.161859", \ "0.043451,0.049503,0.055159,0.064673,0.081292,0.110219,0.163152"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.022235,0.033242,0.047159,0.075956,0.135811,0.257044,0.499480", \ "0.022215,0.033215,0.047088,0.075898,0.135745,0.256975,0.499429", \ "0.022186,0.033179,0.047066,0.075851,0.135684,0.256896,0.499342", \ "0.022375,0.033283,0.047135,0.075880,0.135651,0.256853,0.499294", \ "0.024192,0.034819,0.048250,0.076459,0.135797,0.256864,0.499280", \ "0.026855,0.037221,0.050260,0.077761,0.136411,0.257042,0.499298", \ "0.030739,0.040816,0.053282,0.079763,0.137280,0.257455,0.499464"); } } timing () { related_pin : "CI"; when : "!A & B"; sdf_cond : "(A == 1'b0) && (B == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.211896,0.230833,0.248836,0.277298,0.321483,0.386639,0.483213", \ "0.214682,0.233623,0.251641,0.280111,0.324295,0.389463,0.486040", \ "0.227155,0.246066,0.264072,0.292537,0.336722,0.401885,0.498460", \ "0.257929,0.276586,0.294560,0.323027,0.367177,0.432348,0.528924", \ "0.310751,0.329355,0.347245,0.375607,0.419760,0.484939,0.581522", \ "0.383842,0.403439,0.421787,0.450491,0.494920,0.560390,0.657106", \ "0.463227,0.485275,0.505779,0.537403,0.585068,0.653153,0.750979"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.089864,0.106718,0.124998,0.158776,0.223718,0.352151,0.606969", \ "0.094597,0.111457,0.129747,0.163528,0.228461,0.356903,0.611724", \ "0.111406,0.128276,0.146523,0.180234,0.245137,0.373587,0.628443", \ "0.143772,0.160257,0.178425,0.212016,0.276748,0.405138,0.660042", \ "0.181198,0.198625,0.217139,0.250944,0.315517,0.443611,0.698375", \ "0.215202,0.234732,0.254285,0.288611,0.353273,0.481301,0.735742", \ "0.243276,0.265528,0.286951,0.322621,0.387479,0.515165,0.769547"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.037307,0.044576,0.052366,0.066227,0.087651,0.117725,0.170528", \ "0.037308,0.044560,0.052374,0.066223,0.087655,0.117722,0.170529", \ "0.037303,0.044554,0.052372,0.066228,0.087647,0.117727,0.170519", \ "0.037298,0.044558,0.052390,0.066239,0.087664,0.117732,0.170521", \ "0.037262,0.044631,0.052483,0.066351,0.087762,0.117777,0.170531", \ "0.041541,0.048099,0.055109,0.068052,0.088943,0.118497,0.170867", \ "0.049857,0.056990,0.064101,0.076727,0.096419,0.123200,0.173016"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.021776,0.032726,0.046568,0.075291,0.135171,0.256766,0.498994", \ "0.021787,0.032736,0.046554,0.075303,0.135179,0.256765,0.498994", \ "0.021767,0.032724,0.046543,0.075293,0.135172,0.256764,0.498993", \ "0.022162,0.032966,0.046716,0.075336,0.135174,0.256742,0.498970", \ "0.025520,0.035521,0.048518,0.076351,0.135391,0.256714,0.498945", \ "0.030647,0.039921,0.051792,0.078189,0.136445,0.257042,0.498922", \ "0.036827,0.046262,0.057219,0.081497,0.137612,0.257739,0.499220"); } } timing () { related_pin : "CI"; when : "A & !B"; sdf_cond : "(A == 1'b1) && (B == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.229935,0.248480,0.265527,0.291245,0.329492,0.387687,0.478292", \ "0.232961,0.251514,0.268548,0.294285,0.332539,0.390742,0.481340", \ "0.245535,0.264109,0.281098,0.306835,0.345084,0.403294,0.493893", \ "0.275918,0.294208,0.311213,0.336921,0.375163,0.433367,0.523973", \ "0.328125,0.346411,0.363337,0.388998,0.427220,0.485431,0.576036", \ "0.402702,0.421440,0.438586,0.464392,0.502752,0.561068,0.651709", \ "0.485713,0.506864,0.525974,0.554210,0.594931,0.655026,0.746370"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.085944,0.103567,0.122266,0.156364,0.221323,0.349522,0.604006", \ "0.090665,0.108292,0.126990,0.161085,0.226052,0.354259,0.608745", \ "0.107484,0.125085,0.143756,0.177768,0.242695,0.370922,0.625453", \ "0.139083,0.156431,0.174997,0.208891,0.273667,0.401835,0.656410", \ "0.174219,0.192833,0.212064,0.246400,0.311127,0.438992,0.693425", \ "0.205640,0.226877,0.247571,0.282817,0.347768,0.475584,0.729641", \ "0.231088,0.255373,0.278397,0.315588,0.381136,0.508593,0.762588"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.037599,0.043586,0.049445,0.059410,0.076878,0.107276,0.161741", \ "0.037597,0.043583,0.049449,0.059405,0.076879,0.107272,0.161743", \ "0.037599,0.043572,0.049439,0.059429,0.076881,0.107274,0.161743", \ "0.037603,0.043591,0.049470,0.059442,0.076901,0.107281,0.161745", \ "0.037545,0.043604,0.049525,0.059506,0.076954,0.107307,0.161748", \ "0.040194,0.045629,0.050977,0.060523,0.077608,0.107648,0.161886", \ "0.048221,0.053995,0.059095,0.067743,0.083311,0.111131,0.163419"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.022892,0.033679,0.047283,0.075630,0.135086,0.256402,0.498619", \ "0.022898,0.033667,0.047299,0.075638,0.135083,0.256407,0.498624", \ "0.022900,0.033680,0.047318,0.075656,0.135088,0.256397,0.498618", \ "0.023813,0.034228,0.047644,0.075823,0.135131,0.256375,0.498593", \ "0.028143,0.037633,0.050135,0.077238,0.135531,0.256370,0.498576", \ "0.034449,0.043222,0.054506,0.079809,0.136837,0.256788,0.498562", \ "0.041717,0.050847,0.061312,0.084292,0.138540,0.257573,0.498912"); } } internal_power () { related_pin : "A"; when : "!B & CI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("3.440302,3.501662,3.538139,3.598310,3.668335,3.695921,3.722309", \ "3.432495,3.475803,3.493107,3.559081,3.630286,3.692208,3.718329", \ "3.403035,3.460239,3.477482,3.528306,3.601624,3.660541,3.686133", \ "3.392088,3.446484,3.463224,3.524916,3.593992,3.619986,3.645207", \ "3.410601,3.456842,3.491563,3.555271,3.621028,3.645825,3.670713", \ "3.492500,3.531631,3.561116,3.619107,3.678684,3.699111,3.722181", \ "3.639105,3.675988,3.679159,3.727672,3.746472,3.796833,3.816844"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("1.408554,1.459082,1.509302,1.588484,1.707259,1.862079,1.868294", \ "1.406359,1.457444,1.512418,1.585789,1.706726,1.848809,1.889277", \ "1.392169,1.441254,1.497127,1.571319,1.691961,1.836743,1.874385", \ "1.374781,1.421930,1.471488,1.546727,1.650758,1.824938,1.834346", \ "1.380933,1.421661,1.464771,1.529490,1.646581,1.798606,1.809365", \ "1.415085,1.438775,1.483194,1.536134,1.657466,1.798595,1.809611", \ "1.546262,1.565269,1.595897,1.638726,1.718233,1.824345,1.868277"); } } internal_power () { related_pin : "A"; when : "B & !CI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("3.403962,3.468950,3.506295,3.599056,3.693980,3.735532,3.756439", \ "3.390073,3.455035,3.511165,3.585303,3.680751,3.722276,3.743271", \ "3.372048,3.432190,3.470516,3.559169,3.654344,3.696266,3.717106", \ "3.358664,3.417887,3.463306,3.537661,3.632839,3.674914,3.696226", \ "3.357536,3.409279,3.452766,3.547305,3.604621,3.682201,3.704137", \ "3.384326,3.449842,3.490180,3.554639,3.644971,3.693937,3.706726", \ "3.444022,3.488856,3.532321,3.599425,3.656474,3.734123,3.755860"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("1.536588,1.589584,1.650340,1.736321,1.869035,2.096713,2.112048", \ "1.527216,1.578676,1.639544,1.730447,1.874755,2.084872,2.100794", \ "1.510306,1.561970,1.620111,1.711770,1.831468,2.060454,2.077560", \ "1.500238,1.548900,1.604934,1.688477,1.828134,2.021116,2.073977", \ "1.522692,1.570344,1.615815,1.694550,1.816891,2.033108,2.068489", \ "1.580634,1.616800,1.659316,1.727028,1.859201,2.061202,2.077705", \ "1.732338,1.755962,1.778698,1.830749,1.929645,2.098076,2.146497"); } } internal_power () { related_pin : "B"; when : "!A & CI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("3.514230,3.575858,3.606998,3.657089,3.728376,3.755905,3.781834", \ "3.502727,3.557012,3.574368,3.644755,3.715223,3.741969,3.767300", \ "3.493814,3.544057,3.577266,3.626890,3.691325,3.752009,3.776721", \ "3.488414,3.545088,3.580922,3.614474,3.684545,3.744916,3.769627", \ "3.491232,3.549191,3.573727,3.637450,3.704769,3.730375,3.755147", \ "3.525552,3.571708,3.605347,3.647033,3.711350,3.734802,3.759373", \ "3.588504,3.639138,3.658380,3.706513,3.768067,3.789178,3.812790"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("1.610183,1.658358,1.711189,1.788810,1.851568,2.075157,2.094133", \ "1.597929,1.647506,1.701389,1.778802,1.854191,2.066374,2.109656", \ "1.580167,1.628684,1.680926,1.757811,1.832327,2.035739,2.090806", \ "1.551793,1.594659,1.650461,1.722118,1.806386,2.016790,2.039103", \ "1.550163,1.590109,1.629749,1.704786,1.791000,1.975308,2.032766", \ "1.568555,1.603505,1.643014,1.713726,1.804207,1.987073,2.010388", \ "1.686542,1.709853,1.739118,1.778800,1.851654,2.015090,2.038047"); } } internal_power () { related_pin : "B"; when : "A & !CI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("3.661689,3.703801,3.752781,3.821297,3.895997,3.926540,3.953731", \ "3.649059,3.702873,3.752591,3.800583,3.876064,3.940916,3.968216", \ "3.634377,3.695577,3.733924,3.808904,3.882757,3.926893,3.940528", \ "3.628041,3.680522,3.737607,3.786729,3.861305,3.892148,3.919805", \ "3.627526,3.682388,3.716528,3.796554,3.869456,3.899756,3.927970", \ "3.649363,3.704475,3.730778,3.795516,3.865733,3.896301,3.945501", \ "3.697886,3.738662,3.788772,3.842268,3.879296,3.941524,3.969056"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("1.514250,1.566266,1.629127,1.711175,1.857481,2.073509,2.090409", \ "1.497441,1.551440,1.608878,1.696215,1.842376,2.050077,2.066633", \ "1.481713,1.536512,1.593329,1.675960,1.806868,2.031617,2.047757", \ "1.473044,1.517735,1.574795,1.657972,1.805892,2.008534,2.010379", \ "1.476642,1.520558,1.570178,1.649695,1.775996,1.996295,2.013527", \ "1.515719,1.551337,1.597658,1.665990,1.800030,1.989240,2.029817", \ "1.633547,1.660361,1.698186,1.749632,1.860093,2.009043,2.059385"); } } internal_power () { related_pin : "CI"; when : "!A & B"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("3.068142,3.142747,3.190440,3.253577,3.349265,3.427376,3.446747", \ "3.059576,3.115381,3.183175,3.247743,3.347974,3.391330,3.410779", \ "3.041607,3.095079,3.162627,3.213391,3.314662,3.393095,3.412540", \ "3.041900,3.095152,3.161591,3.204637,3.305845,3.384829,3.405073", \ "3.065807,3.120875,3.183373,3.230497,3.328602,3.406853,3.428036", \ "3.144764,3.191640,3.248105,3.305914,3.403143,3.437510,3.457161", \ "3.278599,3.325620,3.360219,3.409923,3.464627,3.539061,3.556620"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("1.890406,1.936696,1.990053,2.063978,2.153659,2.353438,2.374611", \ "1.891157,1.940571,1.993455,2.070604,2.147991,2.356409,2.406118", \ "1.889362,1.933259,1.987587,2.063374,2.131885,2.341241,2.392605", \ "1.875848,1.919155,1.966307,2.033195,2.108500,2.329394,2.353182", \ "1.897169,1.933606,1.975998,2.037588,2.132133,2.319228,2.342116", \ "1.940249,1.968499,2.005339,2.065281,2.152221,2.329600,2.351950", \ "2.049413,2.073171,2.102599,2.143628,2.215104,2.382569,2.406575"); } } internal_power () { related_pin : "CI"; when : "A & !B"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("3.241051,3.289355,3.347174,3.407967,3.482177,3.512257,3.538868", \ "3.232025,3.281618,3.339553,3.406516,3.480814,3.510624,3.537272", \ "3.214651,3.262692,3.320308,3.374908,3.448713,3.479182,3.505941", \ "3.217074,3.265422,3.304164,3.387567,3.442252,3.472831,3.500235", \ "3.234475,3.293231,3.330216,3.395081,3.466700,3.496750,3.524877", \ "3.308901,3.352832,3.403319,3.460017,3.489910,3.551204,3.578053", \ "3.447977,3.471447,3.513205,3.544999,3.607143,3.630267,3.655112"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("1.668161,1.717649,1.774392,1.849035,1.965486,2.106201,2.147075", \ "1.668467,1.721269,1.774751,1.851108,1.971750,2.136889,2.143615", \ "1.659518,1.711069,1.762818,1.836382,1.961138,2.115577,2.123501", \ "1.647326,1.688345,1.737244,1.803815,1.931567,2.074651,2.084316", \ "1.675736,1.706080,1.751194,1.804855,1.939361,2.074218,2.083907", \ "1.740653,1.761036,1.797568,1.846029,1.970232,2.104985,2.106585", \ "1.891466,1.900348,1.925504,1.955856,2.066381,2.168993,2.176912"); } } } pin (S) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 60.159720; function : "(CI ^ (A ^ B))"; timing () { related_pin : "A"; when : "!B & !CI"; sdf_cond : "(B == 1'b0) && (CI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.355412,0.377016,0.396345,0.424744,0.465691,0.526155,0.620498", \ "0.358790,0.380401,0.399771,0.428131,0.469069,0.529532,0.623841", \ "0.375008,0.396616,0.415996,0.444336,0.485269,0.545726,0.640044", \ "0.403371,0.424965,0.444040,0.472292,0.513210,0.573666,0.667974", \ "0.442518,0.464102,0.483297,0.511291,0.552200,0.612657,0.706960", \ "0.493499,0.515044,0.534403,0.562744,0.603636,0.664095,0.758428", \ "0.554513,0.576729,0.596687,0.625749,0.667280,0.728154,0.822759"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.080530,0.097555,0.115841,0.149715,0.214837,0.343134,0.598104", \ "0.086003,0.103024,0.121310,0.155180,0.220302,0.348599,0.603557", \ "0.102903,0.119903,0.138154,0.171977,0.237088,0.365399,0.620397", \ "0.131592,0.148524,0.166489,0.200114,0.265095,0.393382,0.648415", \ "0.165679,0.183286,0.201397,0.234672,0.299510,0.427692,0.682746", \ "0.200295,0.219007,0.237781,0.271321,0.336107,0.464099,0.719128", \ "0.231627,0.252035,0.271850,0.306238,0.371040,0.498821,0.753606"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.047991,0.054038,0.059702,0.069004,0.085225,0.114928,0.171688", \ "0.047993,0.054017,0.059703,0.068999,0.085209,0.114896,0.171637", \ "0.047992,0.054013,0.059694,0.068989,0.085203,0.114887,0.171627", \ "0.047969,0.054022,0.059685,0.068993,0.085190,0.114893,0.171613", \ "0.047960,0.054023,0.059689,0.068995,0.085191,0.114890,0.171618", \ "0.047996,0.054066,0.059750,0.069082,0.085278,0.114960,0.171656", \ "0.051086,0.056957,0.062442,0.071332,0.086916,0.115963,0.172255"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.019419,0.030588,0.044613,0.073673,0.133679,0.255167,0.498641", \ "0.019410,0.030577,0.044601,0.073651,0.133682,0.255177,0.498644", \ "0.019402,0.030566,0.044591,0.073642,0.133667,0.255161,0.498644", \ "0.019738,0.030704,0.044626,0.073626,0.133646,0.255153,0.498637", \ "0.021508,0.032171,0.045691,0.074120,0.133724,0.255176,0.498651", \ "0.024212,0.034524,0.047528,0.075189,0.134131,0.255239,0.498701", \ "0.028173,0.038195,0.050515,0.076978,0.134811,0.255540,0.498790"); } } timing () { related_pin : "A"; when : "!B & CI"; sdf_cond : "(B == 1'b0) && (CI == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.253515,0.269524,0.283714,0.304746,0.336291,0.385819,0.468132", \ "0.259447,0.275445,0.289660,0.310684,0.342222,0.391756,0.474073", \ "0.275129,0.291141,0.305346,0.326379,0.357912,0.407446,0.489769", \ "0.301277,0.317034,0.331207,0.352208,0.383731,0.433248,0.515548", \ "0.334788,0.349853,0.363844,0.384737,0.416178,0.465681,0.547986", \ "0.370729,0.385447,0.399125,0.419736,0.450973,0.500350,0.582599", \ "0.405637,0.420117,0.433501,0.453782,0.484717,0.533827,0.615837"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.388956,0.405245,0.420809,0.449184,0.507949,0.631576,0.883804", \ "0.391084,0.407454,0.423091,0.451452,0.510218,0.633837,0.886058", \ "0.402010,0.418378,0.433991,0.462386,0.521138,0.644764,0.896994", \ "0.430991,0.447110,0.462746,0.491113,0.549871,0.673492,0.925717", \ "0.482252,0.498399,0.513987,0.542368,0.601129,0.724721,0.976947", \ "0.557495,0.573741,0.589313,0.617682,0.676411,0.799944,1.052125", \ "0.652919,0.668980,0.684165,0.711883,0.769859,0.892810,1.144647"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.030943,0.036032,0.041111,0.049757,0.065139,0.093928,0.150659", \ "0.030948,0.036042,0.041100,0.049760,0.065158,0.093942,0.150656", \ "0.030951,0.036047,0.041093,0.049760,0.065161,0.093943,0.150667", \ "0.030955,0.036047,0.041120,0.049768,0.065162,0.093945,0.150668", \ "0.030982,0.036064,0.041128,0.049784,0.065170,0.093955,0.150673", \ "0.030987,0.036060,0.041087,0.049746,0.065159,0.093949,0.150686", \ "0.031168,0.036218,0.041271,0.049880,0.065168,0.093835,0.150433"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.026916,0.036380,0.048654,0.075231,0.133372,0.254307,0.497584", \ "0.027097,0.036453,0.048657,0.075222,0.133376,0.254327,0.497601", \ "0.027164,0.036467,0.048665,0.075225,0.133378,0.254321,0.497611", \ "0.027182,0.036480,0.048663,0.075219,0.133365,0.254332,0.497622", \ "0.027219,0.036501,0.048691,0.075250,0.133376,0.254328,0.497620", \ "0.027329,0.036603,0.048765,0.075268,0.133386,0.254331,0.497608", \ "0.028266,0.037415,0.049382,0.075588,0.133475,0.254358,0.497629"); } } timing () { related_pin : "A"; when : "B & !CI"; sdf_cond : "(B == 1'b1) && (CI == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.242163,0.258522,0.273681,0.297530,0.334677,0.390686,0.478994", \ "0.246949,0.263352,0.278549,0.302514,0.339941,0.396235,0.484712", \ "0.263427,0.279852,0.295085,0.319105,0.356757,0.413283,0.501903", \ "0.294644,0.310765,0.325979,0.350019,0.387737,0.444325,0.532991", \ "0.334854,0.350537,0.365491,0.389367,0.426982,0.483555,0.572192", \ "0.375346,0.390477,0.405007,0.428448,0.465801,0.522199,0.610748", \ "0.413302,0.427685,0.441669,0.464556,0.501441,0.557541,0.645780"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.380007,0.395629,0.410988,0.439116,0.497879,0.621710,0.874177", \ "0.384232,0.399860,0.415221,0.443368,0.502141,0.625959,0.878439", \ "0.400266,0.415860,0.431215,0.459363,0.518128,0.641977,0.894454", \ "0.431148,0.446329,0.461693,0.489819,0.548598,0.672441,0.924934", \ "0.477165,0.492695,0.508018,0.536164,0.594945,0.718791,0.971283", \ "0.539847,0.555241,0.570433,0.598492,0.657212,0.780978,1.033425", \ "0.614008,0.629197,0.644047,0.671550,0.729613,0.852909,1.105066"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.030620,0.037064,0.044112,0.056411,0.074878,0.103729,0.159020", \ "0.030657,0.037127,0.044237,0.056713,0.075391,0.104142,0.159251", \ "0.030658,0.037174,0.044338,0.056934,0.075796,0.104497,0.159467", \ "0.030661,0.037193,0.044348,0.056995,0.075907,0.104593,0.159530", \ "0.030681,0.037217,0.044375,0.057031,0.075969,0.104623,0.159561", \ "0.030637,0.037171,0.044323,0.057008,0.075990,0.104659,0.159564", \ "0.030819,0.037368,0.044522,0.057144,0.076018,0.104558,0.159367"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.024754,0.034447,0.047085,0.074528,0.133471,0.254660,0.497920", \ "0.024745,0.034441,0.047109,0.074507,0.133471,0.254673,0.497925", \ "0.024730,0.034434,0.047066,0.074527,0.133469,0.254674,0.497927", \ "0.024698,0.034400,0.047042,0.074513,0.133460,0.254678,0.497931", \ "0.024641,0.034367,0.047025,0.074496,0.133462,0.254671,0.497926", \ "0.024680,0.034396,0.047046,0.074504,0.133454,0.254674,0.497932", \ "0.025301,0.034995,0.047530,0.074766,0.133565,0.254709,0.497957"); } } timing () { related_pin : "A"; when : "B & CI"; sdf_cond : "(B == 1'b1) && (CI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.236566,0.253390,0.268285,0.290538,0.323605,0.374533,0.458012", \ "0.241163,0.258000,0.272922,0.295173,0.328242,0.379163,0.462644", \ "0.259680,0.276506,0.291428,0.313687,0.346761,0.397683,0.481167", \ "0.292632,0.309516,0.324216,0.346255,0.379321,0.430258,0.513744", \ "0.339163,0.355980,0.370944,0.393176,0.426020,0.476964,0.560453", \ "0.400190,0.417406,0.432595,0.454966,0.488211,0.539290,0.622874", \ "0.468723,0.487164,0.503429,0.527278,0.562201,0.614873,0.699560"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.115891,0.134839,0.154477,0.189630,0.255489,0.384129,0.639456", \ "0.120731,0.139665,0.159306,0.194462,0.260325,0.388967,0.644282", \ "0.133774,0.152713,0.172351,0.207502,0.273356,0.402005,0.657336", \ "0.155913,0.174837,0.194388,0.229441,0.295233,0.423849,0.679196", \ "0.184954,0.204331,0.224183,0.259320,0.325079,0.453618,0.708963", \ "0.216449,0.236629,0.256878,0.292135,0.358063,0.486529,0.741831", \ "0.247318,0.268661,0.289708,0.325641,0.391845,0.520220,0.775423"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.032302,0.037283,0.042190,0.050717,0.066097,0.094947,0.151754", \ "0.032333,0.037279,0.042190,0.050722,0.066102,0.094945,0.151752", \ "0.032337,0.037296,0.042193,0.050724,0.066084,0.094959,0.151751", \ "0.032326,0.037306,0.042200,0.050728,0.066098,0.094953,0.151754", \ "0.032359,0.037339,0.042247,0.050780,0.066148,0.094981,0.151770", \ "0.034155,0.038844,0.043489,0.051679,0.066707,0.095313,0.151945", \ "0.038230,0.043140,0.047796,0.055888,0.070534,0.098324,0.153689"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.023373,0.034679,0.048445,0.076618,0.135448,0.256417,0.499855", \ "0.023366,0.034675,0.048441,0.076611,0.135447,0.256414,0.499846", \ "0.023366,0.034666,0.048437,0.076611,0.135438,0.256378,0.499841", \ "0.023404,0.034701,0.048467,0.076633,0.135456,0.256414,0.499843", \ "0.024663,0.035771,0.049300,0.077125,0.135612,0.256417,0.499858", \ "0.026603,0.037638,0.050975,0.078343,0.136194,0.256615,0.499914", \ "0.029501,0.040425,0.053436,0.080136,0.137103,0.256956,0.500081"); } } timing () { related_pin : "B"; when : "!A & !CI"; sdf_cond : "(A == 1'b0) && (CI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.347299,0.369021,0.388499,0.417047,0.458227,0.519029,0.613837", \ "0.349161,0.370905,0.390384,0.418948,0.460149,0.520961,0.615768", \ "0.362901,0.384643,0.404141,0.432706,0.473890,0.534711,0.629527", \ "0.390957,0.412695,0.431893,0.460368,0.501581,0.562395,0.657215", \ "0.433580,0.455336,0.474774,0.503308,0.544506,0.605344,0.700186", \ "0.494477,0.516190,0.535673,0.564186,0.605384,0.666243,0.761130", \ "0.571781,0.594316,0.614504,0.643848,0.685724,0.747026,0.842218"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.077967,0.094421,0.112252,0.145555,0.210114,0.337939,0.592515", \ "0.083856,0.100317,0.118155,0.151470,0.216031,0.343872,0.598441", \ "0.100668,0.117121,0.134939,0.168221,0.232797,0.360663,0.615277", \ "0.128388,0.144926,0.162580,0.195728,0.260215,0.388088,0.642757", \ "0.160611,0.177924,0.195760,0.228763,0.293188,0.420962,0.675673", \ "0.192633,0.211195,0.229780,0.263003,0.327390,0.455029,0.709689", \ "0.220522,0.240942,0.260724,0.294884,0.359361,0.486753,0.741260"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.048419,0.054485,0.060188,0.069542,0.085817,0.115635,0.172544", \ "0.048414,0.054503,0.060214,0.069564,0.085837,0.115631,0.172547", \ "0.048424,0.054520,0.060213,0.069571,0.085852,0.115647,0.172559", \ "0.048443,0.054533,0.060226,0.069583,0.085853,0.115662,0.172571", \ "0.048484,0.054542,0.060259,0.069608,0.085894,0.115692,0.172603", \ "0.048561,0.054688,0.060421,0.069795,0.086057,0.115826,0.172702", \ "0.052574,0.058408,0.063826,0.072574,0.087971,0.116991,0.173395"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.018405,0.029484,0.043477,0.072588,0.132810,0.254527,0.498141", \ "0.018437,0.029509,0.043494,0.072608,0.132823,0.254536,0.498144", \ "0.018471,0.029539,0.043517,0.072620,0.132818,0.254525,0.498148", \ "0.019049,0.029916,0.043746,0.072706,0.132851,0.254533,0.498146", \ "0.020979,0.031559,0.045012,0.073386,0.133053,0.254543,0.498161", \ "0.023959,0.034167,0.047062,0.074579,0.133529,0.254673,0.498216", \ "0.028265,0.038209,0.050373,0.076605,0.134260,0.255060,0.498338"); } } timing () { related_pin : "B"; when : "!A & CI"; sdf_cond : "(A == 1'b0) && (CI == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.272480,0.288869,0.303438,0.324937,0.356930,0.406895,0.489725", \ "0.278507,0.294896,0.309487,0.331004,0.362991,0.412978,0.495826", \ "0.294505,0.310882,0.325484,0.347015,0.379012,0.429006,0.511893", \ "0.319399,0.335627,0.350199,0.371701,0.403677,0.453698,0.536594", \ "0.353155,0.368597,0.382871,0.404280,0.436216,0.486213,0.569117", \ "0.391437,0.406489,0.420500,0.441579,0.473200,0.522980,0.605749", \ "0.429359,0.444140,0.457860,0.478506,0.509782,0.559229,0.641641"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.391181,0.407442,0.422918,0.451287,0.510107,0.633753,0.886028", \ "0.394861,0.411097,0.426605,0.454974,0.513818,0.637473,0.889757", \ "0.412189,0.428410,0.443906,0.472274,0.531105,0.654786,0.907068", \ "0.442127,0.458046,0.473560,0.501923,0.560766,0.684438,0.936721", \ "0.486994,0.503311,0.518799,0.547182,0.605984,0.729652,0.981926", \ "0.549553,0.565727,0.581162,0.609495,0.668295,0.791897,1.044147", \ "0.628037,0.644062,0.659350,0.687323,0.745654,0.868898,1.120929"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.032199,0.037206,0.042189,0.050812,0.066156,0.094924,0.151640", \ "0.032216,0.037226,0.042215,0.050820,0.066179,0.094950,0.151669", \ "0.032313,0.037270,0.042243,0.050870,0.066235,0.095006,0.151733", \ "0.032310,0.037311,0.042300,0.050922,0.066269,0.095034,0.151769", \ "0.032332,0.037316,0.042305,0.050941,0.066276,0.095045,0.151784", \ "0.031775,0.036750,0.041800,0.050513,0.065976,0.094826,0.151647", \ "0.031724,0.036768,0.041808,0.050446,0.065799,0.094536,0.151274"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.026764,0.036350,0.048624,0.075221,0.133374,0.254342,0.497641", \ "0.026742,0.036340,0.048608,0.075227,0.133377,0.254340,0.497641", \ "0.026718,0.036324,0.048597,0.075213,0.133385,0.254352,0.497638", \ "0.026716,0.036311,0.048618,0.075208,0.133390,0.254347,0.497640", \ "0.026730,0.036318,0.048601,0.075225,0.133377,0.254345,0.497636", \ "0.026796,0.036407,0.048672,0.075243,0.133383,0.254352,0.497639", \ "0.027382,0.036931,0.049127,0.075508,0.133463,0.254361,0.497642"); } } timing () { related_pin : "B"; when : "A & !CI"; sdf_cond : "(A == 1'b1) && (CI == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.240651,0.256886,0.271820,0.295099,0.330995,0.385974,0.473842", \ "0.245609,0.261833,0.276767,0.300056,0.335947,0.390919,0.478783", \ "0.260611,0.276837,0.291775,0.315051,0.350943,0.405906,0.493763", \ "0.287396,0.303550,0.318452,0.341699,0.377552,0.432478,0.520310", \ "0.323223,0.338458,0.353148,0.376300,0.412094,0.467007,0.554835", \ "0.362958,0.377951,0.392405,0.415270,0.450811,0.505592,0.593327", \ "0.402470,0.417262,0.431557,0.454263,0.489823,0.544478,0.631787"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.401235,0.416788,0.432209,0.460408,0.519108,0.642940,0.895439", \ "0.406087,0.421692,0.437107,0.465308,0.524021,0.647833,0.900335", \ "0.424399,0.439969,0.455412,0.483632,0.542329,0.666161,0.918665", \ "0.456534,0.471787,0.487214,0.515444,0.574128,0.697975,0.950454", \ "0.502398,0.517826,0.533271,0.561507,0.620184,0.743997,0.996514", \ "0.564503,0.580026,0.595354,0.623468,0.682137,0.805931,1.058428", \ "0.641915,0.657310,0.672431,0.700135,0.758311,0.881752,1.134046"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.030440,0.036693,0.043419,0.054896,0.072793,0.102326,0.158416", \ "0.030433,0.036685,0.043409,0.054881,0.072784,0.102328,0.158414", \ "0.030420,0.036672,0.043396,0.054867,0.072770,0.102314,0.158406", \ "0.030417,0.036672,0.043402,0.054861,0.072751,0.102305,0.158397", \ "0.030421,0.036674,0.043391,0.054857,0.072725,0.102281,0.158387", \ "0.030363,0.036606,0.043288,0.054700,0.072560,0.102166,0.158335", \ "0.030545,0.036847,0.043641,0.055199,0.072997,0.102126,0.157765"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.024046,0.033956,0.046916,0.074789,0.133969,0.255122,0.498316", \ "0.024028,0.033941,0.046902,0.074776,0.133977,0.255132,0.498326", \ "0.024012,0.033931,0.046893,0.074799,0.133988,0.255140,0.498328", \ "0.024005,0.033927,0.046915,0.074792,0.133987,0.255140,0.498338", \ "0.024033,0.033941,0.046927,0.074798,0.133984,0.255137,0.498334", \ "0.024125,0.034027,0.046966,0.074828,0.133979,0.255130,0.498336", \ "0.024466,0.034298,0.047189,0.074872,0.133970,0.255116,0.498324"); } } timing () { related_pin : "B"; when : "A & CI"; sdf_cond : "(A == 1'b1) && (CI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.218757,0.235178,0.249792,0.271656,0.304212,0.354561,0.437386", \ "0.223668,0.240130,0.254732,0.276573,0.309147,0.359494,0.442320", \ "0.241360,0.257815,0.272411,0.294260,0.326837,0.377187,0.460017", \ "0.273723,0.290162,0.304594,0.326226,0.358785,0.409149,0.491976", \ "0.320216,0.336635,0.351227,0.372815,0.405371,0.455730,0.538567", \ "0.379788,0.396841,0.411918,0.434124,0.467080,0.517693,0.600686", \ "0.445431,0.463773,0.479964,0.503722,0.538558,0.591123,0.675630"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.113884,0.132896,0.152595,0.187867,0.253931,0.382858,0.638562", \ "0.118400,0.137408,0.157125,0.192394,0.258460,0.387396,0.643083", \ "0.133094,0.152064,0.171775,0.207036,0.273088,0.402020,0.657734", \ "0.160728,0.179637,0.199254,0.234449,0.300364,0.429226,0.684952", \ "0.197873,0.217250,0.236936,0.271701,0.337553,0.466314,0.722043", \ "0.236701,0.257108,0.277335,0.312441,0.378384,0.507152,0.762794", \ "0.272785,0.294772,0.315972,0.351870,0.417836,0.546339,0.802042"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.030929,0.035971,0.040928,0.049516,0.064965,0.093837,0.150631", \ "0.030930,0.035970,0.040930,0.049521,0.064949,0.093837,0.150624", \ "0.030927,0.035979,0.040928,0.049522,0.064960,0.093840,0.150624", \ "0.030929,0.035982,0.040937,0.049528,0.064969,0.093838,0.150624", \ "0.030990,0.036014,0.040999,0.049591,0.065021,0.093875,0.150636", \ "0.033571,0.038335,0.043013,0.051141,0.066016,0.094451,0.150949", \ "0.037779,0.042759,0.047515,0.055667,0.070352,0.098129,0.153282"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.023463,0.034808,0.048622,0.076874,0.135808,0.256886,0.500394", \ "0.023457,0.034805,0.048615,0.076870,0.135811,0.256885,0.500389", \ "0.023436,0.034788,0.048606,0.076862,0.135802,0.256865,0.500394", \ "0.023402,0.034754,0.048585,0.076852,0.135789,0.256873,0.500396", \ "0.024976,0.035968,0.049504,0.077398,0.135974,0.256929,0.500419", \ "0.027793,0.038389,0.051397,0.078606,0.136684,0.257222,0.500526", \ "0.032006,0.042270,0.054566,0.080577,0.137408,0.257703,0.500908"); } } timing () { related_pin : "CI"; when : "!A & !B"; sdf_cond : "(A == 1'b0) && (B == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.322075,0.343780,0.363253,0.391741,0.432839,0.493516,0.588137", \ "0.321528,0.343258,0.362676,0.391164,0.432249,0.492885,0.587443", \ "0.326934,0.348575,0.367971,0.396394,0.437424,0.497985,0.592461", \ "0.351325,0.372923,0.392100,0.420435,0.461410,0.521907,0.616305", \ "0.398494,0.420091,0.439390,0.467595,0.508493,0.568973,0.663329", \ "0.469929,0.491444,0.510710,0.538906,0.579791,0.640252,0.734601", \ "0.561542,0.583981,0.603974,0.632993,0.674284,0.735042,0.829576"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.076386,0.093541,0.111775,0.145323,0.209804,0.337383,0.591730", \ "0.082169,0.099331,0.117568,0.151136,0.215631,0.343235,0.597562", \ "0.098274,0.115408,0.133612,0.167139,0.231645,0.359310,0.613687", \ "0.123745,0.141230,0.159339,0.192798,0.257219,0.384897,0.639360", \ "0.152191,0.170382,0.188980,0.222795,0.287581,0.415125,0.669643", \ "0.180114,0.199271,0.218797,0.252928,0.317850,0.445798,0.700199", \ "0.203125,0.224121,0.244794,0.280349,0.345646,0.473336,0.728050"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.048263,0.054344,0.060035,0.069350,0.085597,0.115368,0.172203", \ "0.048197,0.054238,0.059933,0.069263,0.085501,0.115259,0.172083", \ "0.048082,0.054152,0.059837,0.069147,0.085372,0.115116,0.171916", \ "0.048020,0.054084,0.059768,0.069069,0.085278,0.115006,0.171777", \ "0.048000,0.054043,0.059702,0.069030,0.085265,0.114956,0.171708", \ "0.047873,0.054020,0.059797,0.069158,0.085377,0.115029,0.171717", \ "0.053527,0.058843,0.063902,0.072138,0.087230,0.116127,0.172342"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.019416,0.030365,0.044120,0.072807,0.132617,0.254222,0.497879", \ "0.019431,0.030376,0.044132,0.072803,0.132635,0.254265,0.497877", \ "0.019394,0.030380,0.044150,0.072835,0.132645,0.254242,0.497881", \ "0.020227,0.031113,0.044573,0.072983,0.132666,0.254246,0.497885", \ "0.021640,0.032960,0.046427,0.074459,0.133239,0.254265,0.497894", \ "0.024535,0.035579,0.048890,0.076002,0.134240,0.254866,0.497887", \ "0.029275,0.039725,0.052632,0.078819,0.135298,0.255630,0.498414"); } } timing () { related_pin : "CI"; when : "!A & B"; sdf_cond : "(A == 1'b0) && (B == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.268047,0.284438,0.299050,0.320587,0.352613,0.402640,0.485562", \ "0.273287,0.289702,0.304297,0.325859,0.357889,0.407917,0.490836", \ "0.289527,0.305965,0.320551,0.342086,0.374103,0.424130,0.507054", \ "0.317890,0.333857,0.348438,0.369998,0.402007,0.452048,0.534985", \ "0.353678,0.369185,0.383427,0.404720,0.436588,0.486548,0.569448", \ "0.388517,0.403385,0.417124,0.438033,0.469764,0.519760,0.602769", \ "0.419770,0.433709,0.446803,0.466908,0.497977,0.547585,0.630579"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.357366,0.373542,0.389074,0.417166,0.475830,0.599529,0.851906", \ "0.360106,0.376282,0.391844,0.419945,0.478595,0.602306,0.854692", \ "0.372530,0.388674,0.404209,0.432309,0.490982,0.614672,0.867058", \ "0.403178,0.419058,0.434585,0.462706,0.521336,0.645031,0.897415", \ "0.455317,0.471196,0.486684,0.514761,0.573419,0.697104,0.949488", \ "0.529321,0.545184,0.560542,0.588491,0.647000,0.770586,1.022889", \ "0.619210,0.634848,0.649691,0.676834,0.734454,0.857345,1.109223"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.032353,0.037326,0.042325,0.050947,0.066294,0.095070,0.151810", \ "0.032322,0.037326,0.042291,0.050949,0.066304,0.095071,0.151816", \ "0.032328,0.037325,0.042309,0.050927,0.066306,0.095070,0.151810", \ "0.032330,0.037328,0.042329,0.050951,0.066296,0.095069,0.151810", \ "0.032329,0.037329,0.042319,0.050959,0.066294,0.095066,0.151811", \ "0.031909,0.037044,0.042292,0.051194,0.066705,0.095481,0.152155", \ "0.031500,0.036753,0.041967,0.050835,0.066420,0.095438,0.152637"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.025835,0.035398,0.047801,0.074827,0.133563,0.254711,0.497973", \ "0.025836,0.035400,0.047804,0.074837,0.133561,0.254716,0.497978", \ "0.025842,0.035404,0.047809,0.074845,0.133570,0.254711,0.497972", \ "0.025841,0.035415,0.047783,0.074858,0.133571,0.254711,0.497971", \ "0.025903,0.035466,0.047828,0.074855,0.133572,0.254714,0.497978", \ "0.026179,0.035733,0.048056,0.074951,0.133588,0.254724,0.497979", \ "0.027245,0.036811,0.048958,0.075486,0.133764,0.254786,0.498001"); } } timing () { related_pin : "CI"; when : "A & !B"; sdf_cond : "(A == 1'b1) && (B == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.247500,0.263462,0.277626,0.298653,0.330210,0.379762,0.462091", \ "0.252666,0.268642,0.282801,0.303816,0.335360,0.384921,0.467256", \ "0.268181,0.284148,0.298309,0.319307,0.350843,0.400395,0.482732", \ "0.295184,0.310738,0.324798,0.345730,0.377264,0.426824,0.509174", \ "0.329512,0.344433,0.358152,0.378874,0.410253,0.459727,0.542035", \ "0.365077,0.379136,0.392205,0.412168,0.443026,0.492279,0.574518", \ "0.398653,0.411921,0.424335,0.443647,0.473918,0.522534,0.604125"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.375604,0.391168,0.406594,0.434799,0.493513,0.617342,0.869869", \ "0.378613,0.394181,0.409599,0.437813,0.496529,0.620366,0.872894", \ "0.391192,0.406773,0.422161,0.450365,0.509079,0.632933,0.885447", \ "0.421564,0.436859,0.452246,0.480444,0.539158,0.662989,0.915512", \ "0.473384,0.488692,0.504038,0.532242,0.590940,0.714770,0.967295", \ "0.548126,0.563475,0.578783,0.606864,0.665495,0.789258,1.041764", \ "0.640802,0.655878,0.670652,0.697886,0.755643,0.878782,1.130889"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.030967,0.036055,0.041122,0.049785,0.065174,0.093959,0.150683", \ "0.030968,0.036061,0.041120,0.049791,0.065175,0.093955,0.150692", \ "0.030970,0.036059,0.041147,0.049795,0.065170,0.093960,0.150688", \ "0.030982,0.036078,0.041150,0.049815,0.065192,0.093960,0.150690", \ "0.030997,0.036097,0.041161,0.049818,0.065190,0.093965,0.150691", \ "0.030259,0.035380,0.040476,0.049254,0.064899,0.093935,0.150754", \ "0.030358,0.035522,0.040642,0.049324,0.064658,0.093355,0.149989"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.024051,0.033959,0.046948,0.074796,0.133981,0.255149,0.498366", \ "0.024049,0.033962,0.046922,0.074799,0.133992,0.255145,0.498364", \ "0.024051,0.033961,0.046926,0.074810,0.133994,0.255153,0.498367", \ "0.024057,0.033977,0.046923,0.074805,0.133988,0.255152,0.498367", \ "0.024079,0.033995,0.046942,0.074801,0.133992,0.255147,0.498368", \ "0.024193,0.034091,0.047013,0.074834,0.134004,0.255149,0.498359", \ "0.025014,0.034844,0.047611,0.075185,0.134129,0.255208,0.498373"); } } timing () { related_pin : "CI"; when : "A & B"; sdf_cond : "(A == 1'b1) && (B == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.201034,0.217613,0.232866,0.256520,0.292564,0.347618,0.435586", \ "0.205620,0.222209,0.237456,0.261121,0.297156,0.352210,0.440172", \ "0.221855,0.238397,0.253625,0.277225,0.313205,0.368220,0.456159", \ "0.253416,0.269906,0.284812,0.308131,0.343973,0.398884,0.486774", \ "0.300130,0.316465,0.331507,0.354562,0.390162,0.444911,0.532722", \ "0.357516,0.374583,0.390037,0.413677,0.449959,0.505259,0.593403", \ "0.419632,0.437850,0.454069,0.478258,0.515055,0.572744,0.664457"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.113052,0.132058,0.151742,0.186987,0.253008,0.381874,0.637466", \ "0.117272,0.136248,0.155920,0.191131,0.257130,0.385953,0.641511", \ "0.132105,0.151075,0.170726,0.205893,0.271813,0.400565,0.656063", \ "0.161870,0.180705,0.200102,0.235029,0.300753,0.429366,0.684836", \ "0.201598,0.220913,0.240519,0.275274,0.340762,0.469095,0.724421", \ "0.238463,0.259265,0.279489,0.314568,0.380190,0.508507,0.763584", \ "0.269556,0.292600,0.314273,0.350186,0.415665,0.543687,0.798841"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.030066,0.036062,0.042387,0.053289,0.071088,0.101200,0.157817", \ "0.030053,0.036045,0.042376,0.053261,0.071063,0.101176,0.157808", \ "0.030005,0.036002,0.042302,0.053175,0.070963,0.101107,0.157776", \ "0.029946,0.035888,0.042147,0.052958,0.070747,0.100959,0.157694", \ "0.029880,0.035778,0.041947,0.052633,0.070414,0.100743,0.157575", \ "0.033225,0.038601,0.044377,0.054766,0.072142,0.101876,0.158210", \ "0.037575,0.042720,0.047838,0.057215,0.075222,0.107300,0.163309"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.023443,0.034781,0.048583,0.076813,0.135706,0.256763,0.500234", \ "0.023418,0.034741,0.048535,0.076760,0.135642,0.256689,0.500185", \ "0.023372,0.034684,0.048469,0.076668,0.135529,0.256576,0.500077", \ "0.023216,0.034573,0.048380,0.076594,0.135451,0.256465,0.499966", \ "0.025605,0.036216,0.049594,0.077248,0.135548,0.256394,0.499891", \ "0.030208,0.039828,0.052130,0.078825,0.136681,0.256790,0.499893", \ "0.036015,0.045477,0.056625,0.081338,0.137521,0.257625,0.500326"); } } internal_power () { related_pin : "A"; when : "!B & !CI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("4.152045,4.162390,4.199581,4.271500,4.392772,4.563857,4.722564", \ "4.121790,4.140675,4.173264,4.243609,4.368308,4.544773,4.692869", \ "4.100791,4.111104,4.149950,4.218625,4.353281,4.517735,4.664518", \ "4.084479,4.103204,4.132050,4.198205,4.330155,4.500973,4.648803", \ "4.081798,4.100362,4.128245,4.206655,4.323474,4.497265,4.645580", \ "4.097827,4.115208,4.148648,4.217844,4.334963,4.498736,4.652206", \ "4.121752,4.162615,4.193481,4.259946,4.373412,4.536255,4.678752"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.821899,0.943795,1.009700,1.099760,1.147539,1.248263,1.310358", \ "0.793586,0.910813,0.997528,1.067667,1.105031,1.206074,1.268076", \ "0.759306,0.886214,0.955833,1.050111,1.130080,1.198403,1.228223", \ "0.744935,0.855020,0.923232,0.994697,1.082439,1.173954,1.223651", \ "0.847518,0.901693,0.921996,0.983304,1.074826,1.113212,1.184190", \ "0.988289,1.061930,1.075275,1.053651,1.070380,1.107007,1.184877", \ "1.118695,1.222675,1.252677,1.225353,1.178584,1.171334,1.229097"); } } internal_power () { related_pin : "A"; when : "!B & CI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("1.408554,1.459105,1.511205,1.588290,1.702879,1.851385,1.880877", \ "1.406359,1.460114,1.512235,1.585594,1.699877,1.872462,1.867814", \ "1.392169,1.444198,1.497103,1.571119,1.687473,1.856620,1.852921", \ "1.374781,1.421805,1.471321,1.546282,1.666178,1.814306,1.846916", \ "1.380933,1.421519,1.465199,1.534188,1.641273,1.787928,1.821972", \ "1.415085,1.444833,1.483182,1.548256,1.661452,1.787883,1.822237", \ "1.546262,1.565194,1.595784,1.638380,1.712914,1.847975,1.846845"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("3.440301,3.501061,3.536948,3.595866,3.663210,3.685256,3.700795", \ "3.432495,3.475186,3.529786,3.556451,3.625095,3.681651,3.696920", \ "3.403035,3.459654,3.476195,3.525679,3.593948,3.649945,3.664692", \ "3.392088,3.445887,3.462045,3.522388,3.588787,3.643546,3.657938", \ "3.410601,3.456219,3.508290,3.552751,3.615859,3.635129,3.683378", \ "3.492500,3.530997,3.559811,3.616572,3.673539,3.688500,3.700681", \ "3.639105,3.675360,3.699829,3.725070,3.776459,3.786383,3.795408"); } } internal_power () { related_pin : "A"; when : "B & !CI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("1.536588,1.587952,1.650669,1.736452,1.866180,2.086082,2.124604", \ "1.527216,1.578587,1.639517,1.730229,1.870863,2.074206,2.113381", \ "1.510306,1.561868,1.620022,1.711340,1.853798,2.049756,2.090165", \ "1.500238,1.548893,1.604911,1.688391,1.824420,2.045100,2.052292", \ "1.522692,1.572153,1.616081,1.694395,1.833011,2.022443,2.063361", \ "1.580634,1.617470,1.659595,1.726932,1.866962,2.050568,2.090267", \ "1.732338,1.755931,1.778639,1.830107,1.931352,2.121974,2.125084"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("3.403962,3.467204,3.505138,3.596624,3.688834,3.724680,3.769067", \ "3.390073,3.453159,3.509977,3.582808,3.675586,3.711621,3.755908", \ "3.372047,3.431601,3.470408,3.556668,3.649168,3.685570,3.729756", \ "3.358664,3.417355,3.462056,3.535136,3.627637,3.698522,3.708909", \ "3.357536,3.408668,3.471071,3.544731,3.639730,3.671529,3.716757", \ "3.384326,3.449301,3.488978,3.552122,3.643190,3.676764,3.719388", \ "3.444022,3.488234,3.530127,3.596893,3.687232,3.723567,3.734402"); } } internal_power () { related_pin : "A"; when : "B & CI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("3.999675,4.093752,4.187800,4.324505,4.514609,4.707913,4.842724", \ "3.985930,4.077413,4.170160,4.309485,4.499979,4.691899,4.826206", \ "3.970943,4.053963,4.148067,4.292340,4.488156,4.677097,4.806457", \ "3.961909,4.045456,4.140337,4.285884,4.460818,4.660330,4.795190", \ "3.954451,4.047386,4.142510,4.270046,4.463267,4.655104,4.794022", \ "3.994943,4.068403,4.153745,4.294941,4.464911,4.664720,4.802867", \ "3.907309,3.995870,4.095537,4.261434,4.498416,4.695747,4.841045"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.985804,1.075588,1.127661,1.168538,1.246434,1.262521,1.315866", \ "0.951793,1.042614,1.099173,1.151515,1.201348,1.217893,1.271171", \ "0.895520,0.998742,1.045219,1.086667,1.117590,1.202429,1.256917", \ "0.871056,0.965170,1.016253,1.050124,1.117905,1.134802,1.190497", \ "0.894295,0.949650,0.991541,1.048014,1.066402,1.111832,1.136786", \ "1.008292,1.076446,1.082629,1.049312,1.027652,1.106978,1.165701", \ "1.136028,1.202907,1.214422,1.201142,1.118066,1.139438,1.197062"); } } internal_power () { related_pin : "B"; when : "!A & !CI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("3.904402,3.923229,3.954992,4.036345,4.161576,4.343499,4.501489", \ "3.863666,3.881876,3.912413,3.989643,4.125363,4.296535,4.454547", \ "3.832531,3.850562,3.878847,3.961624,4.094783,4.264771,4.419863", \ "3.823059,3.835335,3.869838,3.943405,4.073607,4.243776,4.402797", \ "3.819503,3.836868,3.870245,3.944655,4.069677,4.239741,4.399967", \ "3.855934,3.867253,3.893538,3.963785,4.087957,4.257878,4.414439", \ "3.942516,3.955951,3.983418,4.041899,4.154266,4.304379,4.462966"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.696528,0.796228,0.830307,0.883385,0.929562,1.000347,0.974758", \ "0.681089,0.783153,0.826894,0.861521,0.956955,0.969336,1.011661", \ "0.666935,0.758643,0.803318,0.861072,0.924922,0.939991,0.983809", \ "0.648413,0.737154,0.791317,0.823937,0.853486,0.938949,0.918972", \ "0.745074,0.784314,0.779388,0.806716,0.856686,0.910479,0.894978", \ "0.878262,0.940675,0.930786,0.879679,0.859298,0.884644,0.924462", \ "1.000361,1.095922,1.108021,1.090875,0.994452,0.945932,0.997739"); } } internal_power () { related_pin : "B"; when : "!A & CI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("1.610183,1.653898,1.711269,1.788596,1.872837,2.064470,2.106764", \ "1.597929,1.648245,1.700573,1.778737,1.848865,2.079972,2.088217", \ "1.580167,1.628775,1.680179,1.757722,1.826945,2.059549,2.069372", \ "1.551793,1.594613,1.650616,1.721721,1.803313,2.006157,2.051728", \ "1.550163,1.590188,1.636694,1.704377,1.787955,1.999120,2.011338", \ "1.568555,1.603564,1.642823,1.713509,1.798874,1.976394,2.023047", \ "1.686542,1.709707,1.738653,1.778663,1.846326,2.004434,2.050687"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("3.514230,3.575270,3.604965,3.654551,3.722962,3.779469,3.794550", \ "3.502727,3.556436,3.573043,3.642195,3.709997,3.765531,3.780021", \ "3.493814,3.543474,3.574019,3.617856,3.717894,3.741325,3.755269", \ "3.488414,3.544510,3.579708,3.611870,3.679254,3.734329,3.748187", \ "3.491232,3.548613,3.574538,3.634869,3.699650,3.719683,3.767819", \ "3.525552,3.571096,3.604331,3.660560,3.710760,3.758395,3.772081", \ "3.588504,3.638261,3.657100,3.703955,3.762715,3.778431,3.791298"); } } internal_power () { related_pin : "B"; when : "A & !CI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("1.514250,1.566145,1.628945,1.710838,1.854682,2.064786,2.102957", \ "1.497440,1.549920,1.608878,1.696078,1.839589,2.039445,2.079203", \ "1.481713,1.529821,1.593162,1.675833,1.827344,2.021019,2.060305", \ "1.473043,1.517725,1.575493,1.657426,1.800605,1.982728,2.023001", \ "1.476642,1.520407,1.570206,1.649532,1.797025,1.985700,2.026086", \ "1.515719,1.551281,1.597454,1.668471,1.792478,2.002313,2.008361", \ "1.633547,1.660116,1.697937,1.749015,1.854791,2.032973,2.037931"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("3.661689,3.722525,3.753704,3.818726,3.890577,3.950145,3.966446", \ "3.649059,3.700828,3.751388,3.798018,3.870749,3.930430,3.946789", \ "3.634377,3.694989,3.732692,3.806397,3.877424,3.927705,3.955143", \ "3.628041,3.680893,3.736313,3.784189,3.855911,3.915800,3.932568", \ "3.627525,3.690803,3.715194,3.794012,3.864302,3.889095,3.940598", \ "3.649362,3.703871,3.730044,3.792984,3.860375,3.918091,3.935134", \ "3.697886,3.738013,3.787555,3.806910,3.873944,3.930950,3.961795"); } } internal_power () { related_pin : "B"; when : "A & CI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("3.780420,3.872595,3.975878,4.119929,4.297273,4.478080,4.593108", \ "3.768729,3.868888,3.961459,4.100865,4.291516,4.464940,4.586425", \ "3.742351,3.842733,3.927590,4.078733,4.256015,4.438175,4.558316", \ "3.733904,3.822469,3.916803,4.057828,4.236935,4.418718,4.534293", \ "3.729881,3.826768,3.916033,4.052513,4.236296,4.415305,4.529988", \ "3.773350,3.849102,3.935612,4.071010,4.243764,4.423958,4.542188", \ "3.657117,3.747256,3.850224,4.010804,4.245345,4.455532,4.585610"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.873452,0.980518,1.032959,1.097212,1.118732,1.215494,1.284506", \ "0.824342,0.930020,0.990431,1.045132,1.064308,1.159748,1.226922", \ "0.767938,0.872756,0.933526,0.975772,1.015517,1.107800,1.172841", \ "0.741791,0.844856,0.896414,0.946291,1.003150,1.024321,1.089378", \ "0.769501,0.837034,0.888886,0.907006,0.985822,1.003547,1.068164", \ "0.922394,0.985326,0.976868,0.960835,0.997600,1.010727,1.074597", \ "1.086697,1.152207,1.156388,1.114033,1.050650,1.055845,1.117778"); } } internal_power () { related_pin : "CI"; when : "!A & !B"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("3.396927,3.405903,3.442631,3.508589,3.641036,3.812240,3.962933", \ "3.359158,3.377358,3.410331,3.480845,3.608020,3.782570,3.930671", \ "3.301740,3.311621,3.349651,3.418877,3.549531,3.716988,3.868872", \ "3.279291,3.297780,3.329897,3.399005,3.533079,3.698289,3.842242", \ "3.315226,3.333863,3.363588,3.435418,3.568956,3.730646,3.875413", \ "3.427370,3.437600,3.465495,3.529313,3.652697,3.810885,3.952401", \ "3.625407,3.638291,3.655946,3.704304,3.807950,3.950540,4.086988"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.980381,1.090228,1.175031,1.256027,1.313908,1.339111,1.389871", \ "0.978906,1.090243,1.164874,1.244420,1.307317,1.386475,1.436481", \ "0.945289,1.072389,1.141180,1.203689,1.285610,1.365909,1.418834", \ "0.908707,1.032833,1.111147,1.187350,1.280554,1.316392,1.375786", \ "0.973477,1.054909,1.086156,1.165462,1.210499,1.252840,1.339501", \ "1.066324,1.166491,1.189776,1.179466,1.208392,1.253957,1.331249", \ "1.189684,1.294698,1.339496,1.340208,1.307271,1.319721,1.397966"); } } internal_power () { related_pin : "CI"; when : "!A & B"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("1.890406,1.937343,1.989737,2.063928,2.148325,2.342736,2.387263", \ "1.891157,1.941076,1.987981,2.070238,2.142605,2.345349,2.384686", \ "1.889362,1.935831,1.987680,2.063301,2.128285,2.359922,2.371130", \ "1.875848,1.919263,1.966173,2.033103,2.105341,2.318768,2.365809", \ "1.897169,1.933343,1.976051,2.037137,2.132271,2.308582,2.354759", \ "1.940249,1.968479,2.005445,2.065776,2.149594,2.318865,2.364636", \ "2.049413,2.072999,2.102374,2.143100,2.230248,2.379862,2.419256"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("3.068142,3.142237,3.189230,3.245815,3.344006,3.416777,3.425263", \ "3.059576,3.134575,3.182168,3.245202,3.342655,3.415019,3.423454", \ "3.041607,3.094456,3.161424,3.210778,3.309378,3.382348,3.391072", \ "3.041900,3.094538,3.160482,3.241154,3.336520,3.374088,3.383588", \ "3.065807,3.120304,3.164748,3.227829,3.323346,3.396136,3.406481", \ "3.144764,3.208406,3.246871,3.303248,3.392173,3.426782,3.469803", \ "3.278599,3.324918,3.358965,3.415473,3.498577,3.528155,3.535194"); } } internal_power () { related_pin : "CI"; when : "A & !B"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("1.668161,1.716133,1.774341,1.848962,1.958679,2.129846,2.125607", \ "1.668467,1.721145,1.774673,1.850927,1.967709,2.126295,2.156143", \ "1.659518,1.707861,1.761351,1.836168,1.962060,2.104941,2.136062", \ "1.647326,1.688346,1.737083,1.803565,1.926280,2.063978,2.096919", \ "1.675736,1.706037,1.751116,1.804409,1.935283,2.074591,2.096485", \ "1.740653,1.760872,1.797504,1.845738,1.965000,2.101157,2.119173", \ "1.891466,1.901463,1.925373,1.955203,2.067335,2.158340,2.189468"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("3.241051,3.288735,3.346062,3.405458,3.477097,3.501538,3.551562", \ "3.232025,3.280990,3.338339,3.403958,3.475666,3.499973,3.549950", \ "3.214652,3.262066,3.319094,3.371567,3.443386,3.502826,3.518672", \ "3.217074,3.264803,3.305046,3.380104,3.437004,3.496515,3.512971", \ "3.234475,3.297217,3.328968,3.392634,3.461556,3.486043,3.537586", \ "3.308900,3.352196,3.402103,3.457647,3.520020,3.540546,3.590722", \ "3.447977,3.470742,3.512364,3.543165,3.601968,3.640006,3.667780"); } } internal_power () { related_pin : "CI"; when : "A & B"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("2.993682,3.096756,3.207876,3.374536,3.586083,3.790929,3.957543", \ "2.974048,3.082390,3.190450,3.352641,3.566588,3.785179,3.940379", \ "2.931542,3.033315,3.144888,3.303258,3.515797,3.735395,3.893125", \ "2.894665,3.004252,3.106325,3.261584,3.474783,3.694730,3.852946", \ "2.896393,2.994359,3.100665,3.257741,3.467749,3.677967,3.844676", \ "2.900260,3.003973,3.101155,3.251000,3.462120,3.681105,3.855848", \ "2.859600,2.945849,3.034807,3.194306,3.427672,3.707385,3.895227"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("1.465211,1.570237,1.625379,1.654746,1.710653,1.800515,1.859298", \ "1.456224,1.560244,1.613431,1.649960,1.701564,1.788873,1.847336", \ "1.436981,1.540546,1.587596,1.654144,1.688622,1.708656,1.767301", \ "1.431126,1.531179,1.575841,1.626776,1.676631,1.680873,1.739663", \ "1.472402,1.557101,1.602676,1.615648,1.634059,1.711555,1.700939", \ "1.612769,1.674845,1.694094,1.674195,1.696314,1.699164,1.755186", \ "1.779597,1.861602,1.876560,1.865537,1.814770,1.842379,1.825648"); } } } } /****************************************************************************************** Module : FILLCELL_X1 Cell Description : Physical cell (FILLCELL_X1) *******************************************************************************************/ cell (FILLCELL_X1) { drive_strength : 1; area : 0.266000; dont_touch : true; dont_use : true; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } } /****************************************************************************************** Module : FILLCELL_X2 Cell Description : Physical cell (FILLCELL_X2) *******************************************************************************************/ cell (FILLCELL_X2) { drive_strength : 2; area : 0.266000; dont_touch : true; dont_use : true; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } } /****************************************************************************************** Module : FILLCELL_X4 Cell Description : Physical cell (FILLCELL_X4) *******************************************************************************************/ cell (FILLCELL_X4) { drive_strength : 4; area : 1.064000; dont_touch : true; dont_use : true; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } } /****************************************************************************************** Module : FILLCELL_X8 Cell Description : Physical cell (FILLCELL_X8) *******************************************************************************************/ cell (FILLCELL_X8) { drive_strength : 8; area : 2.128000; dont_touch : true; dont_use : true; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } } /****************************************************************************************** Module : FILLCELL_X16 Cell Description : Physical cell (FILLCELL_X16) *******************************************************************************************/ cell (FILLCELL_X16) { drive_strength : 16; area : 4.256000; dont_touch : true; dont_use : true; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } } /****************************************************************************************** Module : FILLCELL_X32 Cell Description : Physical cell (FILLCELL_X32) *******************************************************************************************/ cell (FILLCELL_X32) { drive_strength : 32; area : 8.512000; dont_touch : true; dont_use : true; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } } /****************************************************************************************** Module : HA_X1 Cell Description : Combinational cell (HA_X1) with drive strength X1 *******************************************************************************************/ cell (HA_X1) { drive_strength : 1; area : 2.660000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 40.086003; leakage_power () { when : "!A & !B"; value : 29.740700; } leakage_power () { when : "!A & B"; value : 50.533445; } leakage_power () { when : "A & !B"; value : 36.716616; } leakage_power () { when : "A & B"; value : 43.353250; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.162426; fall_capacitance : 2.997194; rise_capacitance : 3.162426; } pin (B) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.395984; fall_capacitance : 3.260828; rise_capacitance : 3.395984; } pin (CO) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 60.544280; function : "(A & B)"; timing () { related_pin : "A"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0745130,0.0840647,0.0927804,0.106555,0.128942,0.167600,0.239376", \ "0.0789798,0.0885276,0.0972522,0.111034,0.133427,0.172083,0.243862", \ "0.0968425,0.106372,0.115117,0.128910,0.151328,0.189997,0.261779", \ "0.133394,0.142967,0.151795,0.165693,0.188197,0.226917,0.298726", \ "0.178498,0.189735,0.199957,0.215284,0.239059,0.278489,0.350370", \ "0.226360,0.239968,0.251765,0.269112,0.294959,0.336249,0.409359", \ "0.276954,0.292938,0.306751,0.326608,0.355145,0.398793,0.473258"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0613648,0.0770497,0.0943810,0.127331,0.191840,0.319968,0.575599", \ "0.0657948,0.0814855,0.0988156,0.131763,0.196283,0.324423,0.580043", \ "0.0824467,0.0980622,0.115289,0.148134,0.212645,0.340863,0.596574", \ "0.109015,0.124887,0.142228,0.174939,0.239258,0.367436,0.623244", \ "0.134400,0.151365,0.169043,0.201814,0.266144,0.394041,0.649743", \ "0.155291,0.174480,0.193222,0.226177,0.290024,0.417940,0.673364", \ "0.170282,0.192345,0.213010,0.246979,0.310476,0.437800,0.693263"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0138700,0.0175949,0.0216354,0.0291181,0.0434924,0.0725211,0.133027", \ "0.0138707,0.0175876,0.0216377,0.0291171,0.0434918,0.0725164,0.133025", \ "0.0138888,0.0176256,0.0216664,0.0291395,0.0435046,0.0725228,0.133021", \ "0.0150319,0.0183546,0.0221879,0.0294712,0.0436858,0.0726038,0.133048", \ "0.0203677,0.0234654,0.0268028,0.0332892,0.0464129,0.0739307,0.133373", \ "0.0266453,0.0298622,0.0330144,0.0390112,0.0512870,0.0776929,0.135436", \ "0.0335917,0.0371501,0.0403854,0.0461143,0.0575396,0.0824123,0.138301"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0165209,0.0276969,0.0419643,0.0716753,0.132528,0.254885,0.499723", \ "0.0165217,0.0276865,0.0420050,0.0716608,0.132522,0.254888,0.499720", \ "0.0165550,0.0277331,0.0419908,0.0716877,0.132531,0.254887,0.499721", \ "0.0183996,0.0289204,0.0427190,0.0718796,0.132538,0.254885,0.499722", \ "0.0224955,0.0316827,0.0444630,0.0729337,0.133035,0.254897,0.499717", \ "0.0281426,0.0366449,0.0478228,0.0743214,0.133613,0.255507,0.499730", \ "0.0345635,0.0433919,0.0535303,0.0773044,0.134486,0.256020,0.500267"); } } timing () { related_pin : "B"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0829273,0.0927749,0.101729,0.115814,0.138573,0.177631,0.249786", \ "0.0875093,0.0973630,0.106323,0.120412,0.143175,0.182234,0.254393", \ "0.105619,0.115475,0.124474,0.138590,0.161371,0.200450,0.272614", \ "0.142700,0.152383,0.161437,0.175618,0.198472,0.237583,0.309775", \ "0.191498,0.202632,0.212725,0.228007,0.251781,0.291326,0.363571", \ "0.243291,0.256741,0.268361,0.285517,0.311217,0.352485,0.425735", \ "0.297885,0.313667,0.327197,0.346673,0.374839,0.418239,0.492733"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0643638,0.0800466,0.0973782,0.130344,0.194857,0.322977,0.578604", \ "0.0693991,0.0850837,0.102412,0.135381,0.199909,0.328039,0.583663", \ "0.0844406,0.100082,0.117341,0.150240,0.214784,0.342979,0.598670", \ "0.108627,0.124555,0.141896,0.174715,0.239149,0.367353,0.623123", \ "0.135259,0.151832,0.169570,0.202561,0.266997,0.395084,0.650856", \ "0.160269,0.178037,0.196487,0.229804,0.294051,0.422110,0.677731", \ "0.180727,0.200434,0.220134,0.254157,0.318365,0.446116,0.701697"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0145626,0.0182730,0.0223147,0.0297922,0.0441584,0.0731532,0.133576", \ "0.0145621,0.0182724,0.0223110,0.0297916,0.0441573,0.0731538,0.133568", \ "0.0145742,0.0183061,0.0223428,0.0298044,0.0441645,0.0731554,0.133567", \ "0.0150305,0.0186413,0.0225930,0.0299795,0.0442637,0.0731996,0.133580", \ "0.0198779,0.0230023,0.0263896,0.0329770,0.0462159,0.0740811,0.133831", \ "0.0259226,0.0290492,0.0321998,0.0383016,0.0507974,0.0775078,0.135506", \ "0.0325350,0.0359570,0.0391205,0.0448667,0.0565221,0.0818030,0.138178"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0165279,0.0276943,0.0419737,0.0716838,0.132523,0.254887,0.499717", \ "0.0165270,0.0276870,0.0419813,0.0716655,0.132536,0.254891,0.499723", \ "0.0165373,0.0277024,0.0420205,0.0716973,0.132525,0.254890,0.499718", \ "0.0176508,0.0284852,0.0424481,0.0718227,0.132534,0.254885,0.499721", \ "0.0199017,0.0302696,0.0437600,0.0725686,0.132817,0.254890,0.499720", \ "0.0235543,0.0333269,0.0459650,0.0737009,0.133307,0.255224,0.499720", \ "0.0285000,0.0379818,0.0496638,0.0757874,0.134063,0.255614,0.500001"); } } internal_power () { related_pin : "A"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("2.244817,2.316949,2.334110,2.354909,2.370141,2.363649,2.112788", \ "2.187188,2.267662,2.299218,2.330152,2.351319,2.349636,2.109653", \ "2.135102,2.208408,2.241184,2.266578,2.312073,2.321932,2.112716", \ "2.172490,2.233095,2.252090,2.258288,2.283622,2.316102,2.154848", \ "2.301564,2.341407,2.346237,2.335836,2.346546,2.355999,2.225205", \ "2.408235,2.522848,2.532879,2.493914,2.470210,2.447212,2.366477", \ "2.658157,2.745931,2.770015,2.741889,2.686847,2.643343,2.544214"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.770195,0.805587,0.820757,0.837809,0.868777,0.854796,0.879753", \ "0.759129,0.797373,0.809154,0.829553,0.868987,0.856765,0.882594", \ "0.736446,0.780029,0.793640,0.804718,0.849001,0.876237,0.872642", \ "0.752326,0.778135,0.800533,0.815121,0.825334,0.855545,0.872405", \ "0.886356,0.880389,0.861927,0.861000,0.862076,0.887819,0.888030", \ "1.084426,1.079149,1.041889,0.992913,0.962969,0.957453,0.932046", \ "1.348946,1.345455,1.308455,1.233818,1.146246,1.091082,1.078286"); } } internal_power () { related_pin : "B"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("2.761848,2.806644,2.822278,2.847518,2.866673,2.881340,2.647256", \ "2.716848,2.772205,2.791796,2.823399,2.845700,2.846772,2.623762", \ "2.676679,2.729683,2.763814,2.793524,2.821064,2.830261,2.635706", \ "2.714486,2.755383,2.767972,2.793650,2.822713,2.832856,2.679690", \ "2.838030,2.861236,2.860676,2.872433,2.869094,2.878061,2.771036", \ "2.968128,3.064114,3.051936,3.031771,3.010091,2.988452,2.910850", \ "3.184687,3.261876,3.304938,3.271639,3.224557,3.183675,3.086996"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.676878,0.711892,0.716848,0.757347,0.761265,0.784463,0.779263", \ "0.655401,0.701275,0.723401,0.740041,0.747392,0.771585,0.766568", \ "0.613889,0.658159,0.672929,0.717812,0.727309,0.755939,0.753602", \ "0.584928,0.617721,0.632598,0.681210,0.698620,0.733267,0.736409", \ "0.666154,0.675641,0.666958,0.673710,0.704067,0.725387,0.732840", \ "0.793287,0.793330,0.776037,0.757066,0.711213,0.741853,0.748058", \ "0.978807,0.983089,0.956583,0.924178,0.866603,0.816387,0.813075"); } } } pin (S) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 25.240590; function : "(A ^ B)"; timing () { related_pin : "A"; when : "!B"; sdf_cond : "(B == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); values ("0.167605,0.170202,0.174759,0.182896,0.196860,0.220159,0.259050", \ "0.168338,0.170970,0.175564,0.183756,0.197801,0.221170,0.260096", \ "0.181466,0.184121,0.188740,0.196999,0.211085,0.234510,0.273507", \ "0.214438,0.217089,0.221714,0.229797,0.243903,0.267261,0.306214", \ "0.267514,0.270241,0.274987,0.283327,0.297512,0.321093,0.360121", \ "0.330468,0.333596,0.338938,0.348264,0.363783,0.388819,0.429221", \ "0.398564,0.402112,0.408147,0.418572,0.435688,0.462572,0.504647"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); values ("0.123499,0.131363,0.145935,0.175135,0.234104,0.353672,0.595778", \ "0.128878,0.136916,0.151796,0.181509,0.240985,0.360759,0.602601", \ "0.142988,0.151314,0.166718,0.197372,0.258352,0.379771,0.622579", \ "0.162839,0.171237,0.186834,0.217737,0.279339,0.401815,0.645863", \ "0.183750,0.192040,0.207411,0.237548,0.299002,0.422067,0.666942", \ "0.201537,0.209968,0.225495,0.256142,0.317097,0.438686,0.684231", \ "0.213846,0.222350,0.237956,0.268518,0.329857,0.452189,0.695740"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); values ("0.029061,0.030423,0.032723,0.036908,0.044251,0.057274,0.081519", \ "0.029083,0.030444,0.032740,0.036921,0.044269,0.057269,0.081521", \ "0.029092,0.030445,0.032737,0.036904,0.044231,0.057246,0.081500", \ "0.029241,0.030565,0.032815,0.036953,0.044237,0.057237,0.081500", \ "0.030740,0.031958,0.034056,0.037935,0.044943,0.057685,0.081759", \ "0.034930,0.036201,0.038351,0.042178,0.049001,0.061332,0.084585", \ "0.040735,0.042059,0.044253,0.048030,0.054499,0.066077,0.088504"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); values ("0.058352,0.065960,0.080092,0.108424,0.164763,0.276836,0.499798", \ "0.058356,0.065961,0.080091,0.108423,0.164775,0.276841,0.499802", \ "0.058373,0.065966,0.080095,0.108425,0.164766,0.276872,0.499792", \ "0.058405,0.065992,0.080112,0.108428,0.164770,0.276841,0.499795", \ "0.053641,0.061137,0.075265,0.104814,0.163088,0.276817,0.499792", \ "0.053450,0.060783,0.074528,0.102340,0.158159,0.272804,0.499789", \ "0.054546,0.061595,0.075002,0.102447,0.157886,0.268956,0.495628"); } } timing () { related_pin : "A"; when : "B"; sdf_cond : "(B == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); values ("0.018199,0.020251,0.024053,0.031606,0.046664,0.076712,0.136766", \ "0.022738,0.024834,0.028694,0.036320,0.051452,0.081558,0.141646", \ "0.036832,0.039780,0.044740,0.053241,0.068293,0.098378,0.158474", \ "0.048953,0.053680,0.061830,0.075557,0.097474,0.131044,0.190736", \ "0.055335,0.061991,0.073761,0.093612,0.125103,0.172518,0.242058", \ "0.054506,0.063454,0.078908,0.105379,0.147456,0.210542,0.301771", \ "0.045457,0.056908,0.076358,0.109635,0.162890,0.242958,0.358192"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); values ("0.064113,0.072761,0.088617,0.119844,0.181609,0.304433,0.549502", \ "0.065115,0.073962,0.090193,0.122030,0.184535,0.308019,0.553558", \ "0.079078,0.087495,0.103249,0.134712,0.197251,0.321295,0.567615", \ "0.112323,0.121243,0.136325,0.166400,0.227551,0.350518,0.596507", \ "0.151389,0.162848,0.183021,0.218772,0.279635,0.400412,0.644547", \ "0.194508,0.208865,0.233778,0.277945,0.352143,0.474413,0.715538", \ "0.242507,0.259548,0.289029,0.341524,0.430218,0.572795,0.812472"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); values ("0.010694,0.012446,0.015728,0.022278,0.035399,0.061612,0.114062", \ "0.010680,0.012440,0.015730,0.022286,0.035390,0.061611,0.114064", \ "0.016170,0.017436,0.019624,0.024078,0.035479,0.061613,0.114066", \ "0.028787,0.030579,0.033447,0.038591,0.047560,0.065454,0.114068", \ "0.045626,0.048421,0.052179,0.058921,0.070159,0.088543,0.123054", \ "0.066491,0.070161,0.075335,0.084075,0.098267,0.120577,0.156079", \ "0.091310,0.095808,0.102489,0.113678,0.131451,0.158545,0.199966"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); values ("0.048332,0.055875,0.069878,0.097798,0.153548,0.264998,0.487566", \ "0.048282,0.055863,0.069881,0.097789,0.153555,0.264990,0.487568", \ "0.047560,0.055438,0.069748,0.097814,0.153594,0.264985,0.487576", \ "0.056132,0.061427,0.072448,0.097553,0.153586,0.265013,0.487561", \ "0.075696,0.081982,0.092707,0.111811,0.156590,0.264979,0.487563", \ "0.097631,0.105056,0.117769,0.140624,0.180010,0.269532,0.487594", \ "0.122226,0.130902,0.145834,0.172572,0.218312,0.296613,0.488789"); } } timing () { related_pin : "B"; when : "!A"; sdf_cond : "(A == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); values ("0.181960,0.184573,0.189145,0.197279,0.211246,0.234518,0.273357", \ "0.185664,0.188279,0.192900,0.201090,0.215095,0.238404,0.277279", \ "0.202613,0.205271,0.209880,0.218121,0.232214,0.255575,0.294471", \ "0.233010,0.235658,0.240290,0.248308,0.262340,0.285731,0.324691", \ "0.277181,0.279896,0.284611,0.292992,0.307136,0.330705,0.369641", \ "0.330347,0.333361,0.338555,0.347640,0.362759,0.387510,0.427694", \ "0.391105,0.394408,0.400070,0.409902,0.426226,0.452546,0.494503"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); values ("0.110047,0.118486,0.134000,0.164719,0.225734,0.347611,0.591698", \ "0.115243,0.123690,0.139288,0.170205,0.231505,0.353538,0.597553", \ "0.132337,0.140763,0.156381,0.187448,0.249237,0.371978,0.616593", \ "0.156377,0.164818,0.180415,0.211364,0.273198,0.396249,0.641378", \ "0.182116,0.190332,0.205552,0.235644,0.296720,0.419973,0.665343", \ "0.204735,0.213040,0.228379,0.258727,0.319185,0.440871,0.686513", \ "0.221742,0.230157,0.245600,0.275987,0.336720,0.458441,0.702330"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); values ("0.028904,0.030248,0.032540,0.036710,0.044062,0.057133,0.081420", \ "0.028826,0.030187,0.032461,0.036643,0.044004,0.057059,0.081377", \ "0.028784,0.030132,0.032415,0.036574,0.043929,0.057023,0.081345", \ "0.028911,0.030244,0.032498,0.036632,0.043936,0.057005,0.081344", \ "0.029893,0.031156,0.033336,0.037315,0.044420,0.057293,0.081501", \ "0.032396,0.033733,0.035972,0.040039,0.047202,0.059925,0.083601", \ "0.035815,0.037194,0.039525,0.043640,0.050817,0.063448,0.086985"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); values ("0.048635,0.056093,0.070011,0.098029,0.153897,0.265366,0.487668", \ "0.048631,0.056095,0.070007,0.098027,0.153885,0.265365,0.487656", \ "0.048652,0.056099,0.070010,0.098026,0.153901,0.265367,0.487670", \ "0.048673,0.056138,0.070043,0.098023,0.153888,0.265376,0.487666", \ "0.047708,0.054883,0.068377,0.096581,0.153312,0.265423,0.487666", \ "0.048927,0.056070,0.069509,0.096783,0.151488,0.263869,0.487677", \ "0.050634,0.057564,0.070737,0.097806,0.152655,0.262363,0.486244"); } } timing () { related_pin : "B"; when : "A"; sdf_cond : "(A == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); values ("0.020928,0.022973,0.026759,0.034302,0.049349,0.079392,0.139449", \ "0.026066,0.028151,0.031993,0.039602,0.054713,0.084806,0.144893", \ "0.039724,0.042259,0.046721,0.054905,0.070179,0.100410,0.160594", \ "0.055506,0.059309,0.065789,0.077042,0.096184,0.128995,0.189481", \ "0.067800,0.073355,0.083245,0.099687,0.125738,0.166651,0.233727", \ "0.073789,0.081146,0.095294,0.118511,0.154302,0.207227,0.287270", \ "0.072137,0.082174,0.100332,0.130974,0.178269,0.246839,0.344605"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); values ("0.082545,0.091018,0.106689,0.137790,0.199552,0.322489,0.567729", \ "0.084335,0.092955,0.108848,0.140262,0.202390,0.325651,0.571123", \ "0.098316,0.106806,0.122597,0.153963,0.216237,0.339828,0.585708", \ "0.132067,0.139904,0.155078,0.185712,0.247087,0.369933,0.615470", \ "0.178106,0.188258,0.206393,0.239203,0.299105,0.420201,0.664181", \ "0.227900,0.240697,0.263239,0.303960,0.373892,0.494265,0.735563", \ "0.282286,0.297571,0.324415,0.373015,0.456727,0.593945,0.832648"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); values ("0.010697,0.012452,0.015731,0.022279,0.035397,0.061614,0.114064", \ "0.010690,0.012452,0.015727,0.022283,0.035389,0.061618,0.114063", \ "0.013015,0.014578,0.017385,0.023072,0.035421,0.061621,0.114073", \ "0.020319,0.021877,0.024691,0.030222,0.041277,0.063661,0.114078", \ "0.032776,0.034712,0.037701,0.043227,0.053835,0.075214,0.119012", \ "0.049494,0.052067,0.055655,0.062011,0.073043,0.093678,0.135791", \ "0.069769,0.073022,0.077571,0.085471,0.098196,0.119462,0.160229"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); values ("0.058047,0.065704,0.079930,0.108204,0.164448,0.276490,0.499707", \ "0.058035,0.065699,0.079922,0.108194,0.164444,0.276466,0.499706", \ "0.057878,0.065605,0.079859,0.108160,0.164431,0.276455,0.499699", \ "0.060743,0.067310,0.080117,0.107891,0.164398,0.276428,0.499689", \ "0.079085,0.085377,0.095985,0.116920,0.165557,0.276435,0.499698", \ "0.100942,0.108428,0.121248,0.144226,0.184760,0.279068,0.499704", \ "0.124869,0.133815,0.149098,0.176221,0.222113,0.302755,0.500199"); } } internal_power () { related_pin : "A"; when : "!B"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); values ("3.954873,3.961969,3.976755,3.999476,4.035758,4.068563,4.115553", \ "3.916291,3.920198,3.941817,3.960218,3.993262,4.037919,4.085577", \ "3.877400,3.886571,3.916882,3.937021,3.978644,4.022045,4.066942", \ "3.888065,3.904740,3.925744,3.964723,4.002461,4.051879,4.102121", \ "3.895770,3.909557,3.952192,3.995672,4.062029,4.122596,4.177735", \ "3.886067,3.904602,3.952242,4.017099,4.139451,4.227250,4.289209", \ "3.915352,3.939447,3.985427,4.034498,4.149749,4.285754,4.434188"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); values ("2.556979,2.546366,2.532464,2.500449,2.442572,2.377665,2.327323", \ "2.554148,2.552227,2.544658,2.522291,2.471907,2.431552,2.376768", \ "2.439751,2.444009,2.447375,2.456146,2.454331,2.433196,2.380838", \ "2.356928,2.363036,2.376247,2.387163,2.388943,2.411427,2.397237", \ "2.327118,2.336638,2.354860,2.370533,2.397780,2.403174,2.435367", \ "2.491651,2.477070,2.453930,2.405502,2.452393,2.462992,2.507087", \ "2.718380,2.715446,2.704701,2.668043,2.602457,2.613496,2.635880"); } } internal_power () { related_pin : "A"; when : "B"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); values ("0.770924,0.785157,0.795068,0.819354,0.834989,0.846047,0.847537", \ "0.757283,0.770029,0.780783,0.812317,0.846862,0.845494,0.883063", \ "0.733791,0.751835,0.761818,0.795732,0.805834,0.827620,0.867243", \ "0.749586,0.756620,0.770885,0.794443,0.816451,0.833731,0.845562", \ "0.887508,0.891419,0.887467,0.861883,0.862281,0.838344,0.878552", \ "1.082897,1.083191,1.078703,1.053198,1.012940,0.944129,0.962490", \ "1.350751,1.356452,1.348106,1.322420,1.253862,1.181091,1.105962"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); values ("2.247532,2.277960,2.302271,2.325436,2.354938,2.360817,2.382101", \ "2.186086,2.220428,2.258197,2.291032,2.328164,2.340651,2.366321", \ "2.132079,2.163377,2.205313,2.234634,2.266991,2.298936,2.333596", \ "2.171746,2.199973,2.226460,2.244939,2.259461,2.286839,2.309417", \ "2.300719,2.324271,2.337417,2.342353,2.338840,2.337182,2.344622", \ "2.409227,2.452480,2.509568,2.529933,2.503638,2.481900,2.454347", \ "2.657190,2.694076,2.737028,2.762281,2.759849,2.704680,2.655279"); } } internal_power () { related_pin : "B"; when : "!A"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); values ("4.181969,4.187344,4.205925,4.245166,4.273551,4.327713,4.378438", \ "4.126310,4.138474,4.166066,4.197675,4.237288,4.285432,4.342710", \ "4.090602,4.118616,4.143664,4.178524,4.219281,4.257275,4.318608", \ "4.064518,4.079869,4.115676,4.147694,4.199822,4.263828,4.318811", \ "3.988129,4.022403,4.053284,4.105382,4.168691,4.249705,4.317021", \ "3.872632,3.908536,3.949493,4.038244,4.155555,4.267606,4.338417", \ "3.802688,3.825605,3.860463,3.945892,4.056589,4.205412,4.379328"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); values ("2.178286,2.184230,2.194411,2.196120,2.189403,2.175564,2.155020", \ "2.161575,2.168222,2.180192,2.191388,2.180447,2.192788,2.171349", \ "2.100019,2.106251,2.120955,2.130206,2.148218,2.150582,2.139687", \ "2.066259,2.076776,2.084272,2.097026,2.106915,2.127907,2.126989", \ "2.084456,2.087671,2.097157,2.104079,2.111302,2.133181,2.169236", \ "2.298797,2.283744,2.252288,2.180563,2.184232,2.194926,2.236914", \ "2.539327,2.531764,2.513324,2.462807,2.367339,2.310717,2.352248"); } } internal_power () { related_pin : "B"; when : "A"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); values ("0.677627,0.683660,0.689974,0.720197,0.729472,0.771621,0.776762", \ "0.659848,0.675890,0.696184,0.720854,0.741438,0.757499,0.763704", \ "0.611220,0.625440,0.636711,0.660715,0.714365,0.735864,0.764199", \ "0.585349,0.589533,0.623259,0.640468,0.646881,0.705679,0.722651", \ "0.663263,0.670148,0.670433,0.667352,0.674241,0.695651,0.718627", \ "0.794451,0.791198,0.795739,0.784179,0.757705,0.721175,0.731056", \ "0.977529,0.973940,0.984561,0.966688,0.932744,0.880450,0.809200"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); values ("2.760328,2.777003,2.793412,2.829500,2.847457,2.873796,2.885140", \ "2.715766,2.738568,2.758153,2.785622,2.826046,2.852011,2.865705", \ "2.677919,2.695361,2.722833,2.752223,2.775576,2.809783,2.844665", \ "2.713289,2.727678,2.742483,2.761873,2.792918,2.807651,2.842749", \ "2.840025,2.852915,2.855882,2.857355,2.859498,2.876736,2.885741", \ "2.963933,3.006197,3.052302,3.058240,3.022061,3.005822,2.997572", \ "3.183914,3.212474,3.247502,3.292391,3.287979,3.241745,3.196658"); } } } } /****************************************************************************************** Module : INV_X1 Cell Description : Combinational cell (INV_X1) with drive strength X1 *******************************************************************************************/ cell (INV_X1) { drive_strength : 1; area : 0.532000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 10.463214; leakage_power () { when : "!A"; value : 12.327295; } leakage_power () { when : "A"; value : 8.599134; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.643743; fall_capacitance : 1.476568; rise_capacitance : 1.643743; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 60.688230; function : "!A"; timing () { related_pin : "A"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("0.00680083,0.0104882,0.0149384,0.0237733,0.0413920,0.0766024,0.147001", \ "0.0116800,0.0157766,0.0202414,0.0290943,0.0467279,0.0819422,0.152340", \ "0.0195214,0.0285186,0.0363433,0.0479024,0.0657688,0.100872,0.171206", \ "0.0238508,0.0394277,0.0528373,0.0721174,0.0992071,0.137620,0.207566", \ "0.0225576,0.0456551,0.0657269,0.0945455,0.134210,0.188612,0.264977", \ "0.0147965,0.0457062,0.0730674,0.112657,0.167076,0.240447,0.340250", \ "-0.0000766732,0.0385978,0.0734842,0.124690,0.195565,0.290516,0.417646"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("0.0189849,0.0327855,0.0491615,0.0814305,0.145625,0.273717,0.529709", \ "0.0233616,0.0369347,0.0534660,0.0860138,0.150463,0.278774,0.534877", \ "0.0404648,0.0559275,0.0718239,0.103973,0.168410,0.296850,0.553138", \ "0.0609398,0.0850371,0.107186,0.141044,0.204469,0.332379,0.588481", \ "0.0844201,0.116988,0.147483,0.193996,0.262887,0.389358,0.644529", \ "0.111232,0.152145,0.190951,0.250791,0.339280,0.471156,0.724595", \ "0.142032,0.190927,0.237889,0.311083,0.420251,0.578499,0.831640"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("0.00291295,0.00609505,0.0100426,0.0179372,0.0337304,0.0653118,0.128488", \ "0.00404327,0.00628093,0.0100418,0.0179394,0.0337332,0.0653130,0.128482", \ "0.0103078,0.0132958,0.0162218,0.0211288,0.0339418,0.0653124,0.128498", \ "0.0206219,0.0252141,0.0293178,0.0357920,0.0461204,0.0679536,0.128480", \ "0.0344823,0.0414489,0.0472868,0.0558494,0.0688232,0.0892945,0.133174", \ "0.0514922,0.0614354,0.0697961,0.0811730,0.0974243,0.121906,0.160677", \ "0.0719663,0.0851360,0.0964119,0.111498,0.131918,0.161116,0.205620"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("0.0116113,0.0240056,0.0393717,0.0700680,0.131518,0.254334,0.499837", \ "0.0116068,0.0240057,0.0393681,0.0700680,0.131505,0.254341,0.499837", \ "0.0182917,0.0259921,0.0393486,0.0700757,0.131514,0.254365,0.499839", \ "0.0302012,0.0407656,0.0508647,0.0728698,0.131471,0.254337,0.499869", \ "0.0444295,0.0589607,0.0726152,0.0939851,0.137408,0.254306,0.499810", \ "0.0610876,0.0797559,0.0974455,0.124362,0.165509,0.259349,0.499906", \ "0.0809307,0.103294,0.125114,0.158283,0.207790,0.287955,0.500292"); } } internal_power () { related_pin : "A"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("-0.000073,-0.000102,-0.000136,-0.000205,-0.000342,-0.000617,-0.001166", \ "-0.000230,-0.000258,-0.000293,-0.000361,-0.000499,-0.000773,-0.001322", \ "-0.000760,-0.000804,-0.000844,-0.000913,-0.001050,-0.001324,-0.001873", \ "-0.001730,-0.001800,-0.001863,-0.001958,-0.002105,-0.002377,-0.002925", \ "0.111130,0.042797,-0.003400,-0.003534,-0.003728,-0.004021,-0.004567", \ "0.401756,0.310006,0.232498,0.132284,0.032193,-0.006309,-0.006874", \ "0.782923,0.681284,0.580506,0.436800,0.270624,0.116140,-0.009902"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("1.402648,1.442505,1.465772,1.462819,1.445423,1.484151,1.486234", \ "1.324073,1.360377,1.401601,1.418752,1.402766,1.434597,1.458571", \ "1.347745,1.347882,1.338742,1.345058,1.389909,1.426263,1.399515", \ "1.340135,1.442436,1.401097,1.405059,1.370567,1.396930,1.371089", \ "1.473851,1.510893,1.555870,1.512282,1.452453,1.451689,1.407806", \ "1.759813,1.749141,1.746849,1.760557,1.678378,1.566084,1.490847", \ "2.178603,2.139472,2.091766,2.031296,2.000670,1.796338,1.608367"); } } } } /****************************************************************************************** Module : INV_X2 Cell Description : Combinational cell (INV_X2) with drive strength X2 *******************************************************************************************/ cell (INV_X2) { drive_strength : 2; area : 0.798000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 20.938475; leakage_power () { when : "!A"; value : 24.664185; } leakage_power () { when : "A"; value : 17.212765; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.135991; fall_capacitance : 2.805764; rise_capacitance : 3.135991; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 121.263800; function : "!A"; timing () { related_pin : "A"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); values ("0.00656034,0.0106969,0.0151459,0.0239790,0.0415998,0.0768111,0.147220", \ "0.0113307,0.0159845,0.0204481,0.0293009,0.0469339,0.0821521,0.152559", \ "0.0186693,0.0288911,0.0366427,0.0481299,0.0659738,0.101081,0.171425", \ "0.0223166,0.0400434,0.0533089,0.0724639,0.0994676,0.137832,0.207784", \ "0.0202612,0.0465390,0.0664264,0.0950280,0.134587,0.188887,0.265199", \ "0.0117458,0.0467956,0.0739858,0.113339,0.167570,0.240813,0.340529", \ "-0.00385381,0.0399496,0.0746159,0.125615,0.196226,0.291013,0.418006"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); values ("0.0178372,0.0333348,0.0497009,0.0819608,0.146121,0.274260,0.530321", \ "0.0222879,0.0374943,0.0540129,0.0865602,0.150989,0.279319,0.535487", \ "0.0389144,0.0564584,0.0723636,0.104530,0.168921,0.297410,0.553780", \ "0.0585282,0.0858501,0.107798,0.141572,0.205013,0.332963,0.589160", \ "0.0811846,0.118102,0.148323,0.194628,0.263419,0.389925,0.645212", \ "0.107206,0.153500,0.192092,0.251658,0.339966,0.471774,0.725269", \ "0.137265,0.192551,0.239259,0.312221,0.421111,0.579128,0.832281"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); values ("0.00266104,0.00622214,0.0101677,0.0180656,0.0338496,0.0654420,0.128625", \ "0.00385047,0.00638641,0.0101701,0.0180648,0.0338596,0.0654454,0.128622", \ "0.00999496,0.0133754,0.0162877,0.0211959,0.0340615,0.0654427,0.128626", \ "0.0200927,0.0253026,0.0293873,0.0358411,0.0461819,0.0680605,0.128623", \ "0.0336463,0.0415596,0.0473325,0.0558780,0.0688461,0.0893405,0.133290", \ "0.0503369,0.0616796,0.0698328,0.0811699,0.0974440,0.121910,0.160714", \ "0.0704777,0.0854753,0.0965351,0.111488,0.131881,0.161068,0.205614"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); values ("0.0105992,0.0244533,0.0398117,0.0704936,0.131889,0.254710,0.500254", \ "0.0105955,0.0244562,0.0398070,0.0705113,0.131889,0.254709,0.500241", \ "0.0175666,0.0263251,0.0398005,0.0704971,0.131889,0.254712,0.500253", \ "0.0291303,0.0410270,0.0510633,0.0732219,0.131890,0.254704,0.500254", \ "0.0429619,0.0593517,0.0728478,0.0941791,0.137755,0.254695,0.500219", \ "0.0592498,0.0803254,0.0977436,0.124538,0.165657,0.259688,0.500283", \ "0.0788177,0.104036,0.125556,0.158538,0.207969,0.288206,0.500687"); } } internal_power () { related_pin : "A"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); values ("-0.000143,-0.000206,-0.000275,-0.000413,-0.000688,-0.001239,-0.002340", \ "-0.000456,-0.000519,-0.000588,-0.000726,-0.001002,-0.001552,-0.002653", \ "-0.001512,-0.001612,-0.001693,-0.001830,-0.002104,-0.002654,-0.003754", \ "-0.003447,-0.003607,-0.003733,-0.003923,-0.004215,-0.004762,-0.005860", \ "0.375554,0.220928,0.120380,0.012775,-0.007465,-0.008052,-0.009146", \ "0.960093,0.754007,0.598055,0.400869,0.200524,0.019345,-0.013763", \ "1.721402,1.493029,1.293397,1.006379,0.677779,0.369083,0.103849"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); values ("2.740394,2.836170,2.853475,2.903912,2.897732,2.832847,2.886456", \ "2.608176,2.696752,2.725429,2.736967,2.858239,2.768250,2.820760", \ "2.642629,2.648072,2.638254,2.683910,2.697943,2.766711,2.842082", \ "2.612372,2.839845,2.803576,2.709889,2.662331,2.711051,2.788260", \ "2.895917,2.987389,3.059877,3.024542,2.825758,2.821139,2.812071", \ "3.487865,3.451293,3.434877,3.439233,3.279977,3.131371,2.894693", \ "4.326873,4.239872,4.153410,4.038456,3.920014,3.550310,3.260355"); } } } } /****************************************************************************************** Module : INV_X4 Cell Description : Combinational cell (INV_X4) with drive strength X4 *******************************************************************************************/ cell (INV_X4) { drive_strength : 4; area : 1.330000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 41.876997; leakage_power () { when : "!A"; value : 49.328465; } leakage_power () { when : "A"; value : 34.425530; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 6.114219; fall_capacitance : 5.485797; rise_capacitance : 6.114219; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 242.692500; function : "!A"; timing () { related_pin : "A"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); values ("0.00646120,0.0108365,0.0152860,0.0241232,0.0417520,0.0769808,0.147426", \ "0.0111038,0.0161099,0.0205784,0.0294348,0.0470762,0.0823121,0.152753", \ "0.0179379,0.0289816,0.0367524,0.0482552,0.0661126,0.101235,0.171615", \ "0.0209009,0.0400718,0.0533779,0.0725805,0.0995996,0.137987,0.207973", \ "0.0180949,0.0464920,0.0664500,0.0951134,0.134714,0.189038,0.265388", \ "0.00884437,0.0466264,0.0739234,0.113381,0.167683,0.240966,0.340710", \ "-0.00746477,0.0396303,0.0745158,0.125616,0.196334,0.291172,0.418197"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); values ("0.0168530,0.0332727,0.0496418,0.0819139,0.146095,0.274228,0.530361", \ "0.0213865,0.0374214,0.0539450,0.0865019,0.150950,0.279281,0.535521", \ "0.0374892,0.0563941,0.0723062,0.104469,0.168878,0.297390,0.553817", \ "0.0562948,0.0856471,0.107681,0.141514,0.204976,0.332940,0.589211", \ "0.0781192,0.117794,0.148090,0.194493,0.263373,0.389927,0.645284", \ "0.103413,0.153082,0.191732,0.251434,0.339871,0.471781,0.725330", \ "0.132772,0.191993,0.238851,0.311910,0.420998,0.579139,0.832370"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); values ("0.00244578,0.00619418,0.0101436,0.0180409,0.0338373,0.0654422,0.128654", \ "0.00368551,0.00636007,0.0101435,0.0180415,0.0338422,0.0654484,0.128649", \ "0.00972595,0.0133480,0.0162580,0.0211710,0.0340455,0.0654433,0.128662", \ "0.0196364,0.0252521,0.0293427,0.0358038,0.0461644,0.0680567,0.128652", \ "0.0329590,0.0414592,0.0472540,0.0558181,0.0688174,0.0893320,0.133323", \ "0.0493223,0.0615516,0.0696811,0.0810880,0.0973699,0.121876,0.160722", \ "0.0691423,0.0853519,0.0963295,0.111344,0.131783,0.161009,0.205617"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); values ("0.00964216,0.0242344,0.0395741,0.0702792,0.131665,0.254443,0.499991", \ "0.00965061,0.0242339,0.0395774,0.0702754,0.131645,0.254444,0.499986", \ "0.0168585,0.0261493,0.0395762,0.0702657,0.131646,0.254467,0.499996", \ "0.0280520,0.0408326,0.0509148,0.0730154,0.131657,0.254444,0.499985", \ "0.0415170,0.0590689,0.0726171,0.0939981,0.137536,0.254434,0.499987", \ "0.0574773,0.0800125,0.0974272,0.124292,0.165453,0.259457,0.499984", \ "0.0767623,0.103705,0.125161,0.158198,0.207689,0.287990,0.500398"); } } internal_power () { related_pin : "A"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); values ("-0.000280,-0.000413,-0.000552,-0.000827,-0.001378,-0.002480,-0.004683", \ "-0.000907,-0.001040,-0.001178,-0.001454,-0.002005,-0.003107,-0.005310", \ "-0.003010,-0.003224,-0.003386,-0.003662,-0.004210,-0.005310,-0.007512", \ "-0.006868,-0.007214,-0.007465,-0.007847,-0.008432,-0.009527,-0.011723", \ "0.799328,0.448713,0.245212,0.025281,-0.014931,-0.016106,-0.018295", \ "1.954381,1.515866,1.199515,0.801902,0.397174,0.036938,-0.027529", \ "3.473707,2.993648,2.590730,2.014309,1.351008,0.736764,0.205844"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); values ("5.337689,5.594213,5.600796,5.612644,5.528557,5.650289,5.652688", \ "5.073354,5.297362,5.345601,5.449921,5.632511,5.571133,5.546197", \ "5.197215,5.211850,5.191389,5.263085,5.313658,5.447086,5.589151", \ "5.066753,5.580579,5.503431,5.415934,5.242758,5.336923,5.484382", \ "5.696840,5.852466,6.012239,5.941419,5.635846,5.561836,5.413817", \ "6.870662,6.794962,6.767919,6.793022,6.477250,6.027687,5.701384", \ "8.554681,8.379961,8.213848,7.995165,7.758199,6.955434,6.438922"); } } } } /****************************************************************************************** Module : INV_X8 Cell Description : Combinational cell (INV_X8) with drive strength X8 *******************************************************************************************/ cell (INV_X8) { drive_strength : 8; area : 2.394000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 83.754565; leakage_power () { when : "!A"; value : 98.657500; } leakage_power () { when : "A"; value : 68.851630; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 11.807388; fall_capacitance : 10.634587; rise_capacitance : 11.807388; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 485.107500; function : "!A"; timing () { related_pin : "A"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,15.163400,30.326800,60.653600,121.307000,242.614000,485.229000"); values ("0.00703330,0.0115466,0.0159972,0.0248306,0.0424481,0.0776526,0.148048", \ "0.0115838,0.0167278,0.0212028,0.0300566,0.0476882,0.0828980,0.153288", \ "0.0183143,0.0296754,0.0374033,0.0488633,0.0666991,0.101801,0.172131", \ "0.0210633,0.0408309,0.0540942,0.0732411,0.100204,0.138540,0.208481", \ "0.0180277,0.0472920,0.0672074,0.0958149,0.135348,0.189608,0.265893", \ "0.00851974,0.0474777,0.0747070,0.114113,0.168339,0.241539,0.341207", \ "-0.00801726,0.0405016,0.0753152,0.126361,0.196997,0.291744,0.418679"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,15.163400,30.326800,60.653600,121.307000,242.614000,485.229000"); values ("0.0170081,0.0339033,0.0502793,0.0825450,0.146694,0.274755,0.530725", \ "0.0215369,0.0380087,0.0545305,0.0870712,0.151490,0.279730,0.535812", \ "0.0374286,0.0569529,0.0728684,0.105023,0.169400,0.297810,0.554096", \ "0.0559445,0.0862227,0.108236,0.142054,0.205484,0.333369,0.589493", \ "0.0775482,0.118382,0.148654,0.195020,0.263869,0.390343,0.645564", \ "0.102613,0.153721,0.192298,0.251950,0.340346,0.472204,0.725602", \ "0.131771,0.192692,0.239419,0.312421,0.421447,0.579539,0.832644"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,15.163400,30.326800,60.653600,121.307000,242.614000,485.229000"); values ("0.00247144,0.00629403,0.0102351,0.0181271,0.0339147,0.0654995,0.128661", \ "0.00367694,0.00645248,0.0102396,0.0181265,0.0339169,0.0654996,0.128663", \ "0.00974710,0.0134283,0.0163121,0.0212199,0.0341155,0.0654949,0.128667", \ "0.0196264,0.0253647,0.0294402,0.0358682,0.0461942,0.0680972,0.128666", \ "0.0328688,0.0415842,0.0473530,0.0559080,0.0688738,0.0893535,0.133326", \ "0.0491408,0.0616964,0.0697812,0.0811678,0.0974402,0.121915,0.160720", \ "0.0688574,0.0855350,0.0964186,0.111433,0.131845,0.161048,0.205617"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,15.163400,30.326800,60.653600,121.307000,242.614000,485.229000"); values ("0.00935610,0.0242755,0.0396118,0.0702802,0.131614,0.254321,0.499684", \ "0.00936145,0.0242787,0.0396052,0.0702834,0.131622,0.254304,0.499686", \ "0.0166444,0.0261890,0.0396224,0.0702722,0.131609,0.254303,0.499698", \ "0.0277275,0.0408543,0.0509242,0.0730298,0.131615,0.254307,0.499695", \ "0.0410426,0.0590898,0.0726230,0.0939938,0.137507,0.254306,0.499685", \ "0.0569108,0.0800265,0.0974215,0.124273,0.165407,0.259330,0.499699", \ "0.0761166,0.103756,0.125136,0.158153,0.207621,0.287867,0.500106"); } } internal_power () { related_pin : "A"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,15.163400,30.326800,60.653600,121.307000,242.614000,485.229000"); values ("-0.000576,-0.000849,-0.001126,-0.001677,-0.002778,-0.004980,-0.009384", \ "-0.001827,-0.002099,-0.002376,-0.002928,-0.004029,-0.006232,-0.010636", \ "-0.006029,-0.006469,-0.006791,-0.007342,-0.008439,-0.010638,-0.015040", \ "-0.013739,-0.014452,-0.014952,-0.015713,-0.016882,-0.019071,-0.023462", \ "1.623890,0.907183,0.488102,0.054610,-0.029881,-0.032229,-0.036605", \ "3.990220,3.053919,2.405131,1.606515,0.804828,0.077156,-0.055074", \ "7.004452,6.017425,5.203097,4.033369,2.714063,1.477828,0.418981"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,15.163400,30.326800,60.653600,121.307000,242.614000,485.229000"); values ("10.566350,11.110200,11.269610,11.339370,11.405600,11.049810,11.241350", \ "10.077120,10.506990,10.616680,10.760250,11.155760,11.279190,11.037310", \ "10.360670,10.343830,10.278010,10.462540,10.779230,10.803360,11.127800", \ "10.111680,11.064610,10.941430,10.783790,10.381030,10.811550,10.922390", \ "11.350460,11.596710,11.962560,11.827630,11.479380,11.038240,10.688040", \ "13.731490,13.566800,13.479550,13.473030,12.853680,12.252740,11.363180", \ "17.120860,16.682490,16.376900,15.964700,15.472940,14.140220,12.842970"); } } } } /****************************************************************************************** Module : INV_X16 Cell Description : Combinational cell (INV_X16) with drive strength X16 *******************************************************************************************/ cell (INV_X16) { drive_strength : 16; area : 4.522000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 167.508275; leakage_power () { when : "!A"; value : 197.314050; } leakage_power () { when : "A"; value : 137.702500; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 24.694640; fall_capacitance : 22.183188; rise_capacitance : 24.694640; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 968.216600; function : "!A"; timing () { related_pin : "A"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,30.288700,60.577400,121.155000,242.310000,484.619000,969.238000"); values ("0.00630829,0.0108598,0.0153071,0.0241375,0.0417498,0.0769462,0.147326", \ "0.0108729,0.0161318,0.0205970,0.0294462,0.0470731,0.0822752,0.152655", \ "0.0173924,0.0290009,0.0367638,0.0482618,0.0661048,0.101196,0.171511", \ "0.0199422,0.0400815,0.0533791,0.0725665,0.0995742,0.137942,0.207865", \ "0.0166944,0.0464924,0.0664318,0.0950763,0.134655,0.188958,0.265271", \ "0.00698600,0.0466351,0.0738844,0.113315,0.167580,0.240832,0.340542", \ "-0.00974600,0.0396228,0.0744449,0.125508,0.196177,0.290969,0.417951"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,30.288700,60.577400,121.155000,242.310000,484.619000,969.238000"); values ("0.0162330,0.0333326,0.0497009,0.0819733,0.146152,0.274283,0.530417", \ "0.0208212,0.0374794,0.0540054,0.0865601,0.151013,0.279337,0.535579", \ "0.0366037,0.0564423,0.0723564,0.104521,0.168938,0.297433,0.553876", \ "0.0549213,0.0856886,0.107716,0.141555,0.205025,0.332981,0.589270", \ "0.0763011,0.117817,0.148111,0.194504,0.263397,0.389953,0.645331", \ "0.101154,0.153119,0.191727,0.251412,0.339854,0.471796,0.725357", \ "0.130115,0.192059,0.238814,0.311857,0.420931,0.579109,0.832391"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,30.288700,60.577400,121.155000,242.310000,484.619000,969.238000"); values ("0.00230941,0.00619582,0.0101415,0.0180371,0.0338283,0.0654172,0.128601", \ "0.00358337,0.00635993,0.0101422,0.0180368,0.0338311,0.0654173,0.128584", \ "0.00955631,0.0133461,0.0162567,0.0211720,0.0340346,0.0654191,0.128598", \ "0.0193407,0.0252289,0.0293315,0.0357912,0.0461528,0.0680425,0.128597", \ "0.0324853,0.0413898,0.0472027,0.0557908,0.0687927,0.0893199,0.133283", \ "0.0486502,0.0614595,0.0695843,0.0810218,0.0973295,0.121848,0.160711", \ "0.0683147,0.0852750,0.0961851,0.111240,0.131702,0.160953,0.205576"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,30.288700,60.577400,121.155000,242.310000,484.619000,969.238000"); values ("0.00911699,0.0242486,0.0395916,0.0702796,0.131677,0.254461,0.500022", \ "0.00911510,0.0242468,0.0395953,0.0702865,0.131678,0.254447,0.500012", \ "0.0164585,0.0261590,0.0395987,0.0702851,0.131668,0.254460,0.500013", \ "0.0274320,0.0408208,0.0509096,0.0730270,0.131677,0.254455,0.500027", \ "0.0406755,0.0590282,0.0725886,0.0939933,0.137551,0.254450,0.500024", \ "0.0564483,0.0799347,0.0973586,0.124247,0.165445,0.259475,0.500022", \ "0.0755696,0.103637,0.125044,0.158097,0.207628,0.287984,0.500448"); } } internal_power () { related_pin : "A"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,30.288700,60.577400,121.155000,242.310000,484.619000,969.238000"); values ("-0.001102,-0.001656,-0.002209,-0.003312,-0.005516,-0.009924,-0.018738", \ "-0.003610,-0.004160,-0.004714,-0.005818,-0.008024,-0.012431,-0.021245", \ "-0.011997,-0.012897,-0.013547,-0.014649,-0.016844,-0.021245,-0.030056", \ "-0.027402,-0.028858,-0.029861,-0.031386,-0.033730,-0.038111,-0.046899", \ "3.254652,1.769469,0.973708,0.092137,-0.059722,-0.064427,-0.073184", \ "7.921106,6.063210,4.779126,3.201829,1.611911,0.159017,-0.110120", \ "13.953020,12.005040,10.350310,8.050968,5.408049,2.961324,0.851795"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,30.288700,60.577400,121.155000,242.310000,484.619000,969.238000"); values ("21.306350,22.413280,22.636660,22.905990,22.066880,22.222770,22.475280", \ "20.307410,21.210880,21.636230,21.748440,22.485120,22.795050,22.054230", \ "20.863100,20.835520,20.915780,21.325240,21.218400,21.716340,22.234480", \ "20.199620,22.300870,21.893300,21.556180,21.282320,21.291780,21.831940", \ "22.730880,23.386530,23.934760,23.673530,22.257090,22.199030,21.368750", \ "27.510450,27.259970,27.006840,27.151470,25.886590,24.609860,22.729620", \ "34.293720,33.506360,32.798120,31.961970,31.008250,28.053250,25.705310"); } } } } /****************************************************************************************** Module : INV_X32 Cell Description : Combinational cell (INV_X32) with drive strength X32 *******************************************************************************************/ cell (INV_X32) { drive_strength : 32; area : 8.778000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 335.016075; leakage_power () { when : "!A"; value : 394.627150; } leakage_power () { when : "A"; value : 275.405000; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 48.557758; fall_capacitance : 43.686472; rise_capacitance : 48.557758; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 1922.333000; function : "!A"; timing () { related_pin : "A"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,60.119700,120.239000,240.479000,480.957000,961.915000,1923.830000"); values ("0.00648581,0.0110919,0.0155448,0.0243796,0.0419973,0.0772027,0.147599", \ "0.0110192,0.0163373,0.0208100,0.0296659,0.0472971,0.0825099,0.152899", \ "0.0174727,0.0291928,0.0369595,0.0484576,0.0663077,0.101408,0.171740", \ "0.0199002,0.0402234,0.0535256,0.0727170,0.0997355,0.138120,0.208060", \ "0.0165099,0.0465521,0.0664985,0.0951467,0.134737,0.189062,0.265416", \ "0.00666524,0.0465992,0.0738388,0.113268,0.167543,0.240819,0.340579", \ "-0.0102107,0.0394862,0.0742770,0.125316,0.195982,0.290800,0.417838"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,60.119700,120.239000,240.479000,480.957000,961.915000,1923.830000"); values ("0.0162904,0.0335310,0.0498957,0.0821548,0.146309,0.274391,0.530423", \ "0.0208705,0.0376597,0.0541846,0.0867313,0.151154,0.279426,0.535567", \ "0.0365550,0.0566033,0.0725185,0.104680,0.169072,0.297513,0.553855", \ "0.0547333,0.0857861,0.107830,0.141686,0.205133,0.333045,0.589234", \ "0.0760027,0.117848,0.148146,0.194553,0.263464,0.389978,0.645260", \ "0.100772,0.153091,0.191685,0.251360,0.339813,0.471765,0.725233", \ "0.129664,0.191984,0.238698,0.311700,0.420755,0.578956,0.832191"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,60.119700,120.239000,240.479000,480.957000,961.915000,1923.830000"); values ("0.00230689,0.00621398,0.0101636,0.0180726,0.0338993,0.0655491,0.128859", \ "0.00357173,0.00637415,0.0101655,0.0180735,0.0338980,0.0655520,0.128861", \ "0.00955068,0.0133565,0.0162663,0.0212003,0.0341053,0.0655498,0.128856", \ "0.0193116,0.0252399,0.0293413,0.0358209,0.0462037,0.0681802,0.128856", \ "0.0324122,0.0413906,0.0472188,0.0558110,0.0688256,0.0894271,0.133557", \ "0.0485531,0.0614365,0.0695729,0.0810200,0.0973519,0.121924,0.160962", \ "0.0681436,0.0852055,0.0961366,0.111201,0.131723,0.161014,0.205749"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,60.119700,120.239000,240.479000,480.957000,961.915000,1923.830000"); values ("0.00899779,0.0242043,0.0395452,0.0702274,0.131616,0.254365,0.499887", \ "0.00899805,0.0242054,0.0395417,0.0702257,0.131603,0.254367,0.499886", \ "0.0163754,0.0261320,0.0395480,0.0702248,0.131602,0.254363,0.499884", \ "0.0273017,0.0407850,0.0508919,0.0730113,0.131605,0.254367,0.499888", \ "0.0404702,0.0589546,0.0725278,0.0939640,0.137517,0.254363,0.499880", \ "0.0561753,0.0798132,0.0972444,0.124157,0.165421,0.259414,0.499891", \ "0.0752599,0.103462,0.124873,0.157941,0.207523,0.287959,0.500330"); } } internal_power () { related_pin : "A"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,60.119700,120.239000,240.479000,480.957000,961.915000,1923.830000"); values ("-0.002222,-0.003341,-0.004453,-0.006672,-0.011104,-0.019966,-0.037689", \ "-0.007234,-0.008347,-0.009461,-0.011682,-0.016116,-0.024978,-0.042700", \ "-0.024001,-0.025818,-0.027122,-0.029340,-0.033753,-0.042603,-0.060319", \ "-0.002812,-0.057733,-0.059744,-0.062805,-0.067520,-0.076331,-0.094003", \ "6.501713,3.623517,1.971893,0.232887,-0.119485,-0.128951,-0.146567", \ "15.827660,12.180530,9.634144,6.456996,3.275011,0.411114,-0.220424", \ "28.060670,24.052000,20.780180,16.192010,10.918280,6.037716,1.835472"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,60.119700,120.239000,240.479000,480.957000,961.915000,1923.830000"); values ("42.150840,44.140460,44.590410,45.313880,44.741680,43.847840,44.246320", \ "40.202020,41.963970,42.604280,43.757450,44.721380,45.000890,43.430960", \ "41.222960,41.254750,41.608280,42.080010,42.994110,42.899050,43.820950", \ "40.070800,44.186280,43.451420,43.185960,41.459410,42.107780,43.074960", \ "45.177700,46.399060,48.064870,47.450730,44.800310,43.970330,44.382610", \ "54.589690,53.982900,54.068810,54.285580,51.434080,47.905730,45.021590", \ "68.174700,66.461650,65.043970,63.659790,61.743340,55.301270,51.050430"); } } } } /****************************************************************************************** Module : LOGIC0_X1 Cell Description : Physical cell (LOGIC0_X1) *******************************************************************************************/ cell (LOGIC0_X1) { drive_strength : 1; area : 0.532000; dont_touch : true; dont_use : true; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } pin (Z) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; function : "0"; } } /****************************************************************************************** Module : LOGIC1_X1 Cell Description : Physical cell (LOGIC1_X1) *******************************************************************************************/ cell (LOGIC1_X1) { drive_strength : 1; area : 0.532000; dont_touch : true; dont_use : true; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } pin (Z) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; function : "1"; } } /****************************************************************************************** Module : MUX2_X1 Cell Description : Combinational cell (MUX2_X1) with drive strength X1 *******************************************************************************************/ cell (MUX2_X1) { drive_strength : 1; area : 1.862000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 24.271108; leakage_power () { when : "!A & !B & !S"; value : 20.079770; } leakage_power () { when : "!A & !B & S"; value : 18.235354; } leakage_power () { when : "!A & B & !S"; value : 27.114634; } leakage_power () { when : "!A & B & S"; value : 24.420177; } leakage_power () { when : "A & !B & !S"; value : 26.266322; } leakage_power () { when : "A & !B & S"; value : 25.271073; } leakage_power () { when : "A & B & !S"; value : 27.312139; } leakage_power () { when : "A & B & S"; value : 25.469395; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 0.925351; fall_capacitance : 0.878922; rise_capacitance : 0.925351; } pin (B) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 0.919830; fall_capacitance : 0.871398; rise_capacitance : 0.919830; } pin (S) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.893506; fall_capacitance : 1.752035; rise_capacitance : 1.893506; } pin (Z) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 60.461640; function : "((S & B) | (A & !S))"; timing () { related_pin : "A"; when : "!B & !S"; sdf_cond : "(B == 1'b0) && (S == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); values ("0.194751,0.210748,0.224918,0.246075,0.277661,0.326719,0.408083", \ "0.199301,0.215302,0.229474,0.250638,0.282225,0.331281,0.412645", \ "0.216897,0.232898,0.247075,0.268236,0.299818,0.348882,0.430246", \ "0.247171,0.263163,0.277230,0.298208,0.329794,0.378860,0.460233", \ "0.292218,0.308160,0.322261,0.343461,0.375031,0.424099,0.505488", \ "0.349617,0.366520,0.381334,0.403090,0.435363,0.484894,0.566533", \ "0.414369,0.432517,0.448444,0.471653,0.505717,0.557202,0.640496"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); values ("0.078163,0.094521,0.112272,0.145579,0.210347,0.338657,0.594212", \ "0.082978,0.099334,0.117089,0.150404,0.215173,0.343484,0.599046", \ "0.097424,0.113767,0.131500,0.164780,0.229538,0.357877,0.613451", \ "0.122734,0.139175,0.156816,0.189913,0.254582,0.382914,0.638554", \ "0.152791,0.169964,0.187784,0.220488,0.285073,0.413315,0.668978", \ "0.183016,0.201358,0.219804,0.252806,0.317305,0.445370,0.701005", \ "0.209550,0.229638,0.249130,0.282909,0.347411,0.475219,0.730670"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); values ("0.030013,0.034847,0.039623,0.047968,0.063085,0.091688,0.148626", \ "0.030007,0.034853,0.039624,0.047958,0.063085,0.091690,0.148626", \ "0.030014,0.034846,0.039625,0.047961,0.063077,0.091688,0.148622", \ "0.030011,0.034855,0.039635,0.047975,0.063084,0.091698,0.148626", \ "0.030115,0.034988,0.039777,0.048098,0.063181,0.091739,0.148649", \ "0.033550,0.038130,0.042619,0.050463,0.064828,0.092711,0.149137", \ "0.037808,0.042548,0.047063,0.054871,0.069125,0.096537,0.151776"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); values ("0.018305,0.029330,0.043376,0.072683,0.133192,0.255414,0.499954", \ "0.018303,0.029329,0.043373,0.072683,0.133201,0.255406,0.499955", \ "0.018291,0.029324,0.043374,0.072685,0.133182,0.255416,0.499955", \ "0.018892,0.029720,0.043609,0.072770,0.133198,0.255412,0.499956", \ "0.020760,0.031275,0.044777,0.073409,0.133395,0.255411,0.499975", \ "0.023700,0.033763,0.046673,0.074464,0.133822,0.255526,0.500024", \ "0.027935,0.037606,0.049728,0.076252,0.134497,0.255902,0.500164"); } } timing () { related_pin : "A"; when : "B & !S"; sdf_cond : "(B == 1'b1) && (S == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); values ("0.194765,0.210764,0.224934,0.246087,0.277676,0.326736,0.408101", \ "0.199317,0.215318,0.229491,0.250655,0.282243,0.331300,0.412666", \ "0.216916,0.232916,0.247094,0.268256,0.299838,0.348903,0.430269", \ "0.247192,0.263184,0.277196,0.298230,0.329817,0.378885,0.460259", \ "0.292242,0.308185,0.322286,0.343485,0.375057,0.424125,0.505517", \ "0.349650,0.366547,0.381367,0.403119,0.435397,0.484928,0.566568", \ "0.414421,0.432560,0.448477,0.471700,0.505765,0.557250,0.640543"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); values ("0.078164,0.094524,0.112275,0.145582,0.210347,0.338665,0.594203", \ "0.082980,0.099335,0.117090,0.150404,0.215173,0.343480,0.599040", \ "0.097435,0.113783,0.131516,0.164791,0.229547,0.357877,0.613455", \ "0.122708,0.139149,0.156800,0.189943,0.254608,0.382940,0.638568", \ "0.152770,0.169933,0.187755,0.220455,0.285037,0.413263,0.668922", \ "0.182954,0.201292,0.219752,0.252745,0.317244,0.445289,0.700947", \ "0.209487,0.229567,0.249069,0.282858,0.347310,0.475132,0.730587"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); values ("0.030014,0.034848,0.039624,0.047969,0.063091,0.091692,0.148629", \ "0.030008,0.034854,0.039626,0.047960,0.063087,0.091693,0.148630", \ "0.030015,0.034847,0.039626,0.047962,0.063079,0.091690,0.148626", \ "0.030012,0.034856,0.039634,0.047976,0.063086,0.091701,0.148631", \ "0.030117,0.034989,0.039778,0.048100,0.063182,0.091741,0.148653", \ "0.033556,0.038131,0.042619,0.050466,0.064828,0.092712,0.149141", \ "0.037809,0.042553,0.047061,0.054871,0.069125,0.096538,0.151781"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); values ("0.018305,0.029329,0.043375,0.072682,0.133198,0.255409,0.499949", \ "0.018303,0.029329,0.043373,0.072681,0.133198,0.255398,0.499948", \ "0.018291,0.029323,0.043375,0.072684,0.133179,0.255396,0.499948", \ "0.018894,0.029720,0.043608,0.072769,0.133198,0.255410,0.499950", \ "0.020759,0.031272,0.044780,0.073406,0.133393,0.255408,0.499968", \ "0.023703,0.033765,0.046674,0.074463,0.133821,0.255528,0.500020", \ "0.027961,0.037620,0.049735,0.076256,0.134494,0.255907,0.500158"); } } timing () { related_pin : "B"; when : "!A & S"; sdf_cond : "(A == 1'b0) && (S == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); values ("0.195675,0.211671,0.225842,0.247002,0.278601,0.327676,0.409057", \ "0.200218,0.216213,0.230384,0.251554,0.283145,0.332221,0.413604", \ "0.217753,0.233760,0.247909,0.269071,0.300669,0.349747,0.431132", \ "0.247863,0.263846,0.277837,0.298865,0.330461,0.379543,0.460932", \ "0.292789,0.308733,0.322884,0.344020,0.375604,0.424688,0.506090", \ "0.350084,0.366970,0.381799,0.403553,0.435835,0.485384,0.567037", \ "0.414753,0.432878,0.448799,0.472025,0.506089,0.557584,0.640889"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); values ("0.074907,0.091184,0.108895,0.142173,0.206906,0.335224,0.590764", \ "0.079803,0.096081,0.113797,0.147076,0.211826,0.340125,0.595680", \ "0.095042,0.111300,0.128987,0.162223,0.226956,0.355297,0.610863", \ "0.121263,0.137637,0.155223,0.188319,0.252971,0.381313,0.636929", \ "0.151911,0.169030,0.186813,0.219494,0.284052,0.412303,0.667969", \ "0.182404,0.200709,0.219142,0.252093,0.316550,0.444606,0.700245", \ "0.208988,0.229109,0.248587,0.282329,0.346785,0.474596,0.730033"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); values ("0.029979,0.034826,0.039615,0.047977,0.063106,0.091713,0.148658", \ "0.029980,0.034827,0.039618,0.047969,0.063101,0.091715,0.148657", \ "0.029972,0.034838,0.039622,0.047976,0.063103,0.091717,0.148648", \ "0.029970,0.034833,0.039628,0.047977,0.063104,0.091719,0.148648", \ "0.030102,0.034976,0.039770,0.048111,0.063201,0.091767,0.148677", \ "0.033517,0.038102,0.042595,0.050463,0.064843,0.092732,0.149161", \ "0.037761,0.042514,0.047040,0.054867,0.069131,0.096552,0.151796"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); values ("0.018032,0.029116,0.043203,0.072564,0.133157,0.255414,0.499955", \ "0.018033,0.029114,0.043198,0.072559,0.133144,0.255361,0.499951", \ "0.018037,0.029117,0.043208,0.072569,0.133158,0.255409,0.499953", \ "0.018706,0.029558,0.043470,0.072662,0.133161,0.255410,0.499953", \ "0.020632,0.031148,0.044654,0.073316,0.133380,0.255445,0.499975", \ "0.023664,0.033697,0.046584,0.074371,0.133776,0.255543,0.500024", \ "0.027962,0.037612,0.049682,0.076166,0.134438,0.255930,0.500161"); } } timing () { related_pin : "B"; when : "A & S"; sdf_cond : "(A == 1'b1) && (S == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); values ("0.195693,0.211689,0.225861,0.247021,0.278621,0.327697,0.409081", \ "0.200237,0.216232,0.230403,0.251575,0.283166,0.332243,0.413629", \ "0.217773,0.233759,0.247934,0.269098,0.300696,0.349775,0.431162", \ "0.247886,0.263870,0.277861,0.298889,0.330487,0.379571,0.460961", \ "0.292815,0.308760,0.322911,0.344048,0.375633,0.424718,0.506122", \ "0.350119,0.367001,0.381828,0.403586,0.435872,0.485422,0.567077", \ "0.414796,0.432927,0.448840,0.472070,0.506138,0.557634,0.640940"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); values ("0.074899,0.091171,0.108884,0.142160,0.206894,0.335209,0.590743", \ "0.079793,0.096069,0.113786,0.147065,0.211813,0.340111,0.595662", \ "0.095026,0.111284,0.128969,0.162207,0.226938,0.355279,0.610851", \ "0.121239,0.137613,0.155153,0.188233,0.252880,0.381213,0.636837", \ "0.151892,0.168999,0.186778,0.219470,0.284030,0.412273,0.667928", \ "0.182337,0.200658,0.219084,0.252030,0.316494,0.444531,0.700193", \ "0.208931,0.229036,0.248528,0.282269,0.346690,0.474470,0.729956"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); values ("0.029981,0.034828,0.039617,0.047979,0.063108,0.091716,0.148663", \ "0.029981,0.034828,0.039619,0.047970,0.063102,0.091718,0.148662", \ "0.029974,0.034835,0.039623,0.047976,0.063105,0.091720,0.148654", \ "0.029972,0.034834,0.039630,0.047979,0.063106,0.091722,0.148653", \ "0.030104,0.034977,0.039771,0.048113,0.063203,0.091770,0.148682", \ "0.033518,0.038103,0.042607,0.050466,0.064844,0.092734,0.149165", \ "0.037770,0.042520,0.047039,0.054865,0.069132,0.096553,0.151800"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); values ("0.018032,0.029116,0.043202,0.072566,0.133154,0.255411,0.499948", \ "0.018035,0.029114,0.043197,0.072557,0.133151,0.255358,0.499944", \ "0.018035,0.029116,0.043204,0.072568,0.133150,0.255409,0.499946", \ "0.018708,0.029557,0.043470,0.072662,0.133160,0.255412,0.499946", \ "0.020630,0.031145,0.044658,0.073308,0.133374,0.255441,0.499969", \ "0.023671,0.033698,0.046582,0.074369,0.133779,0.255549,0.500020", \ "0.027986,0.037615,0.049692,0.076170,0.134437,0.255893,0.500155"); } } timing () { related_pin : "S"; when : "!A & B"; sdf_cond : "(A == 1'b0) && (B == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); values ("0.158105,0.174150,0.188341,0.209489,0.241054,0.290087,0.371446", \ "0.159898,0.175920,0.190119,0.211277,0.242858,0.291897,0.373256", \ "0.172394,0.188391,0.202533,0.223713,0.255279,0.304318,0.385646", \ "0.204299,0.220247,0.234206,0.255220,0.286788,0.335838,0.417214", \ "0.257606,0.273541,0.287637,0.308520,0.340134,0.389242,0.470664", \ "0.321007,0.339104,0.354827,0.377517,0.410666,0.460751,0.542581", \ "0.388596,0.409006,0.426826,0.452112,0.487841,0.540362,0.624454"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); values ("0.064452,0.080760,0.098536,0.131863,0.196597,0.324853,0.580349", \ "0.069162,0.085471,0.103244,0.136577,0.201326,0.329596,0.585084", \ "0.086004,0.102212,0.119872,0.153093,0.217835,0.346187,0.601766", \ "0.113841,0.130362,0.147839,0.180832,0.245339,0.373642,0.629291", \ "0.140754,0.158758,0.176783,0.209480,0.273890,0.401837,0.657407", \ "0.162667,0.183342,0.202723,0.236076,0.300034,0.427895,0.683185", \ "0.177753,0.201503,0.223372,0.258252,0.322219,0.449352,0.704523"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); values ("0.029821,0.034685,0.039462,0.047852,0.063009,0.091667,0.148616", \ "0.029806,0.034668,0.039460,0.047839,0.063011,0.091657,0.148621", \ "0.029742,0.034632,0.039439,0.047834,0.063005,0.091655,0.148611", \ "0.029659,0.034598,0.039455,0.047861,0.063037,0.091665,0.148623", \ "0.031150,0.035552,0.040171,0.048377,0.063369,0.091868,0.148726", \ "0.038718,0.042826,0.046754,0.053792,0.067281,0.094096,0.149729", \ "0.046856,0.051301,0.055209,0.061641,0.074037,0.099747,0.153947"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); values ("0.017891,0.029003,0.043117,0.072527,0.133136,0.255395,0.499958", \ "0.017901,0.029005,0.043118,0.072521,0.133140,0.255403,0.499958", \ "0.017908,0.029034,0.043148,0.072542,0.133140,0.255406,0.499958", \ "0.019804,0.030211,0.043805,0.072707,0.133143,0.255406,0.499957", \ "0.024364,0.033462,0.045971,0.073953,0.133553,0.255365,0.499960", \ "0.030752,0.039207,0.050055,0.075772,0.134301,0.255806,0.499953", \ "0.038028,0.046924,0.056812,0.079540,0.135385,0.256370,0.500318"); } } timing () { related_pin : "S"; when : "A & !B"; sdf_cond : "(A == 1'b1) && (B == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); values ("0.183919,0.199841,0.213964,0.235081,0.266651,0.315714,0.397097", \ "0.190487,0.206455,0.220604,0.241742,0.273316,0.322379,0.403762", \ "0.205220,0.221212,0.235395,0.256551,0.288148,0.337222,0.418615", \ "0.220488,0.236542,0.250562,0.271540,0.303137,0.352211,0.433601", \ "0.234694,0.250369,0.264247,0.284455,0.316080,0.365194,0.446632", \ "0.246174,0.261855,0.275648,0.295972,0.327339,0.376160,0.457384", \ "0.253106,0.268818,0.282812,0.303366,0.334785,0.383686,0.464854"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); values ("0.128278,0.144625,0.162310,0.195481,0.260122,0.388412,0.644030", \ "0.132438,0.148763,0.166453,0.199632,0.264265,0.392537,0.648163", \ "0.151296,0.167614,0.185304,0.218495,0.283145,0.411416,0.667028", \ "0.187188,0.203508,0.221079,0.254088,0.318748,0.447052,0.702678", \ "0.231179,0.247517,0.265207,0.298022,0.362688,0.490939,0.746569", \ "0.279195,0.295649,0.313240,0.346007,0.410567,0.538963,0.794690", \ "0.331611,0.348245,0.365875,0.398710,0.463268,0.591439,0.847349"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); values ("0.029983,0.034832,0.039626,0.047982,0.063108,0.091724,0.148658", \ "0.029988,0.034834,0.039624,0.047982,0.063109,0.091721,0.148662", \ "0.029984,0.034829,0.039625,0.047980,0.063107,0.091722,0.148663", \ "0.029954,0.034810,0.039597,0.047960,0.063099,0.091715,0.148654", \ "0.029120,0.034187,0.039244,0.047854,0.063099,0.091768,0.148718", \ "0.029099,0.034029,0.038905,0.047370,0.062571,0.091208,0.148623", \ "0.029176,0.034133,0.039024,0.047502,0.062705,0.091351,0.148220"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); values ("0.018552,0.029480,0.043468,0.072716,0.133190,0.255408,0.499962", \ "0.018545,0.029478,0.043467,0.072704,0.133184,0.255365,0.499961", \ "0.018547,0.029478,0.043466,0.072709,0.133175,0.255386,0.499961", \ "0.018583,0.029501,0.043477,0.072712,0.133176,0.255388,0.499960", \ "0.018822,0.029653,0.043658,0.072868,0.133261,0.255380,0.499969", \ "0.019279,0.029929,0.043751,0.072830,0.133270,0.255543,0.500032", \ "0.020004,0.030400,0.044053,0.073014,0.133303,0.255389,0.500207"); } } internal_power () { related_pin : "A"; when : "!B & !S"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); values ("2.356597,2.426893,2.507134,2.626491,2.779186,2.913509,2.999945", \ "2.338268,2.417751,2.492583,2.619526,2.764070,2.898198,2.987397", \ "2.323893,2.399359,2.477195,2.597774,2.746115,2.876779,2.966611", \ "2.313976,2.386529,2.460749,2.580936,2.734063,2.864674,2.954320", \ "2.325512,2.398300,2.472465,2.592174,2.723907,2.870977,2.956544", \ "2.380720,2.445771,2.514945,2.618730,2.751675,2.898134,2.984681", \ "2.436815,2.479032,2.544615,2.651227,2.806949,2.962642,3.059972"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); values ("1.775554,1.886405,1.933432,1.986179,1.984919,2.044513,2.054632", \ "1.760189,1.869537,1.910905,1.972227,1.954930,2.014778,2.024497", \ "1.743669,1.842470,1.891123,1.950579,1.988235,2.012038,2.023192", \ "1.736277,1.832340,1.867738,1.913712,1.916803,1.979133,2.041122", \ "1.752831,1.849441,1.880634,1.888894,1.930637,1.924771,2.011759", \ "1.816399,1.919611,1.940074,1.939307,1.948204,1.995342,2.019748", \ "1.900586,2.018655,2.052308,2.061631,2.042530,2.054259,2.074147"); } } internal_power () { related_pin : "A"; when : "B & !S"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); values ("2.355689,2.426327,2.505103,2.625813,2.780804,2.912479,2.995603", \ "2.337707,2.417344,2.492125,2.619165,2.763368,2.897155,2.985889", \ "2.323493,2.398915,2.476935,2.597352,2.745333,2.875716,2.965034", \ "2.313480,2.385755,2.463084,2.580427,2.733176,2.863515,2.952631", \ "2.324522,2.397305,2.471916,2.591066,2.722719,2.869548,2.954620", \ "2.379434,2.444462,2.513633,2.617751,2.750226,2.896439,2.982479", \ "2.435820,2.477376,2.542490,2.651705,2.803129,2.960860,3.057370"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); values ("1.773267,1.886032,1.933910,1.986703,2.021560,2.045013,2.055009", \ "1.760328,1.869299,1.911454,1.945292,1.954887,2.014825,2.024904", \ "1.743005,1.842208,1.891610,1.924139,1.961812,2.011806,2.023575", \ "1.735733,1.832043,1.868316,1.914781,1.917135,1.979550,1.994558", \ "1.752704,1.849334,1.881439,1.890633,1.939953,1.965601,2.012552", \ "1.811816,1.914544,1.941128,1.939596,1.956780,1.995720,2.020372", \ "1.900724,2.019039,2.060286,2.069524,2.042906,2.055143,2.075221"); } } internal_power () { related_pin : "B"; when : "!A & S"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); values ("2.531628,2.614200,2.708121,2.837404,3.006493,3.154460,3.254934", \ "2.513990,2.602905,2.692725,2.827241,2.994235,3.139349,3.240441", \ "2.495987,2.585677,2.670461,2.808186,2.966857,3.126754,3.221871", \ "2.486151,2.575328,2.658150,2.788525,2.956000,3.112672,3.208205", \ "2.504845,2.588734,2.667822,2.795507,2.961763,3.111158,3.213079", \ "2.548742,2.628531,2.706814,2.826305,2.990578,3.137926,3.240989", \ "2.611378,2.667351,2.735798,2.858008,3.029037,3.200336,3.311097"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); values ("1.558325,1.629350,1.669721,1.700121,1.767777,1.758601,1.835310", \ "1.536179,1.611610,1.664141,1.695464,1.737048,1.727287,1.804479", \ "1.525918,1.600716,1.637390,1.663347,1.722021,1.714723,1.792974", \ "1.506683,1.577850,1.621922,1.641347,1.674949,1.736725,1.751254", \ "1.532923,1.602020,1.622537,1.645451,1.679294,1.674250,1.692357", \ "1.604056,1.666825,1.680455,1.686739,1.690385,1.672829,1.764704", \ "1.688450,1.774073,1.793372,1.807327,1.786594,1.798788,1.817111"); } } internal_power () { related_pin : "B"; when : "A & S"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); values ("2.531205,2.613511,2.708243,2.837037,3.005771,3.153407,3.253438", \ "2.513521,2.602205,2.692833,2.827110,2.993371,3.138334,3.238927", \ "2.495218,2.584829,2.670513,2.810647,2.965889,3.125595,3.217838", \ "2.485243,2.574417,2.657453,2.788228,2.955058,3.111403,3.206462", \ "2.503921,2.587840,2.667021,2.794304,2.960444,3.109667,3.211118", \ "2.547515,2.627236,2.703713,2.825548,2.988951,3.136143,3.238729", \ "2.603250,2.665555,2.733985,2.857196,3.028361,3.198287,3.308445"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); values ("1.557163,1.629345,1.671050,1.701536,1.767979,1.759057,1.835821", \ "1.536334,1.611721,1.647509,1.697137,1.736987,1.727614,1.805114", \ "1.525902,1.600691,1.636085,1.664724,1.722253,1.715158,1.793605", \ "1.506615,1.577973,1.620458,1.642309,1.674645,1.736912,1.751419", \ "1.533234,1.602210,1.605848,1.610661,1.681028,1.675770,1.693814", \ "1.593894,1.667098,1.682106,1.687010,1.699224,1.673008,1.765314", \ "1.688928,1.774683,1.803654,1.775392,1.787173,1.798017,1.818188"); } } internal_power () { related_pin : "S"; when : "!A & B"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); values ("3.346980,3.421621,3.504642,3.636808,3.782991,3.922910,4.003472", \ "3.281885,3.360334,3.439659,3.570973,3.723664,3.858496,3.939068", \ "3.223674,3.308053,3.392322,3.522286,3.666250,3.807884,3.887477", \ "3.294128,3.374924,3.459005,3.571306,3.719269,3.866025,3.949911", \ "3.493796,3.561639,3.630796,3.738989,3.877700,4.017983,4.103006", \ "3.781759,3.839501,3.916760,4.025039,4.165384,4.294207,4.378452", \ "4.182002,4.206469,4.251374,4.351374,4.504323,4.687171,4.778129"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); values ("1.596069,1.682533,1.724770,1.759893,1.827467,1.815653,1.821174", \ "1.579037,1.668782,1.706192,1.764199,1.801592,1.789643,1.863129", \ "1.550645,1.630677,1.667335,1.701168,1.738818,1.799923,1.810201", \ "1.590990,1.645840,1.680157,1.675458,1.704661,1.754368,1.770054", \ "1.770930,1.820415,1.810364,1.825553,1.784617,1.845414,1.864628", \ "2.050878,2.127917,2.136650,2.113354,2.089891,2.073383,2.096667", \ "2.442462,2.545558,2.575140,2.540271,2.502202,2.492004,2.519196"); } } internal_power () { related_pin : "S"; when : "A & !B"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); values ("2.003926,2.082048,2.172059,2.307589,2.470936,2.623960,2.717284", \ "1.999384,2.088605,2.172293,2.299306,2.473714,2.619607,2.721463", \ "1.970480,2.054272,2.147234,2.283238,2.434305,2.592535,2.693182", \ "1.962628,2.049783,2.136537,2.273952,2.418139,2.584703,2.683032", \ "1.999949,2.094762,2.190536,2.321158,2.479468,2.636046,2.737203", \ "2.184730,2.262312,2.348121,2.472067,2.614330,2.770356,2.870496", \ "2.428718,2.509353,2.603374,2.727518,2.886025,3.019074,3.080255"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); values ("3.616019,3.715715,3.763248,3.786516,3.801320,3.860904,3.873972", \ "3.574285,3.674202,3.715658,3.763079,3.794973,3.830945,3.832630", \ "3.570168,3.667161,3.716776,3.746268,3.780403,3.839301,3.852741", \ "3.620611,3.720671,3.763021,3.810008,3.820149,3.879951,3.893158", \ "3.712494,3.821597,3.883905,3.913825,3.910611,3.971580,3.986039", \ "3.770696,3.913022,3.990557,4.039550,4.104928,4.098568,4.184458", \ "3.913368,4.059623,4.131491,4.227626,4.280864,4.344192,4.372666"); } } } } /****************************************************************************************** Module : MUX2_X2 Cell Description : Combinational cell (MUX2_X2) with drive strength X2 *******************************************************************************************/ cell (MUX2_X2) { drive_strength : 2; area : 2.394000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 45.527836; leakage_power () { when : "!A & !B & !S"; value : 43.903205; } leakage_power () { when : "!A & !B & S"; value : 32.359014; } leakage_power () { when : "!A & B & !S"; value : 58.148597; } leakage_power () { when : "!A & B & S"; value : 46.177942; } leakage_power () { when : "A & !B & !S"; value : 45.288790; } leakage_power () { when : "A & !B & S"; value : 36.909278; } leakage_power () { when : "A & B & !S"; value : 50.188567; } leakage_power () { when : "A & B & S"; value : 51.247294; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.557016; fall_capacitance : 1.486844; rise_capacitance : 1.557016; } pin (B) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.670997; fall_capacitance : 1.441403; rise_capacitance : 1.670997; } pin (S) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 2.596729; fall_capacitance : 2.453086; rise_capacitance : 2.596729; } pin (Z) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 120.797500; function : "((S & B) | (A & !S))"; timing () { related_pin : "A"; when : "!B & !S"; sdf_cond : "(B == 1'b0) && (S == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.155153,0.170395,0.182382,0.200797,0.229320,0.275246,0.353653", \ "0.159686,0.174949,0.186935,0.205357,0.233882,0.279814,0.358219", \ "0.177122,0.192356,0.204338,0.222756,0.251282,0.297212,0.375620", \ "0.210728,0.225945,0.237716,0.256012,0.284514,0.330482,0.408904", \ "0.260501,0.275855,0.287825,0.305907,0.334507,0.380499,0.458957", \ "0.318412,0.335398,0.348518,0.368080,0.398176,0.445375,0.524421", \ "0.380620,0.399449,0.413955,0.435484,0.467893,0.517697,0.599208"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.076353,0.095034,0.112903,0.146389,0.211356,0.339776,0.595359", \ "0.080830,0.099507,0.117378,0.150864,0.215830,0.344256,0.599836", \ "0.097399,0.116051,0.133883,0.167308,0.232224,0.360663,0.616280", \ "0.128830,0.147402,0.164944,0.198042,0.262783,0.391180,0.646858", \ "0.162827,0.182439,0.200259,0.233051,0.297638,0.425798,0.681403", \ "0.193091,0.214797,0.233334,0.266378,0.330671,0.458740,0.714151", \ "0.217447,0.241937,0.261952,0.295751,0.359735,0.487350,0.742660"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.021992,0.027199,0.031945,0.040427,0.055892,0.085013,0.142711", \ "0.021993,0.027200,0.031947,0.040429,0.055889,0.085015,0.142710", \ "0.021992,0.027201,0.031950,0.040427,0.055895,0.085015,0.142712", \ "0.021997,0.027217,0.031973,0.040453,0.055905,0.085021,0.142717", \ "0.022964,0.027873,0.032469,0.040801,0.056130,0.085151,0.142773", \ "0.027165,0.032167,0.036655,0.044667,0.059320,0.087222,0.143742", \ "0.032275,0.037437,0.042005,0.050042,0.064620,0.092247,0.147572"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.017435,0.029871,0.043972,0.073282,0.133692,0.255626,0.499830", \ "0.017433,0.029868,0.043968,0.073282,0.133690,0.255619,0.499815", \ "0.017386,0.029839,0.043952,0.073273,0.133684,0.255613,0.499824", \ "0.018034,0.030102,0.044053,0.073282,0.133664,0.255600,0.499808", \ "0.021236,0.032155,0.045414,0.074023,0.133898,0.255639,0.499833", \ "0.025964,0.035908,0.047881,0.075106,0.134483,0.255975,0.499869", \ "0.031475,0.041454,0.052185,0.077246,0.135051,0.256473,0.500185"); } } timing () { related_pin : "A"; when : "B & !S"; sdf_cond : "(B == 1'b1) && (S == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.181795,0.198887,0.212217,0.232364,0.262877,0.310908,0.391380", \ "0.186067,0.203177,0.216511,0.236669,0.267189,0.315223,0.395701", \ "0.202938,0.220019,0.233336,0.253498,0.284017,0.332054,0.412525", \ "0.235036,0.252094,0.265224,0.285263,0.315782,0.363825,0.444309", \ "0.281328,0.298381,0.311684,0.331385,0.361930,0.410003,0.490506", \ "0.336592,0.354890,0.369041,0.390052,0.421625,0.470418,0.551287", \ "0.396894,0.416677,0.431994,0.454560,0.488102,0.539189,0.622196"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.071649,0.090402,0.108352,0.141940,0.206981,0.335434,0.591007", \ "0.076113,0.094870,0.112811,0.146396,0.211439,0.339891,0.595467", \ "0.092870,0.111533,0.129397,0.162886,0.227871,0.356334,0.611973", \ "0.123799,0.142403,0.159932,0.193057,0.257842,0.386261,0.641948", \ "0.156122,0.175804,0.193628,0.226419,0.291046,0.419213,0.674820", \ "0.184253,0.206193,0.224773,0.257811,0.322091,0.450171,0.705572", \ "0.206153,0.230963,0.251149,0.285022,0.348977,0.476517,0.731809"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.026859,0.032358,0.037207,0.045714,0.061063,0.089980,0.147202", \ "0.026857,0.032354,0.037208,0.045709,0.061065,0.089978,0.147202", \ "0.026868,0.032361,0.037211,0.045713,0.061066,0.089975,0.147200", \ "0.026870,0.032368,0.037228,0.045729,0.061078,0.089986,0.147204", \ "0.027251,0.032661,0.037478,0.045922,0.061203,0.090052,0.147237", \ "0.030973,0.036245,0.040859,0.048935,0.063530,0.091453,0.147911", \ "0.035478,0.040878,0.045551,0.053642,0.068228,0.095940,0.151282"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.017481,0.029961,0.044091,0.073418,0.133790,0.255679,0.499830", \ "0.017460,0.029944,0.044078,0.073410,0.133784,0.255656,0.499840", \ "0.017330,0.029854,0.044012,0.073382,0.133771,0.255655,0.499835", \ "0.018181,0.030200,0.044118,0.073346,0.133729,0.255652,0.499822", \ "0.021604,0.032370,0.045536,0.074132,0.133977,0.255653,0.499841", \ "0.026568,0.036389,0.048181,0.075239,0.134530,0.256018,0.499882", \ "0.032301,0.042248,0.052806,0.077555,0.135159,0.256525,0.500212"); } } timing () { related_pin : "B"; when : "!A & S"; sdf_cond : "(A == 1'b0) && (S == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.127287,0.142095,0.153617,0.171204,0.198334,0.242218,0.318352", \ "0.130661,0.145473,0.157001,0.174593,0.201726,0.245612,0.321747", \ "0.146302,0.161095,0.172613,0.190197,0.217337,0.261225,0.337361", \ "0.180655,0.195391,0.206794,0.224215,0.251364,0.295268,0.371410", \ "0.234640,0.249934,0.261577,0.279056,0.306349,0.350361,0.426563", \ "0.295312,0.312954,0.326165,0.345473,0.374369,0.419699,0.496540", \ "0.359426,0.379591,0.394672,0.416290,0.447506,0.494785,0.573292"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.064726,0.082596,0.099980,0.132925,0.197312,0.325173,0.580178", \ "0.069929,0.087795,0.105185,0.138137,0.202548,0.330406,0.585408", \ "0.085108,0.102914,0.120241,0.153134,0.217555,0.345482,0.600532", \ "0.109519,0.127638,0.145009,0.177786,0.242092,0.370040,0.625193", \ "0.136469,0.155534,0.173163,0.205901,0.270192,0.397999,0.653165", \ "0.161892,0.182594,0.200858,0.233531,0.297678,0.425428,0.680453", \ "0.182562,0.205654,0.225162,0.258742,0.322908,0.450355,0.705291"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.021486,0.026329,0.030742,0.038676,0.053376,0.081869,0.139896", \ "0.021485,0.026330,0.030741,0.038675,0.053376,0.081868,0.139897", \ "0.021485,0.026336,0.030752,0.038685,0.053382,0.081870,0.139896", \ "0.021485,0.026384,0.030816,0.038738,0.053415,0.081885,0.139904", \ "0.023999,0.028115,0.032070,0.039574,0.053926,0.082170,0.140026", \ "0.030465,0.034433,0.037995,0.044704,0.057947,0.084870,0.141232", \ "0.037495,0.041807,0.045265,0.051444,0.063657,0.089324,0.144721"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.015942,0.028381,0.042574,0.072115,0.132783,0.254875,0.499158", \ "0.015938,0.028380,0.042576,0.072112,0.132772,0.254873,0.499162", \ "0.015945,0.028396,0.042589,0.072123,0.132782,0.254906,0.499157", \ "0.017023,0.029131,0.043021,0.072243,0.132787,0.254887,0.499168", \ "0.019265,0.030878,0.044350,0.073034,0.133062,0.254861,0.499172", \ "0.022890,0.033828,0.046544,0.074200,0.133555,0.255133,0.499165", \ "0.027773,0.038395,0.050174,0.076314,0.134348,0.255580,0.499353"); } } timing () { related_pin : "B"; when : "A & S"; sdf_cond : "(A == 1'b1) && (S == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.187881,0.206431,0.220721,0.242065,0.273964,0.323527,0.405624", \ "0.190601,0.209179,0.223475,0.244823,0.276724,0.326290,0.408389", \ "0.204428,0.222996,0.237283,0.258626,0.290530,0.340095,0.422198", \ "0.236053,0.254598,0.268755,0.289954,0.321850,0.371419,0.453522", \ "0.289140,0.307601,0.321763,0.342832,0.374715,0.424296,0.506414", \ "0.360586,0.380226,0.395032,0.416762,0.448998,0.498803,0.581061", \ "0.437033,0.459124,0.475686,0.499462,0.533663,0.585133,0.668743"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.067895,0.087031,0.104984,0.138307,0.202780,0.330616,0.585628", \ "0.073151,0.092289,0.110242,0.143578,0.208071,0.335910,0.590914", \ "0.088461,0.107552,0.125444,0.158730,0.223232,0.351127,0.606185", \ "0.113300,0.132737,0.150675,0.183873,0.248280,0.376199,0.631348", \ "0.141026,0.161624,0.179951,0.213296,0.277727,0.405509,0.660673", \ "0.167512,0.190012,0.209166,0.242626,0.307057,0.434786,0.689801", \ "0.189319,0.214550,0.235199,0.269888,0.334500,0.461896,0.716762"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.030176,0.035632,0.040378,0.048720,0.063926,0.092718,0.149783", \ "0.030194,0.035632,0.040374,0.048717,0.063929,0.092718,0.149786", \ "0.030185,0.035633,0.040378,0.048720,0.063926,0.092718,0.149784", \ "0.030185,0.035634,0.040388,0.048738,0.063946,0.092725,0.149785", \ "0.030113,0.035691,0.040481,0.048838,0.064019,0.092774,0.149808", \ "0.034868,0.039464,0.043543,0.050990,0.065311,0.093504,0.150184", \ "0.042229,0.046958,0.050690,0.057383,0.070576,0.097539,0.152770"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.017838,0.029840,0.043667,0.072653,0.132883,0.254887,0.499172", \ "0.017840,0.029839,0.043664,0.072653,0.132874,0.254902,0.499175", \ "0.017851,0.029862,0.043686,0.072663,0.132882,0.254868,0.499176", \ "0.019051,0.030636,0.044144,0.072821,0.132900,0.254896,0.499169", \ "0.021706,0.032760,0.045805,0.073814,0.133218,0.254892,0.499182", \ "0.025927,0.036233,0.048482,0.075352,0.133864,0.255158,0.499175", \ "0.031592,0.041493,0.052777,0.078019,0.134883,0.255580,0.499354"); } } timing () { related_pin : "S"; when : "!A & B"; sdf_cond : "(A == 1'b0) && (B == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.127800,0.144749,0.157962,0.177969,0.208342,0.256249,0.336654", \ "0.130562,0.147501,0.160720,0.180728,0.211096,0.259013,0.339409", \ "0.144743,0.161595,0.174787,0.194743,0.225087,0.272988,0.353371", \ "0.178148,0.194911,0.208035,0.227949,0.258274,0.306110,0.386503", \ "0.230736,0.248075,0.261370,0.281270,0.311670,0.359653,0.440104", \ "0.289232,0.308938,0.323877,0.345729,0.377844,0.427285,0.508487", \ "0.351528,0.373737,0.390703,0.415102,0.449739,0.501190,0.584359"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.062012,0.079873,0.097253,0.130180,0.194545,0.322375,0.577356", \ "0.066674,0.084533,0.101910,0.134839,0.199226,0.327060,0.582036", \ "0.083525,0.101290,0.118573,0.151401,0.215774,0.343699,0.598767", \ "0.110719,0.128853,0.146109,0.178741,0.242932,0.370824,0.625986", \ "0.136363,0.155968,0.173531,0.206066,0.270242,0.397837,0.652924", \ "0.156608,0.179035,0.197687,0.230463,0.294173,0.421797,0.676610", \ "0.169977,0.195615,0.216353,0.250380,0.313868,0.440850,0.695643"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.026118,0.031694,0.036630,0.045249,0.060733,0.089764,0.147091", \ "0.026089,0.031666,0.036600,0.045224,0.060717,0.089754,0.147088", \ "0.025978,0.031591,0.036539,0.045177,0.060676,0.089727,0.147068", \ "0.025832,0.031537,0.036526,0.045178,0.060668,0.089709,0.147056", \ "0.029117,0.033771,0.038109,0.046166,0.061272,0.090056,0.147232", \ "0.036527,0.041066,0.044979,0.052100,0.065946,0.093282,0.148807", \ "0.044673,0.049553,0.053418,0.059954,0.072467,0.098376,0.153234"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.015927,0.028374,0.042565,0.072099,0.132740,0.254827,0.499105", \ "0.015924,0.028372,0.042569,0.072101,0.132747,0.254833,0.499104", \ "0.015949,0.028402,0.042594,0.072122,0.132765,0.254861,0.499107", \ "0.017743,0.029522,0.043252,0.072305,0.132763,0.254861,0.499114", \ "0.021907,0.032244,0.045066,0.073411,0.133247,0.254848,0.499134", \ "0.027625,0.037247,0.048498,0.074886,0.133878,0.255398,0.499124", \ "0.034038,0.044211,0.054379,0.078046,0.134761,0.255920,0.499569"); } } timing () { related_pin : "S"; when : "A & !B"; sdf_cond : "(A == 1'b1) && (B == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.226981,0.245431,0.259663,0.280949,0.312818,0.362370,0.444462", \ "0.233834,0.252345,0.266598,0.287896,0.319763,0.369315,0.451409", \ "0.252738,0.271309,0.285575,0.306903,0.338803,0.388369,0.470468", \ "0.275329,0.293921,0.308146,0.329425,0.361325,0.410888,0.492988", \ "0.296922,0.315509,0.329664,0.350624,0.382516,0.432072,0.514167", \ "0.317022,0.335139,0.349038,0.369610,0.401145,0.450445,0.532575", \ "0.332468,0.350598,0.364412,0.385114,0.416726,0.465966,0.547610"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.154025,0.172782,0.190645,0.224063,0.288929,0.417300,0.672907", \ "0.158400,0.177156,0.195014,0.228439,0.293313,0.421680,0.677284", \ "0.176973,0.195731,0.213592,0.247016,0.311890,0.440270,0.695868", \ "0.214628,0.233386,0.251161,0.284562,0.349448,0.477844,0.733443", \ "0.265129,0.283967,0.301805,0.334904,0.399790,0.528197,0.783811", \ "0.320518,0.339364,0.357185,0.390359,0.455219,0.583724,0.839390", \ "0.380474,0.399477,0.417348,0.450596,0.515359,0.643579,0.899354"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.030177,0.035636,0.040387,0.048733,0.063944,0.092727,0.149791", \ "0.030184,0.035637,0.040384,0.048735,0.063938,0.092723,0.149790", \ "0.030189,0.035634,0.040383,0.048730,0.063938,0.092722,0.149783", \ "0.030183,0.035634,0.040382,0.048724,0.063931,0.092721,0.149788", \ "0.030074,0.035554,0.040311,0.048670,0.063892,0.092698,0.149776", \ "0.029152,0.034665,0.039466,0.047884,0.063167,0.092356,0.149827", \ "0.029162,0.034707,0.039534,0.047981,0.063253,0.092022,0.148888"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.017783,0.030114,0.044134,0.073364,0.133703,0.255638,0.499841", \ "0.017780,0.030113,0.044136,0.073362,0.133704,0.255640,0.499840", \ "0.017781,0.030112,0.044135,0.073356,0.133704,0.255621,0.499828", \ "0.017781,0.030116,0.044136,0.073362,0.133713,0.255620,0.499837", \ "0.017941,0.030230,0.044217,0.073403,0.133716,0.255637,0.499842", \ "0.018109,0.030337,0.044265,0.073378,0.133806,0.255741,0.499855", \ "0.018526,0.030657,0.044504,0.073510,0.133628,0.255553,0.499951"); } } internal_power () { related_pin : "A"; when : "!B & !S"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.864440,5.133203,5.370814,5.673765,6.040896,6.333254,6.485443", \ "4.807065,5.105916,5.351833,5.647263,6.011492,6.296881,6.449908", \ "4.741041,5.037436,5.265854,5.583382,5.933373,6.214117,6.377152", \ "4.715349,4.983847,5.215673,5.532650,5.877403,6.167587,6.324006", \ "4.737231,5.007836,5.216234,5.503840,5.853083,6.155302,6.314888", \ "4.735257,4.978918,5.200433,5.501442,5.866320,6.184728,6.349708", \ "4.806284,4.984302,5.168948,5.464872,5.861700,6.267385,6.472256"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("3.388732,3.626962,3.712333,3.854304,3.967314,3.977114,4.033923", \ "3.374877,3.613074,3.711303,3.834797,3.928167,3.938055,4.129949", \ "3.344553,3.567389,3.682862,3.773765,3.856175,4.003149,4.063319", \ "3.382238,3.574666,3.653823,3.693444,3.783452,3.930412,3.997881", \ "3.511413,3.670559,3.731693,3.783299,3.763784,3.905946,3.975629", \ "3.728530,3.934703,3.967679,3.965383,3.978018,3.973811,4.046249", \ "4.036224,4.272085,4.334780,4.292801,4.257923,4.231604,4.292103"); } } internal_power () { related_pin : "A"; when : "B & !S"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.743289,4.936206,5.120764,5.389029,5.696716,5.983218,6.142786", \ "4.695521,4.910018,5.088301,5.360380,5.666770,5.947346,6.106353", \ "4.644951,4.834580,5.009350,5.277799,5.586338,5.874550,6.033861", \ "4.604479,4.794718,4.973526,5.224031,5.538672,5.820216,5.988470", \ "4.616852,4.810865,4.961148,5.202188,5.516655,5.810104,5.974304", \ "4.667551,4.841369,5.008211,5.254705,5.562531,5.843390,6.007727", \ "4.736449,4.849317,4.991462,5.237561,5.576398,5.931071,6.119684"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("3.278698,3.520295,3.642622,3.720053,3.870564,3.882470,4.075107", \ "3.236780,3.490970,3.611449,3.751781,3.827290,3.974551,4.032311", \ "3.201394,3.450285,3.567806,3.674392,3.740990,3.890444,3.954190", \ "3.258494,3.461788,3.541729,3.594910,3.681972,3.849938,3.902111", \ "3.387764,3.576720,3.620286,3.670249,3.706225,3.851140,3.924577", \ "3.625002,3.811307,3.869220,3.855152,3.835690,3.971933,4.047325", \ "3.935216,4.161787,4.209965,4.205272,4.174911,4.148390,4.211965"); } } internal_power () { related_pin : "B"; when : "!A & S"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.391712,4.681078,4.918424,5.186292,5.530748,5.788919,5.917007", \ "4.363162,4.647086,4.870443,5.174203,5.488471,5.748119,5.872974", \ "4.316725,4.598548,4.803585,5.102413,5.437536,5.689315,5.824096", \ "4.342401,4.616042,4.832971,5.101225,5.451807,5.699377,5.841617", \ "4.531285,4.775829,4.975911,5.246116,5.559078,5.820036,5.962467", \ "4.809873,4.974375,5.163698,5.425230,5.765119,6.048493,6.192309", \ "5.225423,5.330188,5.462356,5.675786,6.005166,6.341579,6.532142"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("2.659694,2.825314,2.920193,2.959161,3.050383,3.020365,3.033128", \ "2.669560,2.835962,2.901383,2.963031,3.012300,3.117011,3.130051", \ "2.624605,2.784282,2.851574,2.922228,2.975457,3.072135,2.969572", \ "2.603336,2.730784,2.794843,2.825121,2.899124,2.881856,2.911596", \ "2.649521,2.782050,2.813110,2.837507,2.864107,2.848626,2.887981", \ "2.789745,2.934049,2.979669,2.918132,2.895601,3.005499,3.052099", \ "2.986037,3.187892,3.229901,3.211432,3.201499,3.215539,3.219117"); } } internal_power () { related_pin : "B"; when : "A & S"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.973069,5.158314,5.340968,5.612257,5.959141,6.278500,6.508013", \ "4.908112,5.113271,5.302654,5.575049,5.917420,6.240747,6.465897", \ "4.884125,5.074311,5.253350,5.522422,5.860484,6.201669,6.418995", \ "4.886469,5.090639,5.256622,5.532845,5.872959,6.194184,6.427764", \ "5.021448,5.215169,5.381079,5.632778,5.966042,6.296101,6.522381", \ "5.356357,5.502278,5.645742,5.863770,6.182953,6.495261,6.725362", \ "5.779902,5.855950,5.952934,6.155869,6.456299,6.808826,7.032884"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("2.641440,2.834125,2.903745,2.974933,3.037011,3.007332,3.021779", \ "2.634293,2.826065,2.922396,2.960475,3.003562,3.108917,3.123855", \ "2.621740,2.808718,2.878732,2.962919,3.002669,3.089968,3.110130", \ "2.601108,2.769724,2.804956,2.824869,2.894107,3.013130,3.043684", \ "2.638652,2.806533,2.833638,2.844893,2.819233,2.939117,2.979970", \ "2.784355,2.959537,2.973308,2.946114,2.924328,2.898363,3.080897", \ "2.996770,3.191559,3.250832,3.234235,3.189698,3.135296,3.170438"); } } internal_power () { related_pin : "S"; when : "!A & B"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.664935,5.926140,6.132560,6.390332,6.711157,6.996366,7.152100", \ "5.579549,5.842109,6.039458,6.312304,6.628240,6.913287,7.070856", \ "5.494312,5.732820,5.945543,6.220126,6.540095,6.810752,6.973629", \ "5.539541,5.771452,5.970888,6.251018,6.572654,6.852207,7.015555", \ "5.833473,6.053875,6.219769,6.468106,6.772027,7.051208,7.223395", \ "6.244300,6.384107,6.542541,6.808095,7.150199,7.431172,7.604808", \ "6.852036,6.932979,7.046506,7.253928,7.562683,7.953753,8.172962"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("3.338526,3.507604,3.585824,3.632212,3.653580,3.758542,3.773756", \ "3.329974,3.498896,3.564776,3.647132,3.619761,3.725549,3.741112", \ "3.293221,3.451468,3.502791,3.538353,3.525479,3.640110,3.663519", \ "3.305394,3.452753,3.493278,3.536015,3.548616,3.531218,3.702580", \ "3.533941,3.631512,3.616727,3.586129,3.656671,3.636956,3.680550", \ "3.855670,4.049182,4.051173,3.968300,3.990913,3.960219,4.006218", \ "4.343076,4.585904,4.640219,4.592967,4.530187,4.452504,4.482509"); } } internal_power () { related_pin : "S"; when : "A & !B"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.231877,5.416532,5.594109,5.854867,6.197634,6.532345,6.751947", \ "5.254090,5.444163,5.623374,5.886663,6.222894,6.556142,6.773766", \ "5.248334,5.442249,5.614672,5.888453,6.227420,6.566169,6.779478", \ "5.238308,5.419231,5.606065,5.878169,6.195343,6.542653,6.769206", \ "5.242782,5.453341,5.628563,5.900816,6.248609,6.580057,6.791511", \ "5.377702,5.551035,5.726945,5.963443,6.326911,6.660929,6.888484", \ "5.614438,5.806008,5.986175,6.225520,6.565979,6.853802,7.053523"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.345453,6.599968,6.703583,6.791549,6.847383,6.990015,7.049710", \ "6.336359,6.569027,6.671181,6.794717,6.813084,6.970970,7.014900", \ "6.349273,6.583061,6.672400,6.796193,6.881697,6.888203,7.083345", \ "6.395474,6.634690,6.746957,6.835998,6.857432,7.002580,7.061399", \ "6.458420,6.720830,6.815702,6.908401,7.007573,7.018652,7.216358", \ "6.481427,6.775228,6.933311,7.053188,7.136789,7.244334,7.348479", \ "6.553117,6.851972,7.012553,7.181523,7.318754,7.440670,7.510698"); } } } } /****************************************************************************************** Module : NAND2_X1 Cell Description : Combinational cell (NAND2_X1) with drive strength X1 *******************************************************************************************/ cell (NAND2_X1) { drive_strength : 1; area : 0.798000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 11.378547; leakage_power () { when : "!A1 & !A2"; value : 3.171993; } leakage_power () { when : "!A1 & A2"; value : 17.417347; } leakage_power () { when : "A1 & !A2"; value : 7.713155; } leakage_power () { when : "A1 & A2"; value : 17.211691; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.568247; fall_capacitance : 1.452688; rise_capacitance : 1.568247; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.613222; fall_capacitance : 1.437294; rise_capacitance : 1.613222; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 59.345290; function : "!(A1 & A2)"; timing () { related_pin : "A1"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.854900,3.709790,7.419590,14.839200,29.678300,59.356700"); values ("0.0160079,0.0232207,0.0321138,0.0498211,0.0851692,0.155810,0.297062", \ "0.0202536,0.0275951,0.0365709,0.0543505,0.0897526,0.160420,0.301690", \ "0.0328282,0.0431695,0.0532848,0.0709698,0.106330,0.177005,0.318261", \ "0.0428310,0.0595603,0.0757730,0.100934,0.138912,0.209163,0.350213", \ "0.0477995,0.0714559,0.0945484,0.130383,0.183263,0.260351,0.400558", \ "0.0467149,0.0776287,0.107926,0.155284,0.225103,0.325222,0.472326", \ "0.0390367,0.0772115,0.114876,0.174222,0.262236,0.387975,0.565645"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.854900,3.709790,7.419590,14.839200,29.678300,59.356700"); values ("0.0253102,0.0384737,0.0544080,0.0859144,0.148613,0.273837,0.524048", \ "0.0294093,0.0427310,0.0589230,0.0907510,0.153708,0.279106,0.529384", \ "0.0476759,0.0610395,0.0768213,0.108492,0.171601,0.297226,0.547723", \ "0.0717474,0.0924896,0.112734,0.145057,0.207344,0.332612,0.583033", \ "0.0986038,0.126825,0.154784,0.198720,0.265322,0.389267,0.639061", \ "0.128276,0.164081,0.199860,0.256445,0.341870,0.470668,0.718676", \ "0.161230,0.204483,0.247989,0.317441,0.422930,0.577548,0.825386"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.854900,3.709790,7.419590,14.839200,29.678300,59.356700"); values ("0.00879656,0.0149917,0.0227062,0.0381303,0.0689612,0.130640,0.253940", \ "0.00878764,0.0149872,0.0226994,0.0381326,0.0689611,0.130639,0.253934", \ "0.0150130,0.0194151,0.0245788,0.0381316,0.0689521,0.130619,0.253942", \ "0.0270762,0.0331979,0.0393146,0.0496432,0.0715552,0.130621,0.253945", \ "0.0425838,0.0513828,0.0596922,0.0726785,0.0935608,0.136330,0.253925", \ "0.0614050,0.0731106,0.0842363,0.100941,0.126329,0.166401,0.258590", \ "0.0836035,0.0984202,0.112667,0.133775,0.164887,0.211435,0.288859"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.854900,3.709790,7.419590,14.839200,29.678300,59.356700"); values ("0.0170265,0.0290800,0.0440975,0.0740974,0.134110,0.254205,0.494162", \ "0.0170258,0.0290733,0.0440822,0.0740920,0.134120,0.254215,0.494153", \ "0.0215170,0.0300025,0.0440556,0.0740771,0.134120,0.254203,0.494142", \ "0.0357897,0.0446086,0.0537761,0.0762666,0.134100,0.254193,0.494191", \ "0.0522106,0.0645146,0.0767041,0.0967233,0.139638,0.254162,0.494272", \ "0.0714262,0.0872968,0.103070,0.128047,0.167440,0.259262,0.494200", \ "0.0937630,0.113002,0.132594,0.163230,0.210395,0.288171,0.494768"); } } timing () { related_pin : "A2"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.854900,3.709790,7.419590,14.839200,29.678300,59.356700"); values ("0.0187479,0.0259286,0.0348045,0.0524981,0.0878357,0.158481,0.299728", \ "0.0235893,0.0309005,0.0398549,0.0576180,0.0930031,0.163670,0.304934", \ "0.0364027,0.0452495,0.0549210,0.0728487,0.108368,0.179134,0.320471", \ "0.0505734,0.0638400,0.0770982,0.0992462,0.136953,0.207993,0.349480", \ "0.0607569,0.0809117,0.100085,0.129853,0.176233,0.252531,0.394471", \ "0.0649499,0.0930199,0.119727,0.160233,0.219247,0.308940,0.457177", \ "0.0628224,0.0992454,0.133876,0.186822,0.262356,0.370142,0.536333"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.854900,3.709790,7.419590,14.839200,29.678300,59.356700"); values ("0.0329335,0.0459304,0.0618666,0.0934660,0.156362,0.281694,0.531991", \ "0.0372409,0.0504173,0.0665024,0.0982504,0.161263,0.286701,0.537051", \ "0.0559631,0.0688112,0.0847222,0.116406,0.179464,0.305011,0.555443", \ "0.0852828,0.103272,0.121787,0.153158,0.215540,0.340707,0.590977", \ "0.117495,0.142143,0.167581,0.208888,0.273646,0.397599,0.647112", \ "0.152487,0.184046,0.216672,0.269805,0.351953,0.479129,0.727073", \ "0.190716,0.229111,0.268966,0.334298,0.435690,0.586830,0.833945"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.854900,3.709790,7.419590,14.839200,29.678300,59.356700"); values ("0.00879625,0.0149872,0.0227040,0.0381256,0.0689662,0.130632,0.253937", \ "0.00879472,0.0149882,0.0227010,0.0381246,0.0689735,0.130629,0.253947", \ "0.0114108,0.0168978,0.0235233,0.0381256,0.0689683,0.130618,0.253942", \ "0.0188149,0.0243144,0.0308167,0.0437353,0.0704189,0.130607,0.253940", \ "0.0308658,0.0372306,0.0439156,0.0563666,0.0814383,0.133942,0.253926", \ "0.0466813,0.0546948,0.0625270,0.0755067,0.0998165,0.149380,0.257035", \ "0.0653759,0.0755670,0.0854486,0.100497,0.125409,0.173588,0.273101"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.854900,3.709790,7.419590,14.839200,29.678300,59.356700"); values ("0.0212247,0.0333802,0.0485207,0.0787097,0.139068,0.259417,0.499663", \ "0.0212248,0.0333915,0.0485119,0.0787061,0.139078,0.259416,0.499685", \ "0.0227497,0.0334009,0.0485007,0.0787368,0.139062,0.259384,0.499687", \ "0.0360101,0.0451618,0.0551456,0.0798786,0.139013,0.259405,0.499641", \ "0.0522719,0.0647688,0.0772754,0.0975414,0.143169,0.259372,0.499687", \ "0.0705593,0.0871163,0.103372,0.128755,0.168747,0.263466,0.499692", \ "0.0908730,0.111729,0.132211,0.163578,0.211289,0.290644,0.500081"); } } internal_power () { related_pin : "A1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.854900,3.709790,7.419590,14.839200,29.678300,59.356700"); values ("0.196724,0.208638,0.210972,0.215326,0.213951,0.215979,0.214812", \ "0.155913,0.177760,0.189451,0.201175,0.205963,0.211761,0.213865", \ "0.120700,0.133486,0.153703,0.175452,0.190730,0.201539,0.208675", \ "0.231644,0.196397,0.170931,0.164562,0.182954,0.197497,0.204170", \ "0.410921,0.353618,0.309225,0.250801,0.214744,0.211116,0.213327", \ "0.684837,0.603864,0.530592,0.438674,0.336098,0.272449,0.247374", \ "1.054997,0.957767,0.860796,0.723713,0.562412,0.406775,0.324904"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.854900,3.709790,7.419590,14.839200,29.678300,59.356700"); values ("1.643168,1.675112,1.685173,1.670331,1.700029,1.668446,1.682296", \ "1.555880,1.598771,1.623593,1.627737,1.685898,1.646680,1.664168", \ "1.527320,1.541682,1.541912,1.597078,1.582192,1.646822,1.676035", \ "1.578508,1.613068,1.576753,1.579077,1.614474,1.611968,1.646394", \ "1.664850,1.697298,1.727336,1.677204,1.676221,1.651595,1.610104", \ "1.911935,1.900194,1.891376,1.909396,1.817571,1.746592,1.677315", \ "2.299839,2.260876,2.231587,2.191982,2.119432,1.957285,1.843379"); } } internal_power () { related_pin : "A2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.854900,3.709790,7.419590,14.839200,29.678300,59.356700"); values ("0.204238,0.210875,0.210164,0.210128,0.210981,0.214477,0.215795", \ "0.161475,0.182445,0.193373,0.198384,0.209545,0.213655,0.213563", \ "0.102906,0.123435,0.149412,0.168888,0.191094,0.203305,0.207788", \ "0.150216,0.142084,0.131540,0.138085,0.165102,0.186359,0.200066", \ "0.231456,0.209860,0.194197,0.176878,0.161407,0.181295,0.194510", \ "0.391774,0.352100,0.317381,0.273397,0.226167,0.196956,0.203446", \ "0.647116,0.576928,0.518424,0.442974,0.357850,0.272205,0.236407"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.854900,3.709790,7.419590,14.839200,29.678300,59.356700"); values ("2.159316,2.184845,2.190804,2.172895,2.210991,2.190828,2.228048", \ "2.097604,2.123440,2.152625,2.127374,2.166652,2.223225,2.252069", \ "2.065235,2.088062,2.100887,2.119352,2.166497,2.165569,2.199645", \ "2.133834,2.140658,2.156928,2.115307,2.151475,2.145633,2.180202", \ "2.202614,2.253912,2.299094,2.279548,2.224722,2.198918,2.221355", \ "2.426793,2.441512,2.446426,2.499853,2.366636,2.303158,2.301812", \ "2.781650,2.781746,2.774002,2.761138,2.667563,2.521238,2.411767"); } } } } /****************************************************************************************** Module : NAND2_X2 Cell Description : Combinational cell (NAND2_X2) with drive strength X2 *******************************************************************************************/ cell (NAND2_X2) { drive_strength : 2; area : 1.330000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 22.764243; leakage_power () { when : "!A1 & !A2"; value : 6.353543; } leakage_power () { when : "!A1 & A2"; value : 34.844195; } leakage_power () { when : "A1 & !A2"; value : 15.435890; } leakage_power () { when : "A1 & A2"; value : 34.423345; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.002658; fall_capacitance : 2.779389; rise_capacitance : 3.002658; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.356109; fall_capacitance : 3.010266; rise_capacitance : 3.356109; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 118.669300; function : "!(A1 & A2)"; timing () { related_pin : "A1"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.709780,7.419560,14.839100,29.678200,59.356500,118.713000"); values ("0.0153476,0.0234566,0.0323488,0.0500554,0.0854026,0.156043,0.297311", \ "0.0195792,0.0278345,0.0368065,0.0545855,0.0899841,0.160654,0.301925", \ "0.0317078,0.0434737,0.0535294,0.0712133,0.106575,0.177247,0.318515", \ "0.0409914,0.0600563,0.0761748,0.101242,0.139159,0.209422,0.350473", \ "0.0451620,0.0721551,0.0952030,0.130833,0.183599,0.260633,0.400854", \ "0.0432867,0.0784229,0.108743,0.155903,0.225591,0.325595,0.472667", \ "0.0348318,0.0781695,0.115796,0.174973,0.262818,0.388434,0.566013"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.709780,7.419560,14.839100,29.678200,59.356500,118.713000"); values ("0.0241267,0.0389306,0.0548549,0.0863485,0.149057,0.274295,0.524581", \ "0.0282299,0.0432080,0.0593993,0.0911911,0.154165,0.279568,0.529954", \ "0.0463046,0.0615071,0.0772822,0.108960,0.172066,0.297713,0.548292", \ "0.0695932,0.0931134,0.113245,0.145507,0.207822,0.333117,0.583657", \ "0.0956557,0.127739,0.155464,0.199243,0.265802,0.389776,0.639551", \ "0.124539,0.165161,0.200773,0.257206,0.342457,0.471226,0.719331", \ "0.156730,0.205760,0.249174,0.318390,0.423665,0.578101,0.825966"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.709780,7.419560,14.839100,29.678200,59.356500,118.713000"); values ("0.00821512,0.0151696,0.0228857,0.0383096,0.0691487,0.130825,0.254182", \ "0.00820479,0.0151678,0.0228830,0.0383104,0.0691499,0.130828,0.254161", \ "0.0145581,0.0195260,0.0247142,0.0383157,0.0691445,0.130817,0.254162", \ "0.0264011,0.0333093,0.0394017,0.0497199,0.0717138,0.130823,0.254163", \ "0.0416405,0.0515062,0.0597383,0.0727279,0.0935985,0.136478,0.254146", \ "0.0601392,0.0734232,0.0843363,0.100996,0.126371,0.166457,0.258791", \ "0.0820422,0.0988612,0.112888,0.133894,0.164945,0.211483,0.289023"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.709780,7.419560,14.839100,29.678200,59.356500,118.713000"); values ("0.0158910,0.0293964,0.0443955,0.0743792,0.134377,0.254430,0.494406", \ "0.0158861,0.0293900,0.0444030,0.0743782,0.134372,0.254418,0.494409", \ "0.0208715,0.0302701,0.0443866,0.0743831,0.134406,0.254429,0.494404", \ "0.0346906,0.0447155,0.0538910,0.0765063,0.134385,0.254438,0.494418", \ "0.0508231,0.0646005,0.0767764,0.0967920,0.139847,0.254394,0.494380", \ "0.0696658,0.0875502,0.103123,0.128095,0.167489,0.259479,0.494442", \ "0.0916271,0.113403,0.132729,0.163318,0.210448,0.288298,0.495006"); } } timing () { related_pin : "A2"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.709780,7.419560,14.839100,29.678200,59.356500,118.713000"); values ("0.0180605,0.0261312,0.0350054,0.0526987,0.0880395,0.158684,0.299959", \ "0.0228793,0.0311023,0.0400527,0.0578152,0.0931980,0.163872,0.305156", \ "0.0354641,0.0454569,0.0551158,0.0730427,0.108571,0.179333,0.320683", \ "0.0490687,0.0641676,0.0773727,0.0994711,0.137158,0.208199,0.349704", \ "0.0584306,0.0814816,0.100542,0.130193,0.176472,0.252746,0.394681", \ "0.0616341,0.0937857,0.120435,0.160712,0.219570,0.309176,0.457385", \ "0.0586638,0.100049,0.134756,0.187521,0.262849,0.370456,0.536563"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.709780,7.419560,14.839100,29.678200,59.356500,118.713000"); values ("0.0317222,0.0463236,0.0622505,0.0938423,0.156682,0.282050,0.532351", \ "0.0360123,0.0508225,0.0668933,0.0986369,0.161597,0.287027,0.537400", \ "0.0548080,0.0692373,0.0851381,0.116800,0.179828,0.305368,0.555819", \ "0.0833978,0.103844,0.122227,0.153553,0.215917,0.341094,0.591391", \ "0.114920,0.142876,0.168258,0.209405,0.274032,0.397994,0.647544", \ "0.149224,0.184928,0.217545,0.270511,0.352468,0.479577,0.727519", \ "0.186740,0.230178,0.269974,0.335098,0.436311,0.587240,0.834322"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.709780,7.419560,14.839100,29.678200,59.356500,118.713000"); values ("0.00821338,0.0151687,0.0228864,0.0383077,0.0691488,0.130829,0.254195", \ "0.00821781,0.0151668,0.0228851,0.0383047,0.0691546,0.130830,0.254186", \ "0.0108857,0.0170460,0.0236923,0.0383110,0.0691431,0.130825,0.254173", \ "0.0182527,0.0244316,0.0309435,0.0438792,0.0706036,0.130813,0.254171", \ "0.0301669,0.0373442,0.0440003,0.0564610,0.0815677,0.134127,0.254150", \ "0.0458389,0.0548589,0.0625912,0.0755706,0.0998782,0.149519,0.257272", \ "0.0642725,0.0758386,0.0855359,0.100539,0.125415,0.173607,0.273283"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.709780,7.419560,14.839100,29.678200,59.356500,118.713000"); values ("0.0200661,0.0336987,0.0488132,0.0790009,0.139247,0.259580,0.499773", \ "0.0200704,0.0336994,0.0488155,0.0789927,0.139247,0.259570,0.499774", \ "0.0218241,0.0337015,0.0488113,0.0789890,0.139262,0.259556,0.499771", \ "0.0349334,0.0452766,0.0552719,0.0800652,0.139232,0.259561,0.499786", \ "0.0508088,0.0649400,0.0773675,0.0976367,0.143343,0.259530,0.499802", \ "0.0686695,0.0873763,0.103470,0.128795,0.168783,0.263605,0.499794", \ "0.0884823,0.112129,0.132369,0.163670,0.211325,0.290751,0.500154"); } } internal_power () { related_pin : "A1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.709780,7.419560,14.839100,29.678200,59.356500,118.713000"); values ("0.397476,0.416106,0.421551,0.428736,0.430840,0.433422,0.431997", \ "0.294344,0.357412,0.380696,0.404053,0.417096,0.425654,0.427906", \ "0.249682,0.268969,0.307725,0.347726,0.382254,0.405344,0.416799", \ "0.466700,0.387045,0.342320,0.335923,0.365470,0.393654,0.407639", \ "0.841916,0.700479,0.614926,0.502625,0.427088,0.423845,0.425438", \ "1.393474,1.204954,1.060071,0.871563,0.671991,0.542580,0.493260", \ "2.126181,1.907055,1.713125,1.439418,1.121505,0.811534,0.649853"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.709780,7.419560,14.839100,29.678200,59.356500,118.713000"); values ("3.346005,3.410270,3.419137,3.384270,3.427493,3.374218,3.395419", \ "3.170836,3.282327,3.316907,3.299522,3.367870,3.464921,3.361225", \ "3.138224,3.170621,3.206034,3.242315,3.338980,3.334941,3.396380", \ "3.193247,3.279196,3.269232,3.227805,3.274791,3.266280,3.332702", \ "3.391636,3.450440,3.561203,3.396994,3.402557,3.348515,3.391611", \ "3.902496,3.878535,3.876290,3.927150,3.707679,3.595486,3.397190", \ "4.671665,4.596406,4.506039,4.425823,4.280939,3.961929,3.724603"); } } internal_power () { related_pin : "A2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.709780,7.419560,14.839100,29.678200,59.356500,118.713000"); values ("0.399093,0.416639,0.427476,0.431396,0.433753,0.431906,0.430623", \ "0.312142,0.362708,0.391017,0.407415,0.418012,0.426653,0.427457", \ "0.199617,0.257206,0.299239,0.344601,0.381682,0.406011,0.415252", \ "0.296314,0.282115,0.267920,0.283128,0.337373,0.376396,0.399883", \ "0.475021,0.426474,0.396352,0.350771,0.320777,0.361266,0.391913", \ "0.800760,0.700723,0.629263,0.546319,0.450522,0.392204,0.405783", \ "1.307102,1.150304,1.038112,0.879747,0.712611,0.542287,0.474846"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.709780,7.419560,14.839100,29.678200,59.356500,118.713000"); values ("4.382972,4.424526,4.467240,4.501422,4.455786,4.561994,4.478028", \ "4.256425,4.332118,4.338937,4.430357,4.377631,4.491715,4.548002", \ "4.195825,4.249132,4.292988,4.328864,4.381294,4.378732,4.445689", \ "4.319085,4.379259,4.344235,4.359590,4.351510,4.475897,4.503453", \ "4.451338,4.570676,4.632952,4.612196,4.499962,4.556131,4.495675", \ "4.910516,4.943654,4.996089,5.064693,4.918280,4.663160,4.692928", \ "5.625658,5.625564,5.592588,5.567800,5.511703,5.095976,4.870346"); } } } } /****************************************************************************************** Module : NAND2_X4 Cell Description : Combinational cell (NAND2_X4) with drive strength X4 *******************************************************************************************/ cell (NAND2_X4) { drive_strength : 4; area : 2.394000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 45.528441; leakage_power () { when : "!A1 & !A2"; value : 12.707105; } leakage_power () { when : "!A1 & A2"; value : 69.688105; } leakage_power () { when : "A1 & !A2"; value : 30.871769; } leakage_power () { when : "A1 & A2"; value : 68.846785; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 5.884222; fall_capacitance : 5.430029; rise_capacitance : 5.884222; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 6.040626; fall_capacitance : 5.381444; rise_capacitance : 6.040626; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 237.363000; function : "!(A1 & A2)"; timing () { related_pin : "A1"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.419590,14.839200,29.678400,59.356800,118.714000,237.427000"); values ("0.0142949,0.0229120,0.0318400,0.0496138,0.0850921,0.156000,0.297787", \ "0.0184932,0.0272768,0.0362907,0.0541404,0.0896698,0.160607,0.302403", \ "0.0298554,0.0427705,0.0529973,0.0707632,0.106262,0.177194,0.318993", \ "0.0379684,0.0589201,0.0753252,0.100678,0.138839,0.209368,0.350948", \ "0.0408037,0.0705080,0.0939307,0.130015,0.183187,0.260576,0.401323", \ "0.0376173,0.0762211,0.107060,0.154799,0.225042,0.325537,0.473136", \ "0.0278917,0.0753131,0.113701,0.173578,0.262109,0.388363,0.566542"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.419590,14.839200,29.678400,59.356800,118.714000,237.427000"); values ("0.0220658,0.0378151,0.0537627,0.0852897,0.148005,0.273244,0.523588", \ "0.0262214,0.0420822,0.0582927,0.0901223,0.153120,0.278527,0.528973", \ "0.0438253,0.0604357,0.0762092,0.107881,0.171013,0.296677,0.547334", \ "0.0657311,0.0915012,0.111965,0.144469,0.206779,0.332097,0.582704", \ "0.0903752,0.125461,0.153651,0.197882,0.264768,0.388764,0.638614", \ "0.117897,0.162294,0.198374,0.255386,0.341174,0.470245,0.718374", \ "0.148744,0.202220,0.246218,0.316179,0.422102,0.577031,0.825044"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.419590,14.839200,29.678400,59.356800,118.714000,237.427000"); values ("0.00730051,0.0146706,0.0224150,0.0378909,0.0688410,0.130734,0.254501", \ "0.00728326,0.0146677,0.0224134,0.0378930,0.0688391,0.130730,0.254498", \ "0.0137804,0.0191610,0.0243328,0.0379115,0.0688400,0.130730,0.254498", \ "0.0252123,0.0328236,0.0390176,0.0494212,0.0714520,0.130730,0.254502", \ "0.0399898,0.0508353,0.0591802,0.0723563,0.0933769,0.136395,0.254493", \ "0.0579328,0.0724974,0.0835904,0.100491,0.126065,0.166371,0.259089", \ "0.0792267,0.0977569,0.111923,0.133257,0.164601,0.211380,0.289236"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.419590,14.839200,29.678400,59.356800,118.714000,237.427000"); values ("0.0140119,0.0282421,0.0432437,0.0732454,0.133262,0.253259,0.493285", \ "0.0140089,0.0282434,0.0432416,0.0732359,0.133259,0.253265,0.493283", \ "0.0200030,0.0293213,0.0432389,0.0732562,0.133250,0.253254,0.493285", \ "0.0329770,0.0438884,0.0531676,0.0755359,0.133260,0.253254,0.493272", \ "0.0485395,0.0634718,0.0758467,0.0960598,0.138888,0.253269,0.493270", \ "0.0667258,0.0861104,0.101918,0.127147,0.166730,0.258449,0.493273", \ "0.0881320,0.111761,0.131211,0.162116,0.209543,0.287461,0.493868"); } } timing () { related_pin : "A2"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.419590,14.839200,29.678400,59.356800,118.714000,237.427000"); values ("0.0174819,0.0260453,0.0349571,0.0527181,0.0881894,0.159099,0.300893", \ "0.0223081,0.0310600,0.0400538,0.0578846,0.0934022,0.164338,0.306149", \ "0.0346785,0.0454110,0.0551383,0.0731446,0.108800,0.179831,0.321697", \ "0.0475830,0.0639587,0.0772834,0.0995120,0.137348,0.208646,0.350661", \ "0.0558168,0.0809736,0.100266,0.130116,0.176579,0.253106,0.395561", \ "0.0574946,0.0928079,0.119834,0.160460,0.219575,0.309437,0.458159", \ "0.0527789,0.0983463,0.133772,0.187030,0.262726,0.370627,0.537182"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.419590,14.839200,29.678400,59.356800,118.714000,237.427000"); values ("0.0304931,0.0460092,0.0619998,0.0936635,0.156604,0.282105,0.532735", \ "0.0347346,0.0504870,0.0666225,0.0984347,0.161500,0.287091,0.537766", \ "0.0535841,0.0688902,0.0848610,0.116607,0.179733,0.305420,0.556194", \ "0.0813780,0.103395,0.121867,0.153323,0.215812,0.341147,0.591771", \ "0.112143,0.142262,0.167729,0.209054,0.273899,0.398052,0.647930", \ "0.145713,0.184092,0.216883,0.270143,0.352313,0.479634,0.727886", \ "0.182485,0.229127,0.269255,0.334692,0.436137,0.587296,0.834693"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.419590,14.839200,29.678400,59.356800,118.714000,237.427000"); values ("0.00730586,0.0146675,0.0224134,0.0378898,0.0688420,0.130730,0.254511", \ "0.00730126,0.0146676,0.0224130,0.0378923,0.0688439,0.130730,0.254501", \ "0.00993578,0.0165427,0.0232201,0.0378995,0.0688434,0.130730,0.254500", \ "0.0171088,0.0238049,0.0303873,0.0434262,0.0702794,0.130729,0.254502", \ "0.0287100,0.0365725,0.0433386,0.0559113,0.0811795,0.134007,0.254494", \ "0.0440877,0.0539272,0.0618142,0.0749335,0.0993741,0.149314,0.257581", \ "0.0623634,0.0748550,0.0846279,0.0998000,0.124832,0.173279,0.273493"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.419590,14.839200,29.678400,59.356800,118.714000,237.427000"); values ("0.0185706,0.0329716,0.0481220,0.0783647,0.138688,0.259170,0.499742", \ "0.0185647,0.0329754,0.0481313,0.0783575,0.138697,0.259173,0.499759", \ "0.0205616,0.0329783,0.0481206,0.0783744,0.138696,0.259173,0.499754", \ "0.0333174,0.0445255,0.0546018,0.0794569,0.138690,0.259180,0.499759", \ "0.0485109,0.0638964,0.0764886,0.0969778,0.142809,0.259151,0.499748", \ "0.0656950,0.0860626,0.102332,0.128011,0.168229,0.263250,0.499738", \ "0.0846968,0.110524,0.130991,0.162684,0.210657,0.290374,0.500113"); } } internal_power () { related_pin : "A1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.419590,14.839200,29.678400,59.356800,118.714000,237.427000"); values ("0.759059,0.829784,0.851494,0.863461,0.859084,0.864305,0.864042", \ "0.570334,0.708785,0.766521,0.808538,0.831105,0.850360,0.854676", \ "0.514857,0.527822,0.602675,0.701000,0.761576,0.806844,0.834033", \ "0.972054,0.788141,0.680095,0.671386,0.728311,0.786788,0.820681", \ "1.733543,1.425485,1.227724,1.003947,0.852886,0.845821,0.848596", \ "2.843526,2.435480,2.133679,1.743841,1.339935,1.083501,0.983832", \ "4.325056,3.839066,3.457214,2.895865,2.249898,1.622225,1.301019"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.419590,14.839200,29.678400,59.356800,118.714000,237.427000"); values ("6.172464,6.356224,6.331097,6.436367,6.265164,6.414787,6.444515", \ "5.830008,6.056031,6.196737,6.271095,6.409857,6.331860,6.380693", \ "5.812644,5.841385,5.918811,6.017309,6.143329,6.340389,6.166758", \ "5.849547,6.145234,6.045691,6.082024,5.963178,6.208163,6.328424", \ "6.293821,6.427478,6.660475,6.475946,6.215520,6.103701,6.181127", \ "7.337268,7.286992,7.225200,7.270237,7.045082,6.763280,6.468981", \ "8.904735,8.722076,8.529934,8.430411,8.127149,7.607854,6.850181"); } } internal_power () { related_pin : "A2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.419590,14.839200,29.678400,59.356800,118.714000,237.427000"); values ("0.807919,0.843296,0.853880,0.857044,0.868239,0.869013,0.858727", \ "0.612171,0.730380,0.774523,0.811159,0.839085,0.851986,0.856869", \ "0.409486,0.488943,0.596394,0.682883,0.760573,0.809732,0.834242", \ "0.589167,0.562819,0.529100,0.557118,0.661623,0.753256,0.802115", \ "0.925595,0.837624,0.766741,0.686221,0.633629,0.715616,0.777787", \ "1.574877,1.367857,1.220527,1.067274,0.884085,0.771750,0.802453", \ "2.590048,2.260689,2.032633,1.726844,1.397189,1.063569,0.935157"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.419590,14.839200,29.678400,59.356800,118.714000,237.427000"); values ("8.486466,8.574053,8.599834,8.596161,8.745346,8.901966,8.955074", \ "8.190599,8.384157,8.446272,8.464054,8.639025,8.762902,8.824481", \ "8.094174,8.199158,8.247976,8.399727,8.564509,8.539846,8.769060", \ "8.297349,8.466238,8.470719,8.380318,8.529936,8.468222,8.825791", \ "8.603914,8.868585,9.076848,8.861600,8.813380,8.685087,8.848679", \ "9.526492,9.590397,9.691695,9.890199,9.562234,9.115478,9.054159", \ "10.950060,10.976680,10.918500,10.846740,10.574490,9.987644,9.754549"); } } } } /****************************************************************************************** Module : NAND3_X1 Cell Description : Combinational cell (NAND3_X1) with drive strength X1 *******************************************************************************************/ cell (NAND3_X1) { drive_strength : 1; area : 1.064000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 10.623439; leakage_power () { when : "!A1 & !A2 & !A3"; value : 2.184211; } leakage_power () { when : "!A1 & !A2 & A3"; value : 8.267318; } leakage_power () { when : "!A1 & A2 & !A3"; value : 3.679198; } leakage_power () { when : "!A1 & A2 & A3"; value : 22.504341; } leakage_power () { when : "A1 & !A2 & !A3"; value : 2.419007; } leakage_power () { when : "A1 & !A2 & A3"; value : 12.806323; } leakage_power () { when : "A1 & A2 & !A3"; value : 7.319730; } leakage_power () { when : "A1 & A2 & A3"; value : 25.807386; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.571395; fall_capacitance : 1.488148; rise_capacitance : 1.571395; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.599125; fall_capacitance : 1.463213; rise_capacitance : 1.599125; } pin (A3) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.597690; fall_capacitance : 1.422468; rise_capacitance : 1.597690; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 58.308720; function : "!((A1 & A2) & A3)"; timing () { related_pin : "A1"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); values ("0.0269317,0.0377042,0.0511026,0.0778152,0.131175,0.237806,0.450963", \ "0.0305093,0.0414541,0.0549634,0.0817927,0.135225,0.241928,0.455078", \ "0.0445789,0.0561557,0.0696194,0.0964713,0.149974,0.256731,0.469961", \ "0.0596890,0.0775543,0.0960193,0.125992,0.178974,0.285449,0.498555", \ "0.0699896,0.0946472,0.120281,0.161518,0.225219,0.331239,0.543696", \ "0.0745721,0.106316,0.139189,0.192632,0.274645,0.397171,0.608023", \ "0.0729942,0.111832,0.152201,0.218079,0.319589,0.470157,0.694515"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); values ("0.0297182,0.0424493,0.0580719,0.0890427,0.150701,0.273793,0.519810", \ "0.0340851,0.0470529,0.0629079,0.0941355,0.156011,0.279288,0.525378", \ "0.0526611,0.0651542,0.0807733,0.111986,0.174084,0.297554,0.543834", \ "0.0790645,0.0979319,0.116967,0.148292,0.209707,0.332958,0.579198", \ "0.108192,0.133922,0.160258,0.202409,0.267424,0.389467,0.635029", \ "0.139788,0.172560,0.206352,0.260810,0.344021,0.470615,0.714624", \ "0.174162,0.214086,0.255341,0.322268,0.425148,0.577144,0.821132"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); values ("0.0168861,0.0260753,0.0375609,0.0605249,0.106400,0.198141,0.381518", \ "0.0168772,0.0260650,0.0375536,0.0605366,0.106405,0.198180,0.381495", \ "0.0203336,0.0271069,0.0375414,0.0605089,0.106397,0.198155,0.381503", \ "0.0335717,0.0410392,0.0491654,0.0650381,0.106355,0.198140,0.381499", \ "0.0507657,0.0609565,0.0710918,0.0880830,0.118517,0.198247,0.381523", \ "0.0711963,0.0844561,0.0976280,0.118544,0.152075,0.213863,0.381497", \ "0.0949655,0.111317,0.127753,0.153591,0.193425,0.256472,0.391655"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); values ("0.0211656,0.0329555,0.0477167,0.0772475,0.136262,0.254334,0.490295", \ "0.0211578,0.0329660,0.0477266,0.0772199,0.136244,0.254340,0.490292", \ "0.0240165,0.0333471,0.0476954,0.0772523,0.136286,0.254330,0.490294", \ "0.0392548,0.0473321,0.0561169,0.0789728,0.136236,0.254323,0.490295", \ "0.0573538,0.0683995,0.0796784,0.0986689,0.141439,0.254274,0.490296", \ "0.0783862,0.0927797,0.107199,0.130883,0.168969,0.259401,0.490291", \ "0.102661,0.120120,0.138078,0.167012,0.212468,0.288485,0.491011"); } } timing () { related_pin : "A2"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); values ("0.0332579,0.0440088,0.0573923,0.0840998,0.137449,0.244091,0.457239", \ "0.0376512,0.0485477,0.0620295,0.0888251,0.142246,0.248928,0.462103", \ "0.0516188,0.0629482,0.0765852,0.103571,0.157161,0.263976,0.477240", \ "0.0696910,0.0852087,0.101892,0.131212,0.185131,0.292221,0.505644", \ "0.0851259,0.107146,0.129831,0.166909,0.227880,0.336042,0.549881", \ "0.0950517,0.124587,0.154880,0.203347,0.277793,0.396954,0.611721", \ "0.0990468,0.136674,0.174927,0.236342,0.328694,0.467477,0.692588"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); values ("0.0377598,0.0504178,0.0660621,0.0971103,0.158939,0.282159,0.528287", \ "0.0421906,0.0550469,0.0708499,0.102061,0.164024,0.287351,0.533519", \ "0.0603833,0.0730665,0.0888141,0.120065,0.182160,0.305644,0.551914", \ "0.0915884,0.108193,0.125726,0.156437,0.217972,0.341231,0.587382", \ "0.125769,0.148447,0.172657,0.212417,0.275778,0.397874,0.643378", \ "0.162476,0.191729,0.222645,0.273947,0.354046,0.479078,0.723098", \ "0.201982,0.237770,0.275784,0.338843,0.437815,0.586391,0.829705"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); values ("0.0168801,0.0260629,0.0375587,0.0605248,0.106414,0.198152,0.381505", \ "0.0168874,0.0260640,0.0375588,0.0605136,0.106418,0.198157,0.381493", \ "0.0182917,0.0264385,0.0375532,0.0605110,0.106407,0.198146,0.381495", \ "0.0264381,0.0341503,0.0436195,0.0627909,0.106387,0.198160,0.381500", \ "0.0400838,0.0484742,0.0578989,0.0759105,0.112770,0.198186,0.381497", \ "0.0577184,0.0680601,0.0786502,0.0971425,0.132400,0.206506,0.381515", \ "0.0783149,0.0910255,0.103946,0.124942,0.160866,0.230848,0.387067"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); values ("0.0254325,0.0373165,0.0521782,0.0818771,0.141204,0.259543,0.495748", \ "0.0254416,0.0373099,0.0521759,0.0818682,0.141190,0.259532,0.495744", \ "0.0261832,0.0373052,0.0521682,0.0818583,0.141194,0.259532,0.495749", \ "0.0395591,0.0477874,0.0578098,0.0827847,0.141131,0.259537,0.495752", \ "0.0573804,0.0686679,0.0803015,0.0996547,0.144977,0.259481,0.495711", \ "0.0776377,0.0925575,0.107501,0.131562,0.170298,0.263566,0.495745", \ "0.100203,0.118989,0.137708,0.167343,0.213343,0.290910,0.496236"); } } timing () { related_pin : "A3"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); values ("0.0355971,0.0463470,0.0597323,0.0864371,0.139788,0.246430,0.459583", \ "0.0402840,0.0511867,0.0646738,0.0914660,0.144889,0.251580,0.464796", \ "0.0528190,0.0639734,0.0776098,0.104592,0.158178,0.264992,0.478258", \ "0.0687129,0.0822717,0.0977830,0.126480,0.180320,0.287324,0.500735", \ "0.0840073,0.101790,0.120712,0.154143,0.213165,0.321311,0.534994", \ "0.0939028,0.118227,0.143145,0.183971,0.251511,0.368106,0.582869", \ "0.0963686,0.128611,0.161017,0.212610,0.292215,0.421506,0.645625"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); values ("0.0436100,0.0566044,0.0725254,0.103934,0.166146,0.289779,0.536201", \ "0.0481107,0.0611975,0.0771892,0.108676,0.170924,0.294658,0.541073", \ "0.0663027,0.0793446,0.0953452,0.126862,0.189179,0.312964,0.559440", \ "0.100466,0.115856,0.132371,0.163336,0.225183,0.348673,0.595005", \ "0.138724,0.159674,0.182468,0.220557,0.283052,0.405467,0.651119", \ "0.179787,0.206823,0.235937,0.284956,0.362675,0.486751,0.730957", \ "0.223813,0.256941,0.292671,0.352988,0.448915,0.594714,0.837670"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); values ("0.0168884,0.0260753,0.0375722,0.0605223,0.106402,0.198162,0.381495", \ "0.0168835,0.0260671,0.0375542,0.0605220,0.106410,0.198136,0.381523", \ "0.0176619,0.0262488,0.0375526,0.0605167,0.106418,0.198177,0.381511", \ "0.0223689,0.0310422,0.0416428,0.0623621,0.106399,0.198148,0.381501", \ "0.0316628,0.0401665,0.0504655,0.0710506,0.111801,0.198470,0.381526", \ "0.0467272,0.0554932,0.0653811,0.0848996,0.124971,0.205904,0.381591", \ "0.0665662,0.0765184,0.0869387,0.105720,0.143824,0.223337,0.387886"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); values ("0.0291302,0.0410285,0.0559193,0.0856321,0.145045,0.263564,0.500092", \ "0.0291197,0.0410261,0.0559022,0.0856375,0.145010,0.263612,0.500093", \ "0.0290149,0.0409797,0.0559032,0.0856201,0.144995,0.263586,0.500102", \ "0.0400308,0.0482999,0.0594874,0.0860164,0.144987,0.263583,0.500100", \ "0.0575121,0.0687686,0.0804407,0.100315,0.147729,0.263573,0.500091", \ "0.0773805,0.0922226,0.107212,0.131508,0.170996,0.266812,0.500089", \ "0.0993553,0.118154,0.136991,0.166925,0.213320,0.292508,0.500400"); } } internal_power () { related_pin : "A1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); values ("0.435080,0.440796,0.441508,0.446440,0.444729,0.446674,0.445827", \ "0.398693,0.416309,0.424899,0.428049,0.437551,0.442551,0.444257", \ "0.347362,0.372231,0.380495,0.408360,0.421329,0.433122,0.438326", \ "0.421151,0.384585,0.383404,0.396523,0.412457,0.426142,0.434039", \ "0.591828,0.535450,0.492378,0.441607,0.437011,0.436156,0.438645", \ "0.845959,0.773267,0.706218,0.613772,0.524453,0.487788,0.468519", \ "1.202962,1.108859,1.016390,0.884015,0.732003,0.603950,0.537400"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); values ("1.849375,1.855679,1.859503,1.885203,1.877226,1.852119,1.867615", \ "1.780739,1.809261,1.828256,1.856816,1.858093,1.907313,1.860028", \ "1.739998,1.756277,1.787161,1.813363,1.850768,1.848313,1.878384", \ "1.801074,1.794044,1.791462,1.804188,1.827850,1.815053,1.851392", \ "1.859798,1.888799,1.917978,1.885836,1.864479,1.848724,1.878636", \ "2.078258,2.066817,2.067179,2.107713,1.988681,1.949784,1.873203", \ "2.434511,2.408123,2.378957,2.320607,2.272487,2.125333,2.028739"); } } internal_power () { related_pin : "A2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); values ("0.442757,0.442480,0.446554,0.448734,0.449476,0.445988,0.445963", \ "0.405433,0.422725,0.423937,0.436380,0.442287,0.445145,0.443926", \ "0.342582,0.369103,0.386175,0.407645,0.424266,0.434811,0.438889", \ "0.350390,0.334257,0.349272,0.374883,0.396312,0.417272,0.429493", \ "0.431414,0.406631,0.390350,0.367803,0.384597,0.406603,0.421932", \ "0.578948,0.539205,0.501530,0.457184,0.412310,0.417162,0.426741", \ "0.817878,0.755515,0.699968,0.621366,0.534427,0.469535,0.454316"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); values ("2.397857,2.409223,2.416904,2.417963,2.426375,2.468323,2.432017", \ "2.335573,2.359467,2.367918,2.390775,2.450087,2.442827,2.475580", \ "2.281414,2.294781,2.339920,2.363581,2.383593,2.384862,2.423941", \ "2.341385,2.345196,2.336083,2.344488,2.356549,2.427068,2.402153", \ "2.403934,2.436500,2.458839,2.428412,2.424516,2.401912,2.436572", \ "2.597269,2.607110,2.629430,2.673975,2.604518,2.490446,2.506160", \ "2.926481,2.932513,2.913458,2.891738,2.857204,2.690485,2.601712"); } } internal_power () { related_pin : "A3"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); values ("0.440713,0.444144,0.446710,0.444207,0.446875,0.447603,0.446795", \ "0.407463,0.422851,0.423455,0.435552,0.439244,0.443254,0.443901", \ "0.339808,0.364966,0.386589,0.406500,0.423537,0.434098,0.437429", \ "0.341320,0.329704,0.339382,0.363484,0.394892,0.415808,0.428603", \ "0.398871,0.384395,0.372769,0.351300,0.373244,0.401790,0.418811", \ "0.499634,0.475247,0.455401,0.428815,0.389557,0.403726,0.418898", \ "0.679265,0.641336,0.602504,0.556654,0.495559,0.438077,0.436722"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); values ("2.806088,2.833109,2.842644,2.871970,2.925189,2.958275,3.003044", \ "2.757815,2.796516,2.789455,2.825583,2.843008,2.916630,2.962386", \ "2.704610,2.745416,2.763344,2.819989,2.846003,2.925575,2.909503", \ "2.769364,2.782792,2.811385,2.810718,2.829910,2.907095,2.959305", \ "2.857864,2.912810,2.904890,2.899053,2.897718,2.891340,2.932730", \ "3.048336,3.070353,3.108303,3.137038,3.090800,2.987105,3.008750", \ "3.383267,3.392750,3.394137,3.383195,3.374646,3.193478,3.111743"); } } } } /****************************************************************************************** Module : NAND3_X2 Cell Description : Combinational cell (NAND3_X2) with drive strength X2 *******************************************************************************************/ cell (NAND3_X2) { drive_strength : 2; area : 1.862000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 21.257038; leakage_power () { when : "!A1 & !A2 & !A3"; value : 4.377980; } leakage_power () { when : "!A1 & !A2 & A3"; value : 16.544202; } leakage_power () { when : "!A1 & A2 & !A3"; value : 7.367953; } leakage_power () { when : "!A1 & A2 & A3"; value : 45.018220; } leakage_power () { when : "A1 & !A2 & !A3"; value : 4.847528; } leakage_power () { when : "A1 & !A2 & A3"; value : 25.622168; } leakage_power () { when : "A1 & A2 & !A3"; value : 14.648981; } leakage_power () { when : "A1 & A2 & A3"; value : 51.629270; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 2.948264; fall_capacitance : 2.781183; rise_capacitance : 2.948264; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.233485; fall_capacitance : 2.967694; rise_capacitance : 3.233485; } pin (A3) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.460315; fall_capacitance : 3.116145; rise_capacitance : 3.460315; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 116.272000; function : "!((A1 & A2) & A3)"; timing () { related_pin : "A1"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); values ("0.0269290,0.0389884,0.0523282,0.0789273,0.132051,0.238254,0.450576", \ "0.0304977,0.0427563,0.0562008,0.0829052,0.136111,0.242352,0.454702", \ "0.0445755,0.0574638,0.0708711,0.0976052,0.150875,0.257178,0.469548", \ "0.0596883,0.0795553,0.0976177,0.127155,0.179901,0.285933,0.498188", \ "0.0699154,0.0974442,0.122489,0.163141,0.226214,0.331761,0.543328", \ "0.0744587,0.109727,0.142086,0.194745,0.276006,0.397759,0.607735", \ "0.0728382,0.115942,0.155564,0.220558,0.321136,0.470785,0.694180"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); values ("0.0296811,0.0439316,0.0594680,0.0903259,0.151708,0.274371,0.519514", \ "0.0340480,0.0485639,0.0643331,0.0954271,0.157051,0.279890,0.525099", \ "0.0526066,0.0666379,0.0822152,0.113283,0.175103,0.298166,0.543567", \ "0.0789676,0.0998445,0.118507,0.149583,0.210784,0.333583,0.578955", \ "0.108032,0.136622,0.162368,0.203953,0.268476,0.390096,0.634837", \ "0.139583,0.176073,0.209177,0.262844,0.345316,0.471287,0.714455", \ "0.173905,0.218216,0.258750,0.324771,0.426716,0.577799,0.820889"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); values ("0.0168283,0.0270958,0.0385402,0.0613996,0.107076,0.198424,0.381051", \ "0.0168061,0.0270996,0.0385426,0.0613933,0.107082,0.198409,0.381053", \ "0.0202680,0.0279578,0.0384876,0.0613948,0.107074,0.198423,0.381022", \ "0.0334830,0.0417196,0.0497560,0.0656665,0.107041,0.198413,0.381019", \ "0.0506497,0.0618184,0.0717551,0.0885848,0.118965,0.198534,0.381028", \ "0.0710473,0.0856754,0.0984525,0.119126,0.152423,0.214042,0.381011", \ "0.0947788,0.112882,0.128946,0.154411,0.193872,0.256592,0.391241"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); values ("0.0210966,0.0342869,0.0489650,0.0783732,0.137141,0.254756,0.489868", \ "0.0210925,0.0342855,0.0489557,0.0783862,0.137142,0.254803,0.489868", \ "0.0239700,0.0345412,0.0489877,0.0783415,0.137133,0.254785,0.489868", \ "0.0392038,0.0480488,0.0569136,0.0799628,0.137137,0.254743,0.489892", \ "0.0572880,0.0693050,0.0804352,0.0992659,0.142179,0.254728,0.489867", \ "0.0782973,0.0940022,0.108111,0.131504,0.169435,0.259794,0.489861", \ "0.102555,0.121842,0.139311,0.167863,0.212979,0.288779,0.490599"); } } timing () { related_pin : "A2"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); values ("0.0332298,0.0452573,0.0585819,0.0851747,0.138296,0.244500,0.456812", \ "0.0376073,0.0498104,0.0632269,0.0899062,0.143096,0.249336,0.461692", \ "0.0515748,0.0642146,0.0777857,0.104660,0.158022,0.264391,0.476828", \ "0.0696351,0.0868657,0.103299,0.132301,0.186004,0.292632,0.505237", \ "0.0850385,0.109582,0.131741,0.168280,0.228820,0.336478,0.549448", \ "0.0948963,0.127831,0.157605,0.205201,0.278954,0.397418,0.611304", \ "0.0988477,0.140544,0.178306,0.238751,0.330152,0.468030,0.692167"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); values ("0.0376707,0.0518466,0.0674184,0.0983412,0.159916,0.282711,0.527937", \ "0.0421060,0.0565010,0.0722204,0.103296,0.164996,0.287879,0.533162", \ "0.0602979,0.0745343,0.0902123,0.121324,0.183150,0.306198,0.551594", \ "0.0914482,0.109982,0.127180,0.157688,0.218999,0.341820,0.587104", \ "0.125594,0.150939,0.174696,0.213898,0.276768,0.398464,0.643118", \ "0.162248,0.194746,0.225320,0.275903,0.355263,0.479712,0.722869", \ "0.201700,0.241465,0.278869,0.341159,0.439292,0.587003,0.829391"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); values ("0.0168232,0.0270964,0.0385378,0.0613940,0.107093,0.198425,0.381028", \ "0.0168246,0.0270971,0.0385474,0.0614004,0.107085,0.198421,0.381047", \ "0.0182412,0.0273937,0.0385482,0.0613915,0.107089,0.198419,0.381030", \ "0.0263409,0.0349509,0.0443815,0.0635758,0.107069,0.198403,0.381038", \ "0.0399433,0.0492618,0.0585549,0.0765201,0.113340,0.198480,0.381031", \ "0.0575639,0.0689224,0.0793314,0.0977090,0.132798,0.206756,0.381022", \ "0.0781413,0.0921791,0.104727,0.125496,0.161224,0.230925,0.386591"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); values ("0.0253372,0.0386491,0.0534335,0.0830102,0.142050,0.259927,0.495298", \ "0.0253435,0.0386514,0.0534487,0.0830097,0.142038,0.259935,0.495283", \ "0.0261034,0.0386324,0.0534507,0.0829907,0.142033,0.259949,0.495298", \ "0.0394598,0.0485187,0.0587265,0.0837355,0.142014,0.259952,0.495297", \ "0.0573069,0.0696465,0.0810358,0.100319,0.145774,0.259907,0.495284", \ "0.0775292,0.0938981,0.108427,0.132216,0.170801,0.263942,0.495304", \ "0.100073,0.120738,0.139018,0.168249,0.213865,0.291271,0.495778"); } } timing () { related_pin : "A3"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); values ("0.0355617,0.0476005,0.0609239,0.0875142,0.140640,0.246840,0.459156", \ "0.0402518,0.0524531,0.0658696,0.0925506,0.145736,0.251982,0.464320", \ "0.0527831,0.0652528,0.0788187,0.105676,0.159037,0.265407,0.477826", \ "0.0686586,0.0837302,0.0990811,0.127575,0.181180,0.287729,0.500309", \ "0.0839252,0.103619,0.122295,0.155387,0.214037,0.321710,0.534492", \ "0.0937856,0.120827,0.145211,0.185481,0.252521,0.368505,0.582403", \ "0.0962021,0.131938,0.163902,0.214590,0.293400,0.421992,0.645169"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); values ("0.0435231,0.0580564,0.0738970,0.105176,0.167105,0.290256,0.535793", \ "0.0480261,0.0626654,0.0785763,0.109918,0.171909,0.295093,0.540655", \ "0.0662154,0.0808572,0.0967630,0.128136,0.190181,0.313471,0.559063", \ "0.100350,0.117538,0.133758,0.164611,0.226213,0.349218,0.594663", \ "0.138553,0.162022,0.184408,0.221972,0.284106,0.406040,0.650802", \ "0.179570,0.209648,0.238427,0.286818,0.363897,0.487364,0.730680", \ "0.223550,0.260386,0.295600,0.355186,0.450312,0.595265,0.837297"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); values ("0.0168183,0.0271073,0.0385484,0.0613930,0.107078,0.198428,0.381022", \ "0.0168196,0.0270973,0.0385414,0.0613980,0.107079,0.198425,0.381021", \ "0.0176064,0.0272432,0.0385360,0.0614043,0.107090,0.198423,0.381022", \ "0.0222925,0.0319920,0.0425322,0.0631818,0.107083,0.198406,0.381034", \ "0.0315712,0.0410154,0.0512903,0.0718162,0.112423,0.198773,0.381017", \ "0.0466037,0.0562903,0.0660795,0.0855523,0.125525,0.206173,0.381106", \ "0.0664189,0.0774218,0.0875931,0.106249,0.144248,0.223522,0.387459"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); values ("0.0290548,0.0423495,0.0571740,0.0867718,0.145891,0.263928,0.499585", \ "0.0290493,0.0423488,0.0571559,0.0867561,0.145869,0.263935,0.499574", \ "0.0289408,0.0423162,0.0571481,0.0867801,0.145871,0.263965,0.499582", \ "0.0399784,0.0491308,0.0604629,0.0870855,0.145884,0.263957,0.499575", \ "0.0574662,0.0697035,0.0811500,0.101005,0.148487,0.263936,0.499570", \ "0.0772887,0.0935477,0.108171,0.132165,0.171535,0.267165,0.499591", \ "0.0992252,0.119893,0.138298,0.167839,0.213851,0.292809,0.499871"); } } internal_power () { related_pin : "A1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); values ("1.009471,1.023430,1.030317,1.032031,1.036857,1.031399,1.031827", \ "0.941082,0.970094,0.982473,1.007740,1.022472,1.023594,1.027303", \ "0.834203,0.889058,0.922895,0.957815,0.989797,1.004611,1.017684", \ "0.982819,0.898693,0.913616,0.937220,0.963890,0.990369,1.004296", \ "1.323210,1.204256,1.113243,1.018327,1.007673,1.015864,1.017003", \ "1.834588,1.668272,1.533485,1.365653,1.181371,1.118905,1.076348", \ "2.540350,2.334756,2.159130,1.903204,1.599910,1.349341,1.214612"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); values ("3.846579,3.897011,3.894177,3.838134,3.827066,3.934510,3.884215", \ "3.709842,3.809613,3.843619,3.904330,3.920679,3.914491,3.870789", \ "3.616525,3.667865,3.664081,3.736511,3.779224,3.799182,3.908170", \ "3.750380,3.750568,3.753818,3.680384,3.709758,3.866509,3.857439", \ "3.853099,3.934533,3.977552,3.839753,3.810404,3.802986,3.781375", \ "4.305574,4.293835,4.295150,4.347328,4.187961,3.972925,3.907009", \ "5.018983,4.949152,4.886271,4.806851,4.618907,4.358621,4.211907"); } } internal_power () { related_pin : "A2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); values ("1.026809,1.028362,1.032289,1.033387,1.034827,1.036985,1.032081", \ "0.951254,0.979904,0.995075,1.012631,1.020421,1.029221,1.027150", \ "0.826902,0.882016,0.903515,0.955275,0.984692,1.008441,1.015656", \ "0.834408,0.809643,0.843741,0.890007,0.939897,0.972983,0.998928", \ "0.994723,0.950750,0.915008,0.863349,0.917446,0.957846,0.984077", \ "1.299472,1.210042,1.143702,1.057101,0.958381,0.978506,0.991199", \ "1.778563,1.632623,1.522051,1.374620,1.206770,1.080444,1.048512"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); values ("4.945172,4.952841,4.976417,5.022682,5.037434,5.039461,5.015839", \ "4.820817,4.894239,4.894240,4.961736,4.977497,4.986695,5.101690", \ "4.712265,4.767558,4.776585,4.861399,4.972622,5.033145,5.002428", \ "4.836554,4.824391,4.850556,4.884507,4.923121,4.960551,4.962550", \ "4.956078,5.043002,5.081970,5.089348,5.040754,5.052139,5.034214", \ "5.344201,5.381081,5.431841,5.416561,5.349055,5.227768,5.175544", \ "6.003102,6.004852,5.987166,5.955745,5.847915,5.624615,5.359842"); } } internal_power () { related_pin : "A3"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); values ("1.022141,1.021132,1.026662,1.038989,1.038621,1.033846,1.033790", \ "0.956057,0.980431,1.002837,1.017026,1.026031,1.025494,1.029316", \ "0.821335,0.866603,0.912510,0.951948,0.981418,1.006790,1.015225", \ "0.824289,0.794425,0.815871,0.878787,0.934259,0.970046,0.997621", \ "0.933383,0.907709,0.881912,0.844476,0.893411,0.945590,0.977976", \ "1.132997,1.088604,1.043836,0.994731,0.914132,0.945718,0.977959", \ "1.500335,1.408818,1.345313,1.248088,1.129308,1.020024,1.013145"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); values ("5.761665,5.830462,5.885537,5.937856,5.982041,6.016023,6.161614", \ "5.664928,5.747925,5.806059,5.846085,5.895380,5.932249,6.079559", \ "5.559893,5.655499,5.726762,5.714756,5.902488,5.955867,5.986066", \ "5.698913,5.729975,5.742554,5.821025,5.874021,5.923485,5.954156", \ "5.867598,5.988862,5.999113,5.994525,6.012451,6.026762,6.030206", \ "6.246507,6.295380,6.371890,6.362779,6.271007,6.223699,6.185395", \ "6.905061,6.917914,6.934244,6.940500,6.826195,6.630805,6.382607"); } } } } /****************************************************************************************** Module : NAND3_X4 Cell Description : Combinational cell (NAND3_X4) with drive strength X4 *******************************************************************************************/ cell (NAND3_X4) { drive_strength : 4; area : 3.458000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 42.514135; leakage_power () { when : "!A1 & !A2 & !A3"; value : 8.755931; } leakage_power () { when : "!A1 & !A2 & A3"; value : 33.088405; } leakage_power () { when : "!A1 & A2 & !A3"; value : 14.735906; } leakage_power () { when : "!A1 & A2 & A3"; value : 90.036630; } leakage_power () { when : "A1 & !A2 & !A3"; value : 9.694995; } leakage_power () { when : "A1 & !A2 & A3"; value : 51.244427; } leakage_power () { when : "A1 & A2 & !A3"; value : 29.298054; } leakage_power () { when : "A1 & A2 & A3"; value : 103.258730; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 6.199694; fall_capacitance : 5.869402; rise_capacitance : 6.199694; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 6.832484; fall_capacitance : 6.296323; rise_capacitance : 6.832484; } pin (A3) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 6.967101; fall_capacitance : 6.287821; rise_capacitance : 6.967101; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 232.838500; function : "!((A1 & A2) & A3)"; timing () { related_pin : "A1"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); values ("0.0258447,0.0386223,0.0519953,0.0786665,0.131924,0.238391,0.451269", \ "0.0293903,0.0423908,0.0558708,0.0826420,0.135981,0.242492,0.455391", \ "0.0432839,0.0571174,0.0705491,0.0973459,0.150746,0.257321,0.470258", \ "0.0576491,0.0790576,0.0972215,0.126893,0.179782,0.286075,0.498885", \ "0.0670689,0.0967447,0.121979,0.162821,0.226111,0.331930,0.544039", \ "0.0708042,0.108872,0.141456,0.194366,0.275897,0.397959,0.608465", \ "0.0683957,0.114738,0.154782,0.220092,0.321032,0.471069,0.694951"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); values ("0.0283746,0.0434905,0.0590688,0.0899894,0.151566,0.274527,0.520344", \ "0.0327176,0.0481168,0.0639304,0.0951051,0.156903,0.280026,0.525933", \ "0.0512135,0.0662048,0.0818151,0.112988,0.174975,0.298327,0.544420", \ "0.0767923,0.0992698,0.118071,0.149275,0.210648,0.333769,0.579837", \ "0.105071,0.135824,0.161755,0.203566,0.268342,0.390301,0.635728", \ "0.135809,0.175058,0.208372,0.262344,0.345152,0.471513,0.715353", \ "0.169331,0.217038,0.257815,0.324229,0.426570,0.578052,0.821790"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); values ("0.0158755,0.0267590,0.0382324,0.0611460,0.106947,0.198511,0.381613", \ "0.0158562,0.0267624,0.0382366,0.0611522,0.106944,0.198511,0.381614", \ "0.0196239,0.0276597,0.0381866,0.0611479,0.106942,0.198514,0.381613", \ "0.0326245,0.0414080,0.0495301,0.0654693,0.106911,0.198510,0.381614", \ "0.0494513,0.0613932,0.0714217,0.0883374,0.118824,0.198634,0.381617", \ "0.0694943,0.0851429,0.0979978,0.118822,0.152250,0.214097,0.381607", \ "0.0928619,0.112384,0.128431,0.154064,0.193679,0.256604,0.391743"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); values ("0.0198901,0.0338353,0.0485660,0.0780280,0.136964,0.254797,0.490503", \ "0.0198884,0.0338419,0.0485715,0.0780444,0.136945,0.254810,0.490497", \ "0.0231955,0.0341426,0.0485662,0.0780152,0.136955,0.254816,0.490488", \ "0.0382834,0.0477260,0.0566042,0.0796633,0.136938,0.254825,0.490493", \ "0.0559974,0.0688234,0.0800685,0.0990198,0.141998,0.254811,0.490493", \ "0.0766974,0.0933612,0.107606,0.131175,0.169231,0.259837,0.490503", \ "0.100624,0.121169,0.138687,0.167419,0.212737,0.288788,0.491196"); } } timing () { related_pin : "A2"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); values ("0.0321445,0.0448883,0.0582496,0.0849097,0.138166,0.244632,0.457521", \ "0.0365070,0.0494357,0.0628901,0.0896387,0.142961,0.249471,0.462381", \ "0.0503242,0.0638312,0.0774482,0.104394,0.157893,0.264527,0.477520", \ "0.0678741,0.0863788,0.102919,0.132049,0.185894,0.292788,0.505932", \ "0.0824626,0.108964,0.131261,0.167967,0.228704,0.336640,0.550145", \ "0.0913752,0.127097,0.157024,0.204845,0.278819,0.397568,0.611998", \ "0.0944797,0.139501,0.177607,0.238357,0.330054,0.468245,0.692877"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); values ("0.0363756,0.0514025,0.0670181,0.0980236,0.159746,0.282876,0.528802", \ "0.0407782,0.0560527,0.0718164,0.102986,0.164837,0.288047,0.534033", \ "0.0590205,0.0741221,0.0898180,0.121027,0.183011,0.306391,0.552481", \ "0.0895752,0.109468,0.126750,0.157392,0.218864,0.341990,0.588008", \ "0.123010,0.150293,0.174090,0.213478,0.276617,0.398678,0.644038", \ "0.158950,0.193902,0.224635,0.275478,0.355132,0.479937,0.723790", \ "0.197677,0.240337,0.278073,0.340718,0.439169,0.587261,0.830317"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); values ("0.0158837,0.0267600,0.0382293,0.0611461,0.106955,0.198513,0.381617", \ "0.0158764,0.0267596,0.0382359,0.0611517,0.106946,0.198513,0.381613", \ "0.0174499,0.0270819,0.0382339,0.0611474,0.106953,0.198517,0.381609", \ "0.0255011,0.0346170,0.0441042,0.0633537,0.106942,0.198511,0.381623", \ "0.0389924,0.0489206,0.0582435,0.0762917,0.113219,0.198591,0.381611", \ "0.0563786,0.0684886,0.0789887,0.0974322,0.132631,0.206845,0.381604", \ "0.0766764,0.0916672,0.104310,0.125186,0.161034,0.230940,0.387145"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); values ("0.0241322,0.0382002,0.0530455,0.0826642,0.141824,0.259991,0.495965", \ "0.0241338,0.0382047,0.0530510,0.0826694,0.141839,0.259983,0.495954", \ "0.0250835,0.0382063,0.0530433,0.0826627,0.141844,0.259990,0.495961", \ "0.0385054,0.0481884,0.0583768,0.0834151,0.141827,0.259990,0.495975", \ "0.0559839,0.0691825,0.0806271,0.100021,0.145582,0.259986,0.495960", \ "0.0758231,0.0932514,0.107932,0.131884,0.170615,0.264029,0.495949", \ "0.0979370,0.120067,0.138396,0.167837,0.213636,0.291276,0.496418"); } } timing () { related_pin : "A3"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); values ("0.0345078,0.0472520,0.0606128,0.0872694,0.140527,0.246995,0.459887", \ "0.0391590,0.0520986,0.0655530,0.0923011,0.145625,0.252135,0.465047", \ "0.0516134,0.0648918,0.0784949,0.105432,0.158927,0.265559,0.478550", \ "0.0672153,0.0832925,0.0987347,0.127322,0.181077,0.287892,0.501038", \ "0.0819398,0.103060,0.121865,0.155081,0.213909,0.321877,0.535229", \ "0.0909219,0.120187,0.144728,0.185162,0.252392,0.368671,0.583117", \ "0.0923746,0.131152,0.163328,0.214239,0.293283,0.422170,0.645865"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); values ("0.0422109,0.0576293,0.0735218,0.104887,0.166990,0.290470,0.536721", \ "0.0467000,0.0622389,0.0782026,0.109639,0.171800,0.295319,0.541602", \ "0.0649152,0.0804564,0.0964049,0.127864,0.190092,0.313682,0.560007", \ "0.0986604,0.117113,0.133412,0.164355,0.226128,0.349445,0.595631", \ "0.136227,0.161451,0.183942,0.221653,0.283977,0.406282,0.651800", \ "0.176591,0.208858,0.237847,0.286455,0.363817,0.487652,0.731675", \ "0.219914,0.259360,0.294871,0.354749,0.450253,0.595574,0.838289"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); values ("0.0158803,0.0267598,0.0382292,0.0611509,0.106945,0.198514,0.381610", \ "0.0158730,0.0267606,0.0382341,0.0611526,0.106945,0.198511,0.381615", \ "0.0167550,0.0269127,0.0382360,0.0611496,0.106953,0.198514,0.381611", \ "0.0213765,0.0316652,0.0422431,0.0629442,0.106958,0.198509,0.381622", \ "0.0306576,0.0406465,0.0509852,0.0715872,0.112304,0.198885,0.381607", \ "0.0456206,0.0559405,0.0657564,0.0852882,0.125395,0.206284,0.381690", \ "0.0652810,0.0770140,0.0872336,0.105976,0.144072,0.223588,0.388022"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); values ("0.0278514,0.0419065,0.0567600,0.0864488,0.145710,0.264030,0.500300", \ "0.0278437,0.0419120,0.0567623,0.0864327,0.145695,0.264027,0.500304", \ "0.0277977,0.0418891,0.0567634,0.0864492,0.145694,0.264018,0.500300", \ "0.0390503,0.0487733,0.0601132,0.0867925,0.145707,0.264029,0.500288", \ "0.0561595,0.0692331,0.0807676,0.100685,0.148416,0.264025,0.500301", \ "0.0756028,0.0929435,0.107672,0.131815,0.171352,0.267257,0.500292", \ "0.0970978,0.119198,0.137678,0.167410,0.213649,0.292843,0.500562"); } } internal_power () { related_pin : "A1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); values ("2.024315,2.049848,2.065797,2.074054,2.076365,2.063743,2.065394", \ "1.869653,1.938651,1.984614,2.028314,2.050687,2.061085,2.054916", \ "1.666781,1.780294,1.830270,1.918079,1.981456,2.009627,2.033700", \ "1.970084,1.805200,1.819722,1.853307,1.927193,1.980144,2.015122", \ "2.671072,2.400650,2.242111,2.028073,2.027761,2.029794,2.031951", \ "3.714989,3.339351,3.076402,2.723011,2.361940,2.235468,2.150075", \ "5.125699,4.683761,4.314939,3.808767,3.193417,2.700792,2.426480"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); values ("7.495239,7.583743,7.575001,7.632282,7.487341,7.659796,7.475400", \ "7.216141,7.414976,7.475022,7.594675,7.674053,7.618886,7.717539", \ "7.043529,7.164964,7.247510,7.400627,7.394105,7.390030,7.528292", \ "7.286252,7.260757,7.261066,7.289568,7.351478,7.528551,7.430808", \ "7.516289,7.688574,7.797365,7.657141,7.586817,7.442774,7.550185", \ "8.416047,8.390897,8.390196,8.524175,8.211120,7.747470,7.803802", \ "9.874534,9.729965,9.598111,9.467251,9.172730,8.522844,8.145924"); } } internal_power () { related_pin : "A2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); values ("2.034678,2.060517,2.065928,2.067034,2.072362,2.074662,2.062274", \ "1.903857,1.969264,1.988527,2.016328,2.052060,2.058931,2.060877", \ "1.635866,1.753098,1.819057,1.909123,1.970932,2.017142,2.037498", \ "1.688677,1.612769,1.668566,1.758584,1.881132,1.946003,1.996284", \ "2.009577,1.892384,1.816254,1.742190,1.832383,1.914698,1.966287", \ "2.609762,2.406773,2.289434,2.103088,1.926329,1.955423,1.987275", \ "3.577720,3.280982,3.058139,2.754672,2.413887,2.158009,2.094610"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); values ("9.697149,9.768060,9.738151,9.893875,9.901284,9.864204,9.999838", \ "9.442994,9.575364,9.619943,9.752822,9.783963,9.759431,9.905811", \ "9.229541,9.332212,9.391494,9.480485,9.522315,9.803509,9.977199", \ "9.482782,9.494966,9.496185,9.510180,9.698137,9.715691,9.901604", \ "9.675087,9.900824,10.033910,9.949598,9.913317,9.885590,9.780336", \ "10.498310,10.538570,10.613650,10.775280,10.493940,10.254150,10.063720", \ "11.825140,11.816150,11.720110,11.659160,11.538820,11.051220,10.702960"); } } internal_power () { related_pin : "A3"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); values ("2.051396,2.058438,2.066128,2.069989,2.061785,2.068565,2.065594", \ "1.911999,1.974312,1.992601,2.031449,2.054466,2.052691,2.062000", \ "1.612556,1.750865,1.808723,1.906195,1.964761,2.013970,2.035889", \ "1.643891,1.596212,1.639477,1.761933,1.869468,1.952947,1.993462", \ "1.866685,1.811844,1.772476,1.689548,1.790908,1.890520,1.961229", \ "2.286671,2.172676,2.109404,1.993314,1.828873,1.890354,1.954117", \ "3.026883,2.829581,2.701935,2.500833,2.258384,2.038265,2.031211"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); values ("11.323150,11.445900,11.538400,11.678570,11.792060,11.960290,12.017910", \ "11.125830,11.275610,11.407850,11.539360,11.619110,11.889000,11.857070", \ "10.917590,11.088660,11.238060,11.402640,11.379590,11.694950,11.920220", \ "11.185450,11.272270,11.373980,11.493260,11.581350,11.770310,11.862290", \ "11.512640,11.775680,11.805470,11.835740,11.850960,11.843650,11.768100", \ "12.290780,12.418610,12.531940,12.575000,12.476100,12.244860,12.079480", \ "13.617330,13.630970,13.638600,13.621480,13.488390,13.061800,12.743930"); } } } } /****************************************************************************************** Module : NAND4_X1 Cell Description : Combinational cell (NAND4_X1) with drive strength X1 *******************************************************************************************/ cell (NAND4_X1) { drive_strength : 1; area : 1.330000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 9.707629; leakage_power () { when : "!A1 & !A2 & !A3 & !A4"; value : 1.814928; } leakage_power () { when : "!A1 & !A2 & !A3 & A4"; value : 7.279745; } leakage_power () { when : "!A1 & !A2 & A3 & !A4"; value : 3.621637; } leakage_power () { when : "!A1 & !A2 & A3 & A4"; value : 13.361778; } leakage_power () { when : "!A1 & A2 & !A3 & !A4"; value : 2.408411; } leakage_power () { when : "!A1 & A2 & !A3 & A4"; value : 8.774437; } leakage_power () { when : "!A1 & A2 & A3 & !A4"; value : 4.024665; } leakage_power () { when : "!A1 & A2 & A3 & A4"; value : 27.588019; } leakage_power () { when : "A1 & !A2 & !A3 & !A4"; value : 1.579029; } leakage_power () { when : "A1 & !A2 & !A3 & A4"; value : 7.514380; } leakage_power () { when : "A1 & !A2 & A3 & !A4"; value : 3.150770; } leakage_power () { when : "A1 & !A2 & A3 & A4"; value : 17.897533; } leakage_power () { when : "A1 & A2 & !A3 & !A4"; value : 2.362915; } leakage_power () { when : "A1 & A2 & !A3 & A4"; value : 12.413114; } leakage_power () { when : "A1 & A2 & A3 & !A4"; value : 7.116670; } leakage_power () { when : "A1 & A2 & A3 & A4"; value : 34.414025; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.511597; fall_capacitance : 1.445646; rise_capacitance : 1.511597; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.583948; fall_capacitance : 1.467429; rise_capacitance : 1.583948; } pin (A3) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.612125; fall_capacitance : 1.470449; rise_capacitance : 1.612125; } pin (A4) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.603662; fall_capacitance : 1.433042; rise_capacitance : 1.603662; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 55.968070; function : "!(((A1 & A2) & A3) & A4)"; timing () { related_pin : "A1"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); values ("0.0414877,0.0552824,0.0726684,0.107368,0.176681,0.315197,0.592090", \ "0.0447701,0.0587211,0.0762277,0.111050,0.180472,0.319029,0.595976", \ "0.0579326,0.0718289,0.0894092,0.124345,0.193899,0.332593,0.609605", \ "0.0790804,0.0968380,0.116428,0.151070,0.220215,0.358728,0.635686", \ "0.0957532,0.119860,0.146315,0.190837,0.263014,0.400438,0.676830", \ "0.106962,0.137660,0.171315,0.227871,0.318119,0.460804,0.735499", \ "0.112315,0.149651,0.190606,0.259638,0.369744,0.538868,0.814742"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); values ("0.0353917,0.0473666,0.0622973,0.0919722,0.151124,0.269226,0.505193", \ "0.0400153,0.0521982,0.0673424,0.0972325,0.156586,0.274817,0.510870", \ "0.0583695,0.0703081,0.0853333,0.115258,0.174787,0.293224,0.529449", \ "0.0879945,0.104418,0.121804,0.151455,0.210454,0.328691,0.564865", \ "0.120078,0.142609,0.166604,0.206040,0.268008,0.385170,0.620730", \ "0.154399,0.183196,0.214104,0.265085,0.344457,0.466206,0.700302", \ "0.191096,0.226333,0.264251,0.327090,0.425276,0.572046,0.806741"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); values ("0.0289608,0.0406611,0.0554593,0.0850340,0.144135,0.262258,0.498270", \ "0.0289344,0.0406562,0.0554487,0.0850393,0.144146,0.262234,0.498269", \ "0.0290731,0.0402994,0.0554467,0.0850384,0.144137,0.262242,0.498248", \ "0.0418040,0.0505572,0.0609475,0.0857880,0.144109,0.262237,0.498284", \ "0.0608575,0.0718195,0.0832282,0.104112,0.148688,0.262214,0.498313", \ "0.0833887,0.0971715,0.111471,0.135539,0.176775,0.267742,0.498299", \ "0.109192,0.125941,0.143429,0.172464,0.219265,0.299243,0.499712"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); values ("0.0267423,0.0379356,0.0520788,0.0803735,0.136995,0.250327,0.476647", \ "0.0267610,0.0379368,0.0520660,0.0803827,0.137021,0.250288,0.476655", \ "0.0281150,0.0379245,0.0520975,0.0803950,0.137018,0.250332,0.476645", \ "0.0435488,0.0505280,0.0591253,0.0817360,0.136989,0.250254,0.476660", \ "0.0632611,0.0729631,0.0830792,0.100712,0.142097,0.250240,0.476650", \ "0.0863976,0.0989892,0.111750,0.133631,0.169681,0.255879,0.476664", \ "0.112927,0.128224,0.144073,0.170709,0.213596,0.285861,0.477871"); } } timing () { related_pin : "A2"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); values ("0.0517939,0.0655533,0.0829309,0.117617,0.186927,0.325448,0.602395", \ "0.0557405,0.0696190,0.0870901,0.121882,0.191277,0.329838,0.606784", \ "0.0690604,0.0831813,0.100819,0.135832,0.205438,0.344171,0.621214", \ "0.0910222,0.107727,0.126983,0.162357,0.232278,0.371254,0.648493", \ "0.112532,0.134613,0.159046,0.201138,0.274035,0.413494,0.691138", \ "0.129263,0.158125,0.189849,0.242632,0.328207,0.472620,0.750774", \ "0.140428,0.176787,0.216374,0.281991,0.385140,0.547754,0.829233"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); values ("0.0434922,0.0554394,0.0704165,0.100196,0.159522,0.277724,0.513851", \ "0.0482784,0.0603994,0.0755031,0.105416,0.164848,0.283164,0.519321", \ "0.0663042,0.0783632,0.0934960,0.123497,0.183074,0.301560,0.537858", \ "0.0994473,0.114128,0.130205,0.159678,0.218817,0.337114,0.573336", \ "0.136228,0.156324,0.178596,0.215903,0.276385,0.393659,0.629270", \ "0.175396,0.201295,0.229910,0.278023,0.354471,0.474717,0.708912", \ "0.216997,0.248936,0.284077,0.343433,0.437952,0.581430,0.815400"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); values ("0.0289972,0.0406434,0.0554545,0.0850310,0.144152,0.262218,0.498242", \ "0.0290108,0.0406502,0.0554659,0.0850391,0.144155,0.262244,0.498249", \ "0.0289900,0.0406448,0.0554452,0.0850439,0.144144,0.262259,0.498250", \ "0.0363786,0.0462198,0.0583480,0.0854361,0.144118,0.262240,0.498255", \ "0.0507572,0.0606595,0.0724268,0.0958647,0.146542,0.262231,0.498335", \ "0.0703135,0.0818783,0.0943503,0.117429,0.163058,0.265129,0.498295", \ "0.0931275,0.106986,0.121597,0.146793,0.192014,0.282971,0.499044"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); values ("0.0310626,0.0423349,0.0566077,0.0850674,0.142032,0.255498,0.482196", \ "0.0310642,0.0423343,0.0565722,0.0850694,0.141974,0.255512,0.482201", \ "0.0312216,0.0423232,0.0565971,0.0850777,0.141965,0.255499,0.482192", \ "0.0438082,0.0510176,0.0611631,0.0857273,0.141909,0.255511,0.482196", \ "0.0633887,0.0732520,0.0836621,0.101836,0.145700,0.255469,0.482199", \ "0.0858030,0.0987824,0.112063,0.134318,0.171031,0.260010,0.482188", \ "0.110940,0.127239,0.143750,0.171056,0.214444,0.288316,0.483067"); } } timing () { related_pin : "A3"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); values ("0.0575682,0.0713204,0.0886933,0.123386,0.192693,0.331207,0.608106", \ "0.0619136,0.0757986,0.0932673,0.128063,0.197452,0.336023,0.612956", \ "0.0744329,0.0885491,0.106204,0.141220,0.210832,0.349570,0.626608", \ "0.0934789,0.109185,0.127986,0.163281,0.233122,0.372090,0.649311", \ "0.113496,0.132978,0.155000,0.194863,0.267392,0.406663,0.684189", \ "0.129708,0.154816,0.182516,0.229872,0.311083,0.455044,0.732939", \ "0.139170,0.171450,0.206374,0.264426,0.358245,0.515382,0.796953"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); values ("0.0500431,0.0622500,0.0774676,0.107559,0.167215,0.285886,0.522330", \ "0.0546621,0.0669687,0.0822546,0.112421,0.172157,0.290838,0.527333", \ "0.0725327,0.0848791,0.100225,0.130483,0.190331,0.309132,0.545692", \ "0.107804,0.121496,0.136850,0.166671,0.226145,0.344747,0.581194", \ "0.148416,0.167043,0.188145,0.223985,0.283709,0.401374,0.637217", \ "0.191696,0.215809,0.242829,0.288913,0.363163,0.482432,0.716926", \ "0.237627,0.267412,0.300601,0.357352,0.449036,0.589834,0.823474"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); values ("0.0289862,0.0406538,0.0554714,0.0850345,0.144156,0.262261,0.498269", \ "0.0290125,0.0406481,0.0554620,0.0850415,0.144159,0.262251,0.498243", \ "0.0289447,0.0406376,0.0554523,0.0850480,0.144150,0.262246,0.498243", \ "0.0338225,0.0445013,0.0576157,0.0854003,0.144120,0.262246,0.498283", \ "0.0437678,0.0543055,0.0671741,0.0932175,0.146443,0.262242,0.498278", \ "0.0603673,0.0709176,0.0834317,0.108212,0.158802,0.265385,0.498298", \ "0.0822035,0.0940303,0.106997,0.131085,0.179393,0.279767,0.499706"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); values ("0.0348460,0.0460981,0.0603400,0.0888673,0.145819,0.259619,0.486620", \ "0.0348285,0.0460918,0.0603598,0.0888641,0.145853,0.259609,0.486615", \ "0.0347097,0.0460575,0.0603111,0.0888523,0.145814,0.259615,0.486613", \ "0.0442792,0.0519780,0.0631517,0.0890261,0.145805,0.259602,0.486618", \ "0.0634351,0.0734001,0.0838362,0.102745,0.148501,0.259588,0.486617", \ "0.0853979,0.0983824,0.111781,0.134270,0.171777,0.263231,0.486611", \ "0.109926,0.126316,0.143036,0.170606,0.214412,0.289774,0.487221"); } } timing () { related_pin : "A4"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); values ("0.0598291,0.0735761,0.0909532,0.125636,0.194953,0.333468,0.610367", \ "0.0644247,0.0783488,0.0958173,0.130610,0.200005,0.338569,0.615514", \ "0.0763991,0.0905034,0.108163,0.143183,0.212796,0.351525,0.628575", \ "0.0931240,0.108333,0.126813,0.162061,0.231861,0.370830,0.648060", \ "0.110654,0.127919,0.148381,0.187004,0.259009,0.398239,0.675696", \ "0.125487,0.146920,0.170981,0.214328,0.292631,0.436022,0.713728", \ "0.134205,0.161316,0.191002,0.241746,0.328638,0.481875,0.763363"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); values ("0.0547815,0.0673923,0.0829581,0.113536,0.173724,0.292900,0.529815", \ "0.0593809,0.0720293,0.0876476,0.118245,0.178481,0.297675,0.534583", \ "0.0773561,0.0900581,0.105729,0.136413,0.196688,0.315937,0.552909", \ "0.114076,0.127140,0.142364,0.172643,0.232598,0.351639,0.588464", \ "0.157953,0.175634,0.195925,0.230700,0.290163,0.408344,0.644532", \ "0.204824,0.227714,0.253619,0.298186,0.370693,0.489423,0.724301", \ "0.254580,0.282807,0.314572,0.369446,0.458836,0.597404,0.830919"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); values ("0.0290184,0.0406486,0.0554625,0.0850607,0.144146,0.262259,0.498259", \ "0.0289804,0.0406545,0.0554630,0.0850342,0.144161,0.262248,0.498269", \ "0.0289443,0.0406349,0.0554536,0.0850411,0.144144,0.262230,0.498275", \ "0.0321969,0.0433383,0.0570089,0.0853020,0.144111,0.262230,0.498251", \ "0.0383957,0.0496797,0.0637125,0.0912883,0.146171,0.262231,0.498352", \ "0.0498596,0.0610150,0.0745193,0.101682,0.155892,0.265367,0.498325", \ "0.0680445,0.0788669,0.0917709,0.117591,0.170640,0.277624,0.500252"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); values ("0.0386173,0.0498452,0.0640785,0.0925807,0.149542,0.263414,0.490519", \ "0.0385793,0.0498332,0.0640948,0.0925703,0.149552,0.263414,0.490534", \ "0.0383383,0.0497459,0.0640502,0.0925683,0.149525,0.263358,0.490519", \ "0.0450320,0.0535291,0.0655126,0.0924009,0.149508,0.263374,0.490518", \ "0.0640033,0.0739112,0.0843607,0.103814,0.151274,0.263367,0.490523", \ "0.0856928,0.0985930,0.111889,0.134446,0.172612,0.266174,0.490531", \ "0.109843,0.126094,0.142739,0.170412,0.214440,0.291159,0.490958"); } } internal_power () { related_pin : "A1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); values ("0.812606,0.809177,0.819940,0.815077,0.820268,0.819030,0.815784", \ "0.784033,0.786896,0.802655,0.804039,0.813456,0.815435,0.813542", \ "0.730812,0.750540,0.765356,0.781837,0.792667,0.803052,0.808335", \ "0.747118,0.742740,0.751379,0.765601,0.784894,0.796425,0.801844", \ "0.908875,0.858336,0.821581,0.801833,0.800885,0.803867,0.803925", \ "1.146294,1.080482,1.020434,0.939648,0.881167,0.849541,0.830634", \ "1.481974,1.401800,1.318419,1.197788,1.051793,0.958084,0.895208"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); values ("2.057428,2.071007,2.059095,2.054406,2.043071,2.048239,2.056471", \ "2.012252,2.029118,2.025975,2.078659,2.094078,2.107113,2.054463", \ "1.955459,1.964347,1.988961,2.005130,2.031410,2.056303,2.077212", \ "2.016454,1.995978,2.005653,2.015961,1.997527,2.025657,2.053690", \ "2.062818,2.099379,2.084761,2.044377,2.040474,2.056841,2.081159", \ "2.252593,2.258445,2.260280,2.276794,2.153091,2.132344,2.119727", \ "2.585313,2.560964,2.531972,2.487792,2.422128,2.314254,2.221368"); } } internal_power () { related_pin : "A2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); values ("0.821370,0.818041,0.821303,0.815588,0.820487,0.818675,0.814619", \ "0.794227,0.800879,0.803463,0.804708,0.813726,0.815349,0.813443", \ "0.734304,0.751061,0.761983,0.778665,0.796630,0.805350,0.807735", \ "0.700045,0.710700,0.722223,0.742063,0.769515,0.787455,0.797158", \ "0.766088,0.742535,0.725474,0.735258,0.756614,0.775714,0.788261", \ "0.902645,0.862775,0.835096,0.790852,0.773920,0.783747,0.790375", \ "1.121881,1.073765,1.019485,0.947824,0.861603,0.826361,0.814302"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); values ("2.609683,2.615717,2.595647,2.635930,2.651044,2.665239,2.687083", \ "2.576673,2.599570,2.612534,2.614447,2.633958,2.652240,2.675537", \ "2.512001,2.542354,2.561811,2.542802,2.634722,2.665272,2.696748", \ "2.551560,2.540104,2.557707,2.576676,2.606051,2.639797,2.675486", \ "2.623445,2.672215,2.672355,2.658123,2.654387,2.611266,2.640927", \ "2.783393,2.802322,2.804961,2.838554,2.766947,2.690778,2.704725", \ "3.090209,3.084351,3.087914,3.062674,3.033042,2.879110,2.791949"); } } internal_power () { related_pin : "A3"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); values ("0.821930,0.813216,0.817577,0.814023,0.819442,0.818701,0.815175", \ "0.793613,0.801070,0.798773,0.812097,0.812371,0.814731,0.813102", \ "0.731219,0.745504,0.761670,0.777404,0.795909,0.804742,0.807580", \ "0.689107,0.697163,0.715739,0.735201,0.768406,0.785239,0.797610", \ "0.737311,0.723461,0.705476,0.721438,0.741962,0.770561,0.785697", \ "0.829472,0.805403,0.785761,0.758476,0.749432,0.765597,0.780562", \ "0.997396,0.960890,0.925561,0.877881,0.817011,0.794393,0.794476"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); values ("3.061503,3.077904,3.068326,3.117859,3.159902,3.176793,3.211320", \ "3.020514,3.046568,3.058257,3.078329,3.108029,3.139978,3.176729", \ "2.955380,2.989525,2.996894,3.065972,3.107197,3.148242,3.190334", \ "2.987657,3.004881,3.015626,3.045992,3.088555,3.126118,3.170395", \ "3.083022,3.131436,3.105020,3.122392,3.136858,3.167840,3.139669", \ "3.247232,3.272277,3.290056,3.288638,3.255343,3.252024,3.207978", \ "3.546845,3.563090,3.552245,3.555659,3.520656,3.406427,3.366966"); } } internal_power () { related_pin : "A4"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); values ("0.821774,0.819501,0.814133,0.819484,0.816683,0.816888,0.815944", \ "0.794613,0.800416,0.806221,0.807068,0.815162,0.816064,0.813608", \ "0.731555,0.747693,0.762719,0.773353,0.793468,0.803013,0.806731", \ "0.685880,0.695094,0.712848,0.736317,0.766155,0.785652,0.796166", \ "0.731176,0.715530,0.702736,0.711529,0.741875,0.767396,0.785161", \ "0.802174,0.792624,0.772591,0.749923,0.737340,0.758621,0.778872", \ "0.932144,0.911098,0.884535,0.850842,0.800599,0.779582,0.787989"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); values ("3.426384,3.453023,3.466922,3.540640,3.604635,3.638456,3.691625", \ "3.376248,3.416383,3.457948,3.493797,3.542688,3.593780,3.647552", \ "3.330323,3.374446,3.405433,3.429107,3.543113,3.600234,3.658244", \ "3.371383,3.388135,3.402134,3.474086,3.525086,3.582667,3.640654", \ "3.491610,3.527971,3.517482,3.553050,3.583869,3.629271,3.613944", \ "3.655628,3.692122,3.715056,3.726732,3.705840,3.717648,3.686081", \ "3.969368,3.988843,3.986431,3.991597,3.978299,3.851075,3.850582"); } } } } /****************************************************************************************** Module : NAND4_X2 Cell Description : Combinational cell (NAND4_X2) with drive strength X2 *******************************************************************************************/ cell (NAND4_X2) { drive_strength : 2; area : 2.394000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 19.424287; leakage_power () { when : "!A1 & !A2 & !A3 & !A4"; value : 3.639421; } leakage_power () { when : "!A1 & !A2 & !A3 & A4"; value : 14.569048; } leakage_power () { when : "!A1 & !A2 & A3 & !A4"; value : 7.252851; } leakage_power () { when : "!A1 & !A2 & A3 & A4"; value : 26.733104; } leakage_power () { when : "!A1 & A2 & !A3 & !A4"; value : 4.826371; } leakage_power () { when : "!A1 & A2 & !A3 & A4"; value : 17.558460; } leakage_power () { when : "!A1 & A2 & A3 & !A4"; value : 8.058897; } leakage_power () { when : "!A1 & A2 & A3 & A4"; value : 55.185785; } leakage_power () { when : "A1 & !A2 & !A3 & !A4"; value : 3.167617; } leakage_power () { when : "A1 & !A2 & !A3 & A4"; value : 15.038280; } leakage_power () { when : "A1 & !A2 & A3 & !A4"; value : 6.312248; } leakage_power () { when : "A1 & !A2 & A3 & A4"; value : 35.804643; } leakage_power () { when : "A1 & A2 & !A3 & !A4"; value : 4.735396; } leakage_power () { when : "A1 & A2 & !A3 & A4"; value : 24.835729; } leakage_power () { when : "A1 & A2 & A3 & !A4"; value : 14.242858; } leakage_power () { when : "A1 & A2 & A3 & A4"; value : 68.827880; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 2.912136; fall_capacitance : 2.776760; rise_capacitance : 2.912136; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.262695; fall_capacitance : 3.027849; rise_capacitance : 3.262695; } pin (A3) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.428047; fall_capacitance : 3.151505; rise_capacitance : 3.428047; } pin (A4) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.712480; fall_capacitance : 3.384109; rise_capacitance : 3.712480; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 111.502900; function : "!(((A1 & A2) & A3) & A4)"; timing () { related_pin : "A1"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); values ("0.0419049,0.0574217,0.0747329,0.109284,0.178305,0.316262,0.592084", \ "0.0451673,0.0608872,0.0783121,0.112982,0.182097,0.320118,0.595955", \ "0.0583173,0.0740402,0.0915227,0.126289,0.195544,0.333683,0.609599", \ "0.0795943,0.0994997,0.118684,0.153014,0.221887,0.359851,0.635682", \ "0.0964847,0.123454,0.149402,0.193160,0.264696,0.401595,0.676868", \ "0.107885,0.142072,0.175158,0.230887,0.320166,0.462022,0.735656", \ "0.113428,0.154981,0.195114,0.263099,0.372073,0.540054,0.814708"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); values ("0.0358609,0.0493229,0.0641963,0.0937596,0.152675,0.270374,0.505588", \ "0.0404824,0.0542024,0.0692599,0.0990315,0.158149,0.275975,0.511277", \ "0.0588214,0.0722905,0.0872719,0.117085,0.176359,0.294408,0.529867", \ "0.0886481,0.106859,0.123825,0.153258,0.212060,0.329894,0.565322", \ "0.120938,0.145957,0.169386,0.208149,0.269593,0.386384,0.621215", \ "0.155486,0.187541,0.217788,0.267882,0.346372,0.467437,0.700806", \ "0.192403,0.231548,0.268668,0.330471,0.427593,0.573314,0.807132"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); values ("0.0293175,0.0424626,0.0571991,0.0866555,0.145500,0.263090,0.498182", \ "0.0292812,0.0424610,0.0571937,0.0866528,0.145489,0.263089,0.498173", \ "0.0293943,0.0421909,0.0571868,0.0866386,0.145480,0.263084,0.498163", \ "0.0421258,0.0517862,0.0622427,0.0873141,0.145476,0.263087,0.498163", \ "0.0612271,0.0731064,0.0844179,0.105074,0.149805,0.263072,0.498179", \ "0.0838542,0.0990690,0.112958,0.136671,0.177630,0.268506,0.498193", \ "0.109777,0.128252,0.145396,0.173948,0.220252,0.299895,0.499561"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); values ("0.0270807,0.0396601,0.0537823,0.0819540,0.138361,0.251203,0.476807", \ "0.0270749,0.0396801,0.0537505,0.0819421,0.138361,0.251212,0.476808", \ "0.0283731,0.0396525,0.0537498,0.0819554,0.138354,0.251231,0.476814", \ "0.0437016,0.0513099,0.0602297,0.0831544,0.138354,0.251202,0.476805", \ "0.0635091,0.0739951,0.0840307,0.101539,0.143228,0.251176,0.476809", \ "0.0867210,0.100389,0.112937,0.134522,0.170382,0.256710,0.476800", \ "0.113316,0.130144,0.145645,0.171877,0.214358,0.286522,0.478000"); } } timing () { related_pin : "A2"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); values ("0.0521419,0.0676594,0.0849607,0.119501,0.188521,0.326484,0.602310", \ "0.0561064,0.0717422,0.0891335,0.123774,0.192872,0.330886,0.606735", \ "0.0694257,0.0853110,0.102869,0.137727,0.207039,0.345219,0.621182", \ "0.0914875,0.110084,0.129051,0.164232,0.233847,0.372296,0.648446", \ "0.113137,0.137790,0.161729,0.203218,0.275653,0.414530,0.690985", \ "0.130072,0.162333,0.193463,0.245398,0.330060,0.473720,0.750724", \ "0.141445,0.181839,0.220658,0.285256,0.387309,0.548816,0.829082"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); values ("0.0438906,0.0573464,0.0722461,0.101891,0.160938,0.278739,0.513988", \ "0.0486854,0.0623144,0.0773442,0.107131,0.166288,0.284185,0.519484", \ "0.0666976,0.0803308,0.0953755,0.125233,0.184563,0.302600,0.538019", \ "0.0999786,0.116403,0.132063,0.161409,0.220316,0.338176,0.573538", \ "0.136913,0.159441,0.181204,0.217869,0.277883,0.394731,0.629502", \ "0.176290,0.205214,0.233276,0.280658,0.356251,0.475815,0.709179", \ "0.218091,0.253622,0.288095,0.346528,0.440078,0.582532,0.815566"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); values ("0.0293188,0.0424517,0.0571968,0.0866467,0.145491,0.263099,0.498162", \ "0.0293334,0.0424588,0.0572074,0.0866566,0.145496,0.263092,0.498158", \ "0.0293287,0.0424519,0.0572010,0.0866545,0.145490,0.263088,0.498167", \ "0.0366652,0.0475553,0.0598706,0.0869959,0.145484,0.263095,0.498179", \ "0.0510508,0.0619904,0.0737277,0.0970355,0.147820,0.263076,0.498177", \ "0.0706946,0.0833870,0.0956406,0.118534,0.163966,0.265922,0.498204", \ "0.0936022,0.108879,0.123177,0.148037,0.192965,0.283626,0.498868"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); values ("0.0313743,0.0440761,0.0582724,0.0866052,0.143252,0.256355,0.482146", \ "0.0313721,0.0440694,0.0582384,0.0865929,0.143255,0.256344,0.482158", \ "0.0315030,0.0440651,0.0582467,0.0865999,0.143245,0.256358,0.482145", \ "0.0440143,0.0520727,0.0624403,0.0871018,0.143217,0.256337,0.482157", \ "0.0636410,0.0743620,0.0846137,0.102766,0.146821,0.256290,0.482162", \ "0.0861445,0.100307,0.113269,0.135193,0.171754,0.260749,0.482162", \ "0.111380,0.129210,0.145394,0.172243,0.215217,0.288880,0.483025"); } } timing () { related_pin : "A3"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); values ("0.0579227,0.0734299,0.0907320,0.125275,0.194292,0.332259,0.608089", \ "0.0622595,0.0779191,0.0953130,0.129953,0.199057,0.337079,0.612940", \ "0.0748160,0.0907034,0.108267,0.143128,0.212439,0.350620,0.626587", \ "0.0938976,0.111478,0.130088,0.165181,0.234720,0.373146,0.649281", \ "0.114079,0.135619,0.157281,0.196854,0.268916,0.407613,0.684011", \ "0.130429,0.158439,0.185557,0.232226,0.312795,0.456045,0.732801", \ "0.140089,0.175976,0.210297,0.267417,0.360275,0.516458,0.796860"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); values ("0.0504493,0.0641729,0.0793167,0.109271,0.168669,0.286846,0.522416", \ "0.0550644,0.0689012,0.0841070,0.114130,0.173598,0.291802,0.527416", \ "0.0729451,0.0868753,0.102123,0.132232,0.191800,0.310116,0.545798", \ "0.108291,0.123665,0.138737,0.168439,0.227661,0.345759,0.581347", \ "0.149045,0.170028,0.190671,0.225880,0.285242,0.402427,0.637394", \ "0.192533,0.219450,0.246019,0.291445,0.364877,0.483535,0.717134", \ "0.238639,0.271815,0.304334,0.360330,0.451109,0.590897,0.823570"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); values ("0.0293234,0.0424600,0.0571958,0.0866585,0.145488,0.263103,0.498170", \ "0.0293155,0.0424505,0.0572009,0.0866451,0.145490,0.263108,0.498182", \ "0.0292923,0.0424464,0.0572172,0.0866636,0.145487,0.263090,0.498163", \ "0.0341253,0.0460749,0.0592146,0.0869759,0.145477,0.263086,0.498162", \ "0.0441264,0.0557801,0.0686569,0.0946300,0.147735,0.263072,0.498188", \ "0.0607030,0.0724230,0.0848000,0.109424,0.159949,0.266211,0.498193", \ "0.0826119,0.0956601,0.108367,0.132298,0.180383,0.280508,0.499627"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); values ("0.0351735,0.0478037,0.0620001,0.0903721,0.147098,0.260393,0.486465", \ "0.0351501,0.0478029,0.0620214,0.0903896,0.147106,0.260359,0.486461", \ "0.0350525,0.0477865,0.0619835,0.0903691,0.147080,0.260349,0.486464", \ "0.0444696,0.0531657,0.0644824,0.0904712,0.147093,0.260348,0.486467", \ "0.0636673,0.0744375,0.0847853,0.103610,0.149613,0.260341,0.486471", \ "0.0857421,0.0999296,0.112996,0.135175,0.172529,0.263942,0.486476", \ "0.110387,0.128351,0.144670,0.171843,0.215212,0.290358,0.487072"); } } timing () { related_pin : "A4"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); values ("0.0601924,0.0756887,0.0929904,0.127531,0.196549,0.334516,0.610347", \ "0.0647999,0.0804720,0.0978640,0.132504,0.201605,0.339625,0.615497", \ "0.0767625,0.0926577,0.110226,0.145089,0.214404,0.352585,0.628552", \ "0.0935685,0.110596,0.128917,0.163971,0.233492,0.371890,0.648028", \ "0.111102,0.130370,0.150683,0.188986,0.260573,0.399218,0.675557", \ "0.126097,0.149850,0.173488,0.216460,0.294215,0.436961,0.713516", \ "0.134979,0.165186,0.194302,0.244332,0.330472,0.482919,0.763238"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); values ("0.0551953,0.0693544,0.0848292,0.115239,0.175156,0.293804,0.529814", \ "0.0597934,0.0740085,0.0895312,0.119969,0.179910,0.298584,0.534592", \ "0.0777987,0.0921033,0.107657,0.138157,0.198161,0.316883,0.552930", \ "0.114526,0.129209,0.144281,0.174398,0.234096,0.352600,0.588522", \ "0.158540,0.178503,0.198366,0.232536,0.291737,0.409338,0.644635", \ "0.205597,0.231178,0.256635,0.300625,0.372405,0.490489,0.724436", \ "0.255528,0.286966,0.318211,0.372296,0.460818,0.598404,0.830941"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); values ("0.0293232,0.0424505,0.0572095,0.0866524,0.145486,0.263090,0.498176", \ "0.0293152,0.0424505,0.0572155,0.0866481,0.145495,0.263096,0.498167", \ "0.0292782,0.0424448,0.0572182,0.0866429,0.145492,0.263092,0.498172", \ "0.0325482,0.0449882,0.0586583,0.0869000,0.145485,0.263094,0.498159", \ "0.0387300,0.0513812,0.0653373,0.0927807,0.147499,0.263070,0.498155", \ "0.0502033,0.0625368,0.0760038,0.103148,0.157125,0.266213,0.498167", \ "0.0684080,0.0803793,0.0931545,0.118873,0.171808,0.278381,0.500155"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); values ("0.0389509,0.0515385,0.0657216,0.0941026,0.150789,0.264086,0.490317", \ "0.0389146,0.0515379,0.0657549,0.0941036,0.150793,0.264081,0.490318", \ "0.0386950,0.0514778,0.0657012,0.0940855,0.150791,0.264087,0.490320", \ "0.0452448,0.0548253,0.0669332,0.0939686,0.150786,0.264084,0.490311", \ "0.0642646,0.0749531,0.0852443,0.104847,0.152479,0.264068,0.490310", \ "0.0860684,0.100079,0.113126,0.135331,0.173436,0.266883,0.490304", \ "0.110301,0.128074,0.144372,0.171621,0.215206,0.291704,0.490718"); } } internal_power () { related_pin : "A1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); values ("1.626787,1.632114,1.637692,1.634160,1.636084,1.640066,1.629435", \ "1.569250,1.589261,1.604214,1.612043,1.618766,1.627085,1.626096", \ "1.463466,1.508026,1.534693,1.567829,1.588863,1.609076,1.615984", \ "1.493161,1.502037,1.505171,1.534477,1.563142,1.592392,1.603165", \ "1.814223,1.709054,1.634725,1.604624,1.598967,1.609512,1.610491", \ "2.292585,2.144628,2.035335,1.872147,1.763393,1.703074,1.660200", \ "2.953174,2.776339,2.611140,2.383090,2.098753,1.911610,1.789616"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); values ("4.479932,4.493665,4.513435,4.460727,4.476650,4.535952,4.437921", \ "4.378702,4.414999,4.405247,4.437440,4.454827,4.498896,4.568094", \ "4.277916,4.332346,4.336086,4.394735,4.456907,4.527957,4.507479", \ "4.395041,4.344449,4.370440,4.334484,4.391737,4.506213,4.461175", \ "4.503243,4.592771,4.518238,4.467859,4.478993,4.535409,4.497760", \ "4.862256,4.851282,4.877896,4.928732,4.748669,4.689725,4.618133", \ "5.524027,5.471644,5.394603,5.362885,5.230501,5.018629,4.773718"); } } internal_power () { related_pin : "A2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); values ("1.642007,1.626850,1.628925,1.634802,1.638400,1.634556,1.629664", \ "1.588450,1.599156,1.593768,1.613234,1.631062,1.634065,1.626418", \ "1.461077,1.501865,1.510780,1.561464,1.586929,1.610736,1.614415", \ "1.400737,1.421063,1.457659,1.489812,1.542092,1.577842,1.593106", \ "1.528983,1.472683,1.446255,1.472889,1.507804,1.554088,1.577393", \ "1.800136,1.720759,1.664993,1.578665,1.550377,1.563464,1.579753", \ "2.244011,2.129046,2.021376,1.888063,1.716639,1.655258,1.627541"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); values ("5.570070,5.596885,5.623333,5.654711,5.568614,5.622363,5.713680", \ "5.505204,5.564804,5.595508,5.613033,5.661529,5.727661,5.692428", \ "5.391274,5.451650,5.437075,5.564442,5.543230,5.625726,5.735917", \ "5.468935,5.492177,5.513955,5.541650,5.593985,5.577618,5.697569", \ "5.612137,5.712673,5.655271,5.701860,5.586653,5.653018,5.631198", \ "5.939559,5.942922,5.976304,6.010968,5.938409,5.817443,5.762304", \ "6.547284,6.520616,6.513429,6.518445,6.457300,6.187264,6.061526"); } } internal_power () { related_pin : "A3"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); values ("1.635035,1.624940,1.621618,1.631644,1.642565,1.640078,1.628897", \ "1.585900,1.592579,1.611869,1.610558,1.628455,1.632365,1.628054", \ "1.464132,1.491419,1.525925,1.559045,1.583881,1.609960,1.613813", \ "1.378165,1.396348,1.428813,1.487734,1.534434,1.573551,1.594962", \ "1.475063,1.436039,1.409925,1.431637,1.486758,1.537317,1.570734", \ "1.655457,1.611575,1.566338,1.513746,1.490874,1.533834,1.563747", \ "1.997829,1.915329,1.851619,1.751721,1.628146,1.591157,1.591441"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); values ("6.490192,6.520756,6.536139,6.621529,6.688598,6.770447,6.770762", \ "6.392236,6.460220,6.504424,6.543045,6.614616,6.706945,6.701974", \ "6.278207,6.335171,6.417773,6.404928,6.490285,6.595099,6.732082", \ "6.342319,6.386917,6.355612,6.484757,6.572528,6.553855,6.695778", \ "6.531875,6.598131,6.630101,6.629436,6.557404,6.641771,6.637464", \ "6.855326,6.890357,6.947266,7.000750,6.914074,6.815453,6.777075", \ "7.462217,7.471342,7.444473,7.476579,7.435584,7.192661,7.086065"); } } internal_power () { related_pin : "A4"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); values ("1.641644,1.628392,1.642853,1.642059,1.637768,1.637209,1.630788", \ "1.589813,1.591832,1.606228,1.618006,1.629464,1.632317,1.626039", \ "1.463907,1.489228,1.521090,1.554286,1.590758,1.610120,1.612139", \ "1.375286,1.401343,1.433710,1.477444,1.535942,1.574698,1.592022", \ "1.460910,1.429110,1.401452,1.426665,1.475445,1.531065,1.567973", \ "1.602597,1.568993,1.539020,1.496816,1.476369,1.519850,1.556920", \ "1.861888,1.803605,1.762049,1.697997,1.599757,1.559977,1.574988"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); values ("7.203984,7.297181,7.298938,7.467904,7.574890,7.585580,7.737505", \ "7.121490,7.225200,7.281464,7.376517,7.484873,7.614160,7.647659", \ "7.013713,7.103117,7.141464,7.248928,7.403414,7.501449,7.672586", \ "7.093349,7.177412,7.218640,7.223234,7.333994,7.467416,7.641122", \ "7.350703,7.399460,7.382751,7.494632,7.457489,7.565755,7.589795", \ "7.673101,7.754056,7.797326,7.836256,7.823333,7.749815,7.737146", \ "8.296701,8.332524,8.315578,8.350174,8.349962,8.137287,8.055982"); } } } } /****************************************************************************************** Module : NAND4_X4 Cell Description : Combinational cell (NAND4_X4) with drive strength X4 *******************************************************************************************/ cell (NAND4_X4) { drive_strength : 4; area : 4.788000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 38.848397; leakage_power () { when : "!A1 & !A2 & !A3 & !A4"; value : 7.278805; } leakage_power () { when : "!A1 & !A2 & !A3 & A4"; value : 29.137953; } leakage_power () { when : "!A1 & !A2 & A3 & !A4"; value : 14.505654; } leakage_power () { when : "!A1 & !A2 & A3 & A4"; value : 53.465905; } leakage_power () { when : "!A1 & A2 & !A3 & !A4"; value : 9.652703; } leakage_power () { when : "!A1 & A2 & !A3 & A4"; value : 35.116759; } leakage_power () { when : "!A1 & A2 & A3 & !A4"; value : 16.117738; } leakage_power () { when : "!A1 & A2 & A3 & A4"; value : 110.370240; } leakage_power () { when : "A1 & !A2 & !A3 & !A4"; value : 6.335191; } leakage_power () { when : "A1 & !A2 & !A3 & A4"; value : 30.076383; } leakage_power () { when : "A1 & !A2 & A3 & !A4"; value : 12.624415; } leakage_power () { when : "A1 & !A2 & A3 & A4"; value : 71.608901; } leakage_power () { when : "A1 & A2 & !A3 & !A4"; value : 9.470878; } leakage_power () { when : "A1 & A2 & !A3 & A4"; value : 49.671356; } leakage_power () { when : "A1 & A2 & A3 & !A4"; value : 28.485708; } leakage_power () { when : "A1 & A2 & A3 & A4"; value : 137.655760; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 5.641459; fall_capacitance : 5.391400; rise_capacitance : 5.641459; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 5.794158; fall_capacitance : 5.363224; rise_capacitance : 5.794158; } pin (A3) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 5.860645; fall_capacitance : 5.336863; rise_capacitance : 5.860645; } pin (A4) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 5.948014; fall_capacitance : 5.312344; rise_capacitance : 5.948014; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 222.778000; function : "!(((A1 & A2) & A3) & A4)"; timing () { related_pin : "A1"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); values ("0.0371883,0.0537608,0.0711698,0.105894,0.175249,0.313887,0.591050", \ "0.0404716,0.0572602,0.0747925,0.109641,0.179098,0.317797,0.594994", \ "0.0540172,0.0704825,0.0880441,0.123006,0.192603,0.331417,0.608689", \ "0.0735686,0.0956127,0.115195,0.149767,0.218975,0.357601,0.634810", \ "0.0885011,0.118485,0.145081,0.189611,0.261837,0.399364,0.675949", \ "0.0978741,0.135922,0.169847,0.226640,0.317062,0.459851,0.734748", \ "0.101424,0.147369,0.188652,0.258009,0.368423,0.537802,0.813853"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); values ("0.0322703,0.0465782,0.0614595,0.0909835,0.149839,0.267371,0.502306", \ "0.0368383,0.0514262,0.0664884,0.0962500,0.155308,0.272999,0.508012", \ "0.0554151,0.0696008,0.0845541,0.114347,0.173571,0.291445,0.526633", \ "0.0832214,0.103471,0.120926,0.150578,0.209290,0.326971,0.562128", \ "0.113440,0.141217,0.165336,0.204881,0.266871,0.383489,0.618050", \ "0.145831,0.181454,0.212503,0.263614,0.343065,0.464601,0.697665", \ "0.180585,0.224098,0.262225,0.325239,0.423516,0.570191,0.804022"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); values ("0.0260400,0.0400988,0.0549439,0.0845490,0.143678,0.261853,0.498089", \ "0.0260081,0.0400983,0.0549420,0.0845463,0.143670,0.261843,0.498092", \ "0.0263014,0.0396409,0.0548768,0.0845401,0.143675,0.261846,0.498084", \ "0.0394540,0.0497923,0.0602969,0.0852409,0.143675,0.261847,0.498084", \ "0.0577677,0.0708425,0.0824292,0.103377,0.148149,0.261832,0.498082", \ "0.0795041,0.0962234,0.110551,0.134760,0.176192,0.267320,0.498089", \ "0.104413,0.125004,0.142588,0.171749,0.218678,0.298912,0.499437"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); values ("0.0237209,0.0370059,0.0510794,0.0792473,0.135568,0.248251,0.473568", \ "0.0237176,0.0370112,0.0510709,0.0792428,0.135561,0.248256,0.473566", \ "0.0257940,0.0370493,0.0510805,0.0792383,0.135578,0.248243,0.473554", \ "0.0413686,0.0497878,0.0583142,0.0807322,0.135579,0.248254,0.473564", \ "0.0604154,0.0717667,0.0820907,0.0998485,0.140869,0.248223,0.473569", \ "0.0827447,0.0974861,0.110484,0.132478,0.168587,0.254081,0.473564", \ "0.108460,0.126750,0.142670,0.169402,0.212341,0.284429,0.474894"); } } timing () { related_pin : "A2"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); values ("0.0495005,0.0660327,0.0834271,0.118144,0.187496,0.326139,0.603312", \ "0.0534277,0.0701161,0.0876167,0.122440,0.191876,0.330570,0.607781", \ "0.0667424,0.0837180,0.101401,0.136464,0.206115,0.344978,0.622286", \ "0.0880139,0.108153,0.127440,0.162901,0.232864,0.372005,0.649513", \ "0.108040,0.135201,0.159585,0.201621,0.274608,0.414188,0.692040", \ "0.122766,0.158705,0.190523,0.243243,0.328791,0.473303,0.751678", \ "0.131589,0.176594,0.216507,0.282373,0.385623,0.548225,0.829917"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); values ("0.0415083,0.0558226,0.0707687,0.100457,0.159526,0.277310,0.512508", \ "0.0462516,0.0607731,0.0758470,0.105674,0.164862,0.282735,0.517985", \ "0.0642784,0.0787985,0.0938844,0.123801,0.183140,0.301180,0.536538", \ "0.0967527,0.114670,0.130596,0.159973,0.218921,0.336756,0.572071", \ "0.132497,0.157120,0.179133,0.216198,0.276467,0.393335,0.628068", \ "0.170608,0.202213,0.230697,0.278563,0.354635,0.474447,0.707737", \ "0.211103,0.249850,0.284847,0.343959,0.438097,0.581048,0.814124"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); values ("0.0260783,0.0400922,0.0549268,0.0845385,0.143669,0.261849,0.498084", \ "0.0260948,0.0400921,0.0549426,0.0845446,0.143668,0.261847,0.498088", \ "0.0261577,0.0400992,0.0549379,0.0845471,0.143671,0.261843,0.498086", \ "0.0335258,0.0453541,0.0577132,0.0849291,0.143671,0.261848,0.498084", \ "0.0477123,0.0596269,0.0714415,0.0950732,0.146037,0.261834,0.498091", \ "0.0668543,0.0806825,0.0932637,0.116442,0.162209,0.264692,0.498085", \ "0.0893001,0.105915,0.120587,0.145863,0.191163,0.282373,0.498753"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); values ("0.0286270,0.0420355,0.0562504,0.0845898,0.141197,0.254232,0.479969", \ "0.0286277,0.0420465,0.0562384,0.0845808,0.141199,0.254235,0.479969", \ "0.0289246,0.0420471,0.0562318,0.0846093,0.141205,0.254234,0.479957", \ "0.0417227,0.0504391,0.0606766,0.0851383,0.141197,0.254237,0.479963", \ "0.0605398,0.0722484,0.0827800,0.101126,0.144950,0.254227,0.479967", \ "0.0820982,0.0975641,0.110928,0.133325,0.170143,0.258847,0.479968", \ "0.106293,0.125890,0.142561,0.169957,0.213427,0.287183,0.480884"); } } timing () { related_pin : "A3"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); values ("0.0561239,0.0726209,0.0900187,0.124733,0.194088,0.332726,0.609905", \ "0.0603901,0.0771015,0.0946039,0.129427,0.198864,0.337560,0.614778", \ "0.0731096,0.0900759,0.107761,0.142821,0.212479,0.351345,0.628661", \ "0.0919352,0.110831,0.129637,0.164969,0.234865,0.373963,0.651473", \ "0.111397,0.134666,0.156561,0.196406,0.268943,0.408345,0.686108", \ "0.126193,0.156853,0.184369,0.231518,0.312599,0.456595,0.734735", \ "0.133595,0.173344,0.208402,0.266251,0.359810,0.516807,0.798580"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); values ("0.0483577,0.0630623,0.0782939,0.108367,0.167876,0.286090,0.521707", \ "0.0529903,0.0677900,0.0830933,0.113233,0.172802,0.291058,0.526705", \ "0.0708103,0.0857583,0.101105,0.131331,0.191007,0.309373,0.545094", \ "0.105815,0.122498,0.137713,0.167528,0.226851,0.345030,0.580650", \ "0.145748,0.168561,0.189391,0.224854,0.284434,0.401681,0.636717", \ "0.188392,0.217621,0.244468,0.290207,0.363997,0.482820,0.716438", \ "0.233634,0.269451,0.302443,0.358873,0.450036,0.590137,0.822861"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); values ("0.0261011,0.0400968,0.0549431,0.0845387,0.143680,0.261850,0.498086", \ "0.0260723,0.0400919,0.0549302,0.0845491,0.143668,0.261841,0.498092", \ "0.0260875,0.0400939,0.0549272,0.0845397,0.143672,0.261852,0.498085", \ "0.0307663,0.0436964,0.0569630,0.0848530,0.143664,0.261842,0.498090", \ "0.0404757,0.0530349,0.0661615,0.0924418,0.145916,0.261829,0.498088", \ "0.0565432,0.0694335,0.0820225,0.107005,0.157995,0.264928,0.498087", \ "0.0779683,0.0923046,0.105355,0.129629,0.178209,0.279105,0.499475"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); values ("0.0327438,0.0461267,0.0603265,0.0887335,0.145449,0.258673,0.484742", \ "0.0327566,0.0461188,0.0603238,0.0887201,0.145440,0.258674,0.484742", \ "0.0325382,0.0460974,0.0603271,0.0887335,0.145436,0.258678,0.484753", \ "0.0423615,0.0515059,0.0628430,0.0888849,0.145442,0.258691,0.484743", \ "0.0607148,0.0723705,0.0830035,0.102018,0.148040,0.258689,0.484765", \ "0.0819107,0.0972709,0.110719,0.133307,0.170975,0.262322,0.484755", \ "0.105483,0.125067,0.141877,0.169580,0.213475,0.288817,0.485356"); } } timing () { related_pin : "A4"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); values ("0.0588757,0.0753859,0.0927808,0.127496,0.196849,0.335491,0.612669", \ "0.0635360,0.0802179,0.0977180,0.132541,0.201979,0.340673,0.617884", \ "0.0757326,0.0927002,0.110391,0.145453,0.215113,0.353978,0.631300", \ "0.0927662,0.110983,0.129440,0.164690,0.234574,0.373660,0.651156", \ "0.110298,0.130849,0.151265,0.189816,0.261787,0.401102,0.678799", \ "0.124736,0.150216,0.174085,0.217220,0.295327,0.438691,0.716648", \ "0.132266,0.165172,0.194573,0.244903,0.331410,0.484462,0.766105"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); values ("0.0534013,0.0686496,0.0842960,0.114910,0.175026,0.293830,0.529926", \ "0.0579900,0.0733006,0.0889710,0.119619,0.179765,0.298591,0.534698", \ "0.0759161,0.0913821,0.107098,0.137801,0.198009,0.316881,0.553027", \ "0.112627,0.128578,0.143708,0.174023,0.233940,0.352608,0.588625", \ "0.156179,0.177840,0.197834,0.232171,0.291534,0.409317,0.644743", \ "0.202786,0.230439,0.256124,0.300279,0.372202,0.490483,0.724534", \ "0.252250,0.286109,0.317579,0.371956,0.460700,0.598393,0.831006"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); values ("0.0260901,0.0400936,0.0549414,0.0845427,0.143671,0.261847,0.498089", \ "0.0260993,0.0400970,0.0549327,0.0845409,0.143668,0.261845,0.498083", \ "0.0260580,0.0400953,0.0549279,0.0845500,0.143679,0.261842,0.498086", \ "0.0291114,0.0425293,0.0563218,0.0847584,0.143670,0.261852,0.498091", \ "0.0348641,0.0485538,0.0627389,0.0904683,0.145601,0.261827,0.498087", \ "0.0457772,0.0591569,0.0729650,0.100541,0.155019,0.264868,0.498090", \ "0.0633061,0.0765593,0.0896385,0.115855,0.169427,0.276831,0.499970"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); values ("0.0369461,0.0502890,0.0645231,0.0928948,0.149612,0.262896,0.489116", \ "0.0369151,0.0502965,0.0645057,0.0928876,0.149607,0.262891,0.489113", \ "0.0365330,0.0502076,0.0644986,0.0928840,0.149613,0.262898,0.489133", \ "0.0433377,0.0533651,0.0655632,0.0927150,0.149608,0.262901,0.489121", \ "0.0615190,0.0730709,0.0836573,0.103381,0.151176,0.262889,0.489122", \ "0.0824055,0.0975823,0.110983,0.133590,0.171987,0.265646,0.489113", \ "0.105691,0.124997,0.141755,0.169463,0.213550,0.290362,0.489498"); } } internal_power () { related_pin : "A1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); values ("3.244702,3.238976,3.258705,3.274768,3.287891,3.277949,3.261065", \ "3.120761,3.150498,3.189695,3.234922,3.259259,3.262186,3.252170", \ "2.903178,3.004092,3.058069,3.112951,3.187859,3.212491,3.232024", \ "3.028041,2.976426,3.027952,3.066224,3.116067,3.184963,3.206038", \ "3.694902,3.456784,3.286703,3.214929,3.197870,3.208574,3.221772", \ "4.670298,4.336612,4.088479,3.757796,3.528593,3.398333,3.321161", \ "6.019041,5.603929,5.291469,4.799846,4.216222,3.836402,3.581802"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); values ("7.850716,7.869312,7.919593,7.944910,7.973041,7.795000,7.946592", \ "7.660086,7.794729,7.899591,7.852787,7.933041,8.039697,7.944763", \ "7.487237,7.553611,7.676186,7.800622,7.943354,7.845225,8.049537", \ "7.744667,7.686615,7.663018,7.738692,7.816487,7.735675,7.967344", \ "7.880096,8.008981,8.160968,7.963472,7.993471,7.870111,7.823896", \ "8.669286,8.619258,8.705765,8.756140,8.538270,8.184954,8.068902", \ "10.016140,9.878238,9.755639,9.640893,9.519892,8.915083,8.649142"); } } internal_power () { related_pin : "A2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); values ("3.283607,3.271305,3.283022,3.265080,3.281928,3.273809,3.266559", \ "3.164953,3.167210,3.206007,3.237049,3.252171,3.258308,3.258102", \ "2.892029,2.997842,3.043654,3.107000,3.188295,3.216511,3.234379", \ "2.766688,2.830565,2.876154,2.958799,3.081814,3.142611,3.190653", \ "3.047125,2.952036,2.874288,2.912041,3.015120,3.089688,3.155583", \ "3.576309,3.411845,3.289429,3.122735,3.078024,3.113025,3.154771", \ "4.459346,4.194799,4.002053,3.728119,3.397257,3.284857,3.234812"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); values ("10.377320,10.378330,10.507170,10.555150,10.647990,10.527590,10.742400", \ "10.237550,10.324450,10.374620,10.471700,10.583430,10.735030,10.697890", \ "9.980413,10.137450,10.204030,10.228340,10.349550,10.538190,10.790040", \ "10.148620,10.188770,10.163950,10.331570,10.489900,10.442510,10.716670", \ "10.386770,10.622080,10.670720,10.612250,10.651930,10.597210,10.798810", \ "11.082030,11.186220,11.220560,11.306370,11.171180,10.931490,10.854140", \ "12.300940,12.288510,12.260840,12.268480,12.192470,11.675450,11.454160"); } } internal_power () { related_pin : "A3"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); values ("3.274754,3.263234,3.279535,3.283863,3.285241,3.282357,3.263820", \ "3.163617,3.193619,3.222990,3.236477,3.266146,3.266248,3.253975", \ "2.901944,2.980645,3.055291,3.108744,3.187561,3.212258,3.232085", \ "2.721105,2.784908,2.860724,2.957956,3.070918,3.145152,3.190009", \ "2.932009,2.838283,2.797617,2.864532,2.968251,3.067693,3.141732", \ "3.285151,3.186505,3.107887,2.996812,2.960660,3.051801,3.114223", \ "3.920172,3.759230,3.628129,3.449798,3.218973,3.140064,3.159763"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); values ("12.305470,12.412040,12.429110,12.684050,12.751710,12.951820,12.972340", \ "12.131250,12.293020,12.428500,12.570680,12.606790,12.814190,12.837350", \ "11.875680,12.051930,12.198410,12.415480,12.608840,12.626920,12.898490", \ "12.023810,12.139410,12.096820,12.328900,12.522030,12.771650,12.830210", \ "12.376230,12.631730,12.623860,12.681010,12.744820,12.687520,12.984930", \ "13.050790,13.173230,13.286100,13.403570,13.213800,13.198050,12.997890", \ "14.260410,14.270880,14.298510,14.345440,14.259680,13.822060,13.615900"); } } internal_power () { related_pin : "A4"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); values ("3.275667,3.266990,3.276044,3.265575,3.281163,3.274140,3.258791", \ "3.163696,3.199215,3.203535,3.225871,3.250266,3.256657,3.256398", \ "2.888939,2.971938,3.048422,3.110689,3.183995,3.217400,3.227239", \ "2.714956,2.786907,2.858441,2.957099,3.069218,3.143888,3.182868", \ "2.900511,2.836185,2.778365,2.845120,2.940958,3.065716,3.133430", \ "3.171171,3.101592,3.057421,2.956373,2.930713,3.021216,3.105567", \ "3.648258,3.536841,3.471515,3.346646,3.148092,3.084218,3.131588"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); values ("13.891520,14.062290,14.251010,14.445450,14.662360,14.953360,15.320370", \ "13.698420,13.920460,14.091220,14.280970,14.493080,14.811910,15.142740", \ "13.475260,13.771160,13.981950,14.215800,14.493130,14.807550,14.926530", \ "13.658230,13.846870,14.009610,14.165460,14.430410,14.744160,14.865650", \ "14.150740,14.382160,14.337660,14.544860,14.673740,14.936910,15.032830", \ "14.845910,15.026790,15.184920,15.325340,15.155310,15.311060,15.328870", \ "16.104120,16.150040,16.246360,16.325380,16.221260,16.085690,15.699670"); } } } } /****************************************************************************************** Module : NOR2_X1 Cell Description : Combinational cell (NOR2_X1) with drive strength X1 *******************************************************************************************/ cell (NOR2_X1) { drive_strength : 1; area : 0.798000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 12.817519; leakage_power () { when : "!A1 & !A2"; value : 24.652975; } leakage_power () { when : "!A1 & A2"; value : 7.256081; } leakage_power () { when : "A1 & !A2"; value : 8.622751; } leakage_power () { when : "A1 & A2"; value : 10.738270; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.641898; fall_capacitance : 1.370505; rise_capacitance : 1.641898; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.591217; fall_capacitance : 1.492649; rise_capacitance : 1.591217; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 26.647140; function : "!(A1 | A2)"; timing () { related_pin : "A1"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.834466,1.668930,3.337860,6.675730,13.351500,26.702900"); values ("0.00790940,0.00903639,0.0110155,0.0149277,0.0227015,0.0381978,0.0691554", \ "0.0132114,0.0144483,0.0164355,0.0203666,0.0281585,0.0436738,0.0746349", \ "0.0229567,0.0257177,0.0299086,0.0366384,0.0469193,0.0628161,0.0936714", \ "0.0292858,0.0341738,0.0415121,0.0531554,0.0704374,0.0952229,0.130503", \ "0.0294023,0.0367936,0.0479140,0.0655965,0.0917161,0.128294,0.178885", \ "0.0217722,0.0318992,0.0471856,0.0717313,0.108005,0.158605,0.227275", \ "0.00527714,0.0182259,0.0380037,0.0697176,0.117308,0.183783,0.273199"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.834466,1.668930,3.337860,6.675730,13.351500,26.702900"); values ("0.0529966,0.0626623,0.0795170,0.112577,0.177905,0.307706,0.566620", \ "0.0535657,0.0632557,0.0802805,0.113806,0.179842,0.310352,0.569777", \ "0.0688047,0.0779733,0.0943692,0.127170,0.192768,0.323471,0.583526", \ "0.0994938,0.110667,0.128456,0.159916,0.224031,0.353421,0.612674", \ "0.133852,0.148435,0.171665,0.211553,0.276961,0.404087,0.661645", \ "0.172930,0.190728,0.219280,0.268465,0.348920,0.478659,0.733128", \ "0.217606,0.238436,0.272003,0.330244,0.426248,0.577646,0.830673"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.834466,1.668930,3.337860,6.675730,13.351500,26.702900"); values ("0.00384108,0.00481335,0.00654705,0.0100174,0.0169624,0.0308531,0.0586332", \ "0.00451672,0.00520375,0.00664009,0.0100202,0.0169633,0.0308514,0.0586315", \ "0.0110186,0.0119427,0.0134223,0.0159901,0.0203627,0.0312734,0.0586341", \ "0.0219723,0.0233437,0.0254483,0.0289911,0.0347745,0.0441782,0.0624831", \ "0.0372894,0.0392975,0.0423730,0.0471876,0.0546785,0.0664303,0.0851208", \ "0.0563663,0.0592736,0.0637146,0.0704653,0.0801604,0.0947132,0.117019", \ "0.0793386,0.0833000,0.0892192,0.0985065,0.111136,0.129117,0.155582"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.834466,1.668930,3.337860,6.675730,13.351500,26.702900"); values ("0.0354048,0.0437435,0.0585263,0.0880774,0.147103,0.264921,0.499867", \ "0.0353645,0.0437245,0.0585287,0.0880588,0.147100,0.264960,0.499871", \ "0.0350109,0.0435455,0.0584708,0.0880399,0.147068,0.264958,0.499851", \ "0.0482721,0.0536061,0.0638153,0.0887268,0.147028,0.264944,0.499849", \ "0.0645356,0.0721387,0.0844668,0.105395,0.150999,0.264826,0.500114", \ "0.0834570,0.0926585,0.107559,0.133248,0.175533,0.269249,0.499928", \ "0.104940,0.115684,0.133145,0.163492,0.212995,0.296022,0.500695"); } } timing () { related_pin : "A2"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.834466,1.668930,3.337860,6.675730,13.351500,26.702900"); values ("0.00848586,0.00980115,0.0120652,0.0164057,0.0246960,0.0406861,0.0720238", \ "0.0141687,0.0153697,0.0175121,0.0217334,0.0299425,0.0458969,0.0772299", \ "0.0269568,0.0293204,0.0330502,0.0392493,0.0490378,0.0649145,0.0960916", \ "0.0380553,0.0420437,0.0482883,0.0585998,0.0745941,0.0983433,0.132903", \ "0.0443595,0.0502792,0.0594324,0.0748642,0.0986102,0.133276,0.182464", \ "0.0438539,0.0519250,0.0644768,0.0855341,0.118346,0.165904,0.232342", \ "0.0353313,0.0456384,0.0618825,0.0889742,0.131775,0.193897,0.280052"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.834466,1.668930,3.337860,6.675730,13.351500,26.702900"); values ("0.0676074,0.0770424,0.0936111,0.126401,0.191537,0.321179,0.580029", \ "0.0710597,0.0805399,0.0972667,0.130376,0.195957,0.326126,0.585267", \ "0.0886632,0.0979911,0.114528,0.147448,0.213001,0.343418,0.603193", \ "0.117935,0.128150,0.144760,0.177403,0.242627,0.372868,0.632546", \ "0.150450,0.162988,0.183741,0.220954,0.287614,0.417570,0.676959", \ "0.186703,0.202066,0.226953,0.271162,0.347670,0.480962,0.740106", \ "0.228674,0.247032,0.276446,0.327806,0.415089,0.562754,0.823648"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.834466,1.668930,3.337860,6.675730,13.351500,26.702900"); values ("0.00568380,0.00676846,0.00862695,0.0122036,0.0191452,0.0329165,0.0605718", \ "0.00585405,0.00670209,0.00837244,0.0119897,0.0190297,0.0328792,0.0605637", \ "0.0124563,0.0133248,0.0147142,0.0171576,0.0215461,0.0330174,0.0605643", \ "0.0230398,0.0243777,0.0264444,0.0299495,0.0357033,0.0450219,0.0638600", \ "0.0373189,0.0393650,0.0425718,0.0475102,0.0552103,0.0670990,0.0858397", \ "0.0549463,0.0579629,0.0626501,0.0697382,0.0799684,0.0949793,0.117572", \ "0.0756609,0.0798718,0.0863004,0.0962852,0.109843,0.128731,0.155835"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.834466,1.668930,3.337860,6.675730,13.351500,26.702900"); values ("0.0353775,0.0437263,0.0585214,0.0880645,0.147159,0.264899,0.499862", \ "0.0353895,0.0437267,0.0585105,0.0880684,0.147081,0.264884,0.499860", \ "0.0354124,0.0437264,0.0584982,0.0880606,0.147086,0.264879,0.499849", \ "0.0402919,0.0470856,0.0600760,0.0880865,0.147019,0.264948,0.499869", \ "0.0515936,0.0591760,0.0723203,0.0968336,0.148911,0.264910,0.499883", \ "0.0667896,0.0747111,0.0885089,0.114667,0.163687,0.267693,0.500024", \ "0.0856045,0.0940062,0.108563,0.136001,0.187261,0.284271,0.500905"); } } internal_power () { related_pin : "A1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.834466,1.668930,3.337860,6.675730,13.351500,26.702900"); values ("-0.000118,-0.000127,-0.000142,-0.000173,-0.000233,-0.000355,-0.000598", \ "-0.000413,-0.000422,-0.000437,-0.000468,-0.000529,-0.000650,-0.000893", \ "-0.001435,-0.001448,-0.001469,-0.001505,-0.001565,-0.001687,-0.001929", \ "-0.003339,-0.003361,-0.003394,-0.003449,-0.003535,-0.003667,-0.003909", \ "0.073876,0.060179,0.041152,0.018248,-0.006548,-0.006727,-0.006994", \ "0.299076,0.279728,0.247348,0.204792,0.142655,0.077479,0.009639", \ "0.600667,0.579727,0.543445,0.482769,0.387162,0.274126,0.159755"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.834466,1.668930,3.337860,6.675730,13.351500,26.702900"); values ("1.742740,1.759096,1.774286,1.775252,1.803905,1.793621,1.791627", \ "1.659118,1.676249,1.694158,1.722956,1.743682,1.766739,1.788784", \ "1.646530,1.650670,1.650350,1.667733,1.665383,1.726473,1.751981", \ "1.732327,1.727864,1.715951,1.712012,1.702221,1.712112,1.694013", \ "1.846864,1.882375,1.873813,1.833200,1.810009,1.757763,1.750482", \ "2.021286,2.033509,2.049951,2.073839,1.995788,1.920452,1.847932", \ "2.345276,2.339617,2.337387,2.327454,2.292480,2.161929,2.027799"); } } internal_power () { related_pin : "A2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.834466,1.668930,3.337860,6.675730,13.351500,26.702900"); values ("0.014914,0.036463,0.063993,0.101197,0.136614,0.173345,0.199790", \ "-0.000797,0.004538,0.035859,0.068234,0.108185,0.140173,0.167463", \ "0.048376,0.044735,0.044990,0.049416,0.081558,0.115117,0.145102", \ "0.150447,0.147644,0.142030,0.134926,0.120252,0.115338,0.143131", \ "0.298523,0.290640,0.279489,0.259615,0.231787,0.196262,0.167189", \ "0.523588,0.508102,0.488153,0.452215,0.399842,0.336817,0.267088", \ "0.826604,0.812609,0.783502,0.735065,0.654386,0.549446,0.434600"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.834466,1.668930,3.337860,6.675730,13.351500,26.702900"); values ("2.332950,2.342658,2.344509,2.359319,2.354716,2.361652,2.364514", \ "2.277328,2.285375,2.293136,2.304215,2.318891,2.335518,2.343954", \ "2.237848,2.243021,2.244643,2.252207,2.272157,2.312088,2.331601", \ "2.225222,2.228252,2.227922,2.241725,2.227801,2.246864,2.288437", \ "2.219558,2.264807,2.261559,2.246144,2.249861,2.262194,2.273025", \ "2.207749,2.233282,2.275842,2.334366,2.293357,2.281904,2.281029", \ "2.367077,2.369463,2.387146,2.401991,2.459847,2.378623,2.346247"); } } } } /****************************************************************************************** Module : NOR2_X2 Cell Description : Combinational cell (NOR2_X2) with drive strength X2 *******************************************************************************************/ cell (NOR2_X2) { drive_strength : 2; area : 1.330000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 25.645910; leakage_power () { when : "!A1 & !A2"; value : 49.305950; } leakage_power () { when : "!A1 & A2"; value : 14.526659; } leakage_power () { when : "A1 & !A2"; value : 17.259999; } leakage_power () { when : "A1 & A2"; value : 21.491033; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.148876; fall_capacitance : 2.616993; rise_capacitance : 3.148876; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.233977; fall_capacitance : 3.040036; rise_capacitance : 3.233977; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 53.302100; function : "!(A1 | A2)"; timing () { related_pin : "A1"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.668930,3.337860,6.675730,13.351500,26.702900,53.405800"); values ("0.00753527,0.00910859,0.0110880,0.0149978,0.0227655,0.0382516,0.0691928", \ "0.0127542,0.0145205,0.0165073,0.0204344,0.0282217,0.0437237,0.0746735", \ "0.0218879,0.0258414,0.0300144,0.0367234,0.0469873,0.0628701,0.0937012", \ "0.0273567,0.0343546,0.0416927,0.0532977,0.0705383,0.0952926,0.130545", \ "0.0264240,0.0370124,0.0481723,0.0658263,0.0918559,0.128401,0.178943", \ "0.0176717,0.0321156,0.0474330,0.0719734,0.108239,0.158767,0.227365", \ "0.0000272466,0.0184737,0.0382252,0.0700948,0.117603,0.184004,0.273349"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.668930,3.337860,6.675730,13.351500,26.702900,53.405800"); values ("0.0490506,0.0625830,0.0794298,0.112477,0.177767,0.307591,0.566568", \ "0.0497045,0.0631806,0.0802050,0.113720,0.179714,0.310224,0.569711", \ "0.0652247,0.0779870,0.0943507,0.127131,0.192692,0.323379,0.583497", \ "0.0946948,0.110619,0.128478,0.159929,0.224037,0.353403,0.612728", \ "0.127687,0.148357,0.171630,0.211550,0.277003,0.404171,0.661596", \ "0.165434,0.190633,0.219199,0.268532,0.349029,0.478708,0.733150", \ "0.208858,0.238327,0.271905,0.330179,0.426203,0.577598,0.830601"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.668930,3.337860,6.675730,13.351500,26.702900,53.405800"); values ("0.00347036,0.00482125,0.00655307,0.0100230,0.0169653,0.0308494,0.0586247", \ "0.00427446,0.00520071,0.00664430,0.0100233,0.0169662,0.0308473,0.0586182", \ "0.0105935,0.0119045,0.0133891,0.0159695,0.0203479,0.0312659,0.0586236", \ "0.0213215,0.0232649,0.0253631,0.0289199,0.0347196,0.0441440,0.0624661", \ "0.0363080,0.0392030,0.0422114,0.0470213,0.0545531,0.0663364,0.0850655", \ "0.0549631,0.0591810,0.0635932,0.0702055,0.0799638,0.0945580,0.116909", \ "0.0774539,0.0831631,0.0891140,0.0982018,0.110899,0.128910,0.155411"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.668930,3.337860,6.675730,13.351500,26.702900,53.405800"); values ("0.0321497,0.0436742,0.0584648,0.0879704,0.146874,0.264661,0.499877", \ "0.0320956,0.0436677,0.0584597,0.0879659,0.146907,0.264640,0.499879", \ "0.0321398,0.0435146,0.0584069,0.0879512,0.146877,0.264636,0.499855", \ "0.0457073,0.0536106,0.0637765,0.0886617,0.146877,0.264654,0.499863", \ "0.0615423,0.0721663,0.0843984,0.105289,0.150911,0.264584,0.499952", \ "0.0797879,0.0927613,0.107576,0.133089,0.175421,0.269102,0.499903", \ "0.100689,0.115845,0.133258,0.163404,0.212906,0.296050,0.500616"); } } timing () { related_pin : "A2"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.668930,3.337860,6.675730,13.351500,26.702900,53.405800"); values ("0.00797713,0.00981957,0.0120845,0.0164240,0.0247124,0.0406976,0.0720286", \ "0.0136835,0.0153885,0.0175309,0.0217530,0.0299606,0.0459087,0.0772349", \ "0.0260021,0.0293825,0.0330951,0.0392822,0.0490614,0.0649222,0.0960980", \ "0.0364680,0.0421806,0.0484130,0.0586888,0.0746557,0.0983750,0.132906", \ "0.0419683,0.0503697,0.0596461,0.0750256,0.0987219,0.133340,0.182476", \ "0.0405926,0.0520341,0.0646067,0.0857530,0.118522,0.166027,0.232410", \ "0.0311696,0.0457685,0.0620051,0.0892343,0.131990,0.194082,0.280190"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.668930,3.337860,6.675730,13.351500,26.702900,53.405800"); values ("0.0637835,0.0769228,0.0934929,0.126273,0.191359,0.321069,0.579969", \ "0.0672259,0.0804247,0.0971532,0.130263,0.195812,0.325968,0.585184", \ "0.0849290,0.0979093,0.114442,0.147344,0.212872,0.343319,0.603056", \ "0.113661,0.127986,0.144656,0.177303,0.242514,0.372717,0.632597", \ "0.145210,0.162880,0.183470,0.220715,0.287473,0.417356,0.676837", \ "0.180176,0.201912,0.226821,0.270856,0.347386,0.480707,0.739875", \ "0.220852,0.246866,0.276319,0.327603,0.414921,0.562642,0.823571"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.668930,3.337860,6.675730,13.351500,26.702900,53.405800"); values ("0.00524924,0.00676709,0.00862766,0.0122034,0.0191449,0.0329130,0.0605688", \ "0.00554569,0.00669825,0.00837337,0.0119892,0.0190301,0.0328741,0.0605589", \ "0.0120717,0.0132840,0.0146756,0.0171390,0.0215292,0.0330169,0.0605576", \ "0.0224083,0.0242670,0.0263436,0.0298722,0.0356460,0.0449807,0.0638390", \ "0.0363407,0.0392832,0.0423705,0.0473507,0.0550769,0.0670021,0.0857564", \ "0.0535297,0.0578759,0.0624965,0.0694849,0.0797691,0.0948274,0.117456", \ "0.0736905,0.0797723,0.0861875,0.0959216,0.109556,0.128527,0.155677"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.668930,3.337860,6.675730,13.351500,26.702900,53.405800"); values ("0.0321338,0.0436601,0.0584535,0.0879656,0.146910,0.264670,0.499862", \ "0.0321446,0.0436614,0.0584430,0.0879689,0.146906,0.264632,0.499876", \ "0.0321728,0.0436697,0.0584495,0.0879562,0.146885,0.264641,0.499910", \ "0.0376745,0.0471259,0.0600703,0.0880388,0.146876,0.264624,0.499912", \ "0.0485992,0.0591179,0.0721904,0.0967895,0.148866,0.264586,0.499874", \ "0.0637087,0.0747429,0.0883833,0.114449,0.163562,0.267538,0.499903", \ "0.0823548,0.0941163,0.108497,0.135751,0.187080,0.284222,0.500841"); } } internal_power () { related_pin : "A1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.668930,3.337860,6.675730,13.351500,26.702900,53.405800"); values ("-0.000230,-0.000254,-0.000285,-0.000346,-0.000468,-0.000712,-0.001199", \ "-0.000820,-0.000844,-0.000875,-0.000936,-0.001059,-0.001303,-0.001790", \ "-0.002859,-0.002897,-0.002939,-0.003010,-0.003132,-0.003375,-0.003862", \ "0.009974,-0.006723,-0.006790,-0.006900,-0.007071,-0.007337,-0.007822", \ "0.300276,0.260232,0.221932,0.173573,0.117414,0.049550,-0.013993", \ "0.751343,0.697698,0.632490,0.545981,0.421956,0.292564,0.157648", \ "1.353373,1.296915,1.222298,1.103002,0.909464,0.684336,0.458244"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.668930,3.337860,6.675730,13.351500,26.702900,53.405800"); values ("3.338289,3.378894,3.400850,3.435506,3.414838,3.429529,3.441878", \ "3.172599,3.213836,3.257718,3.295087,3.344901,3.385800,3.417441", \ "3.156319,3.165635,3.171166,3.184994,3.237918,3.306116,3.362586", \ "3.332441,3.319301,3.303252,3.272362,3.265897,3.280515,3.316303", \ "3.537504,3.625923,3.608916,3.547166,3.476526,3.376221,3.361646", \ "3.910422,3.930265,3.972322,4.020916,3.857473,3.700995,3.556791", \ "4.563972,4.552719,4.540944,4.514025,4.443264,4.183753,3.971181"); } } internal_power () { related_pin : "A2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.668930,3.337860,6.675730,13.351500,26.702900,53.405800"); values ("0.137678,0.221358,0.264630,0.342411,0.419009,0.481797,0.543514", \ "0.107212,0.155042,0.214226,0.282489,0.352409,0.419020,0.475684", \ "0.226520,0.228747,0.230525,0.230746,0.300014,0.369630,0.433317", \ "0.439624,0.429317,0.422521,0.406152,0.378547,0.373848,0.424044", \ "0.744634,0.718797,0.691959,0.656668,0.599620,0.529366,0.474588", \ "1.195185,1.152873,1.115461,1.043718,0.934712,0.811857,0.671324", \ "1.802411,1.757684,1.705062,1.607296,1.444721,1.236047,1.007398"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.668930,3.337860,6.675730,13.351500,26.702900,53.405800"); values ("4.523567,4.540374,4.563700,4.588639,4.565935,4.577668,4.587171", \ "4.406890,4.432015,4.465645,4.499273,4.496280,4.538693,4.546662", \ "4.325457,4.348039,4.363223,4.394679,4.437325,4.478758,4.520695", \ "4.312822,4.329595,4.330437,4.334842,4.326862,4.350822,4.392973", \ "4.265078,4.393136,4.387062,4.375883,4.362166,4.376013,4.404659", \ "4.272940,4.327575,4.411494,4.538887,4.445464,4.419379,4.416450", \ "4.596140,4.613352,4.629109,4.661579,4.777633,4.619818,4.552513"); } } } } /****************************************************************************************** Module : NOR2_X4 Cell Description : Combinational cell (NOR2_X4) with drive strength X4 *******************************************************************************************/ cell (NOR2_X4) { drive_strength : 4; area : 2.394000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 51.291809; leakage_power () { when : "!A1 & !A2"; value : 98.611900; } leakage_power () { when : "!A1 & A2"; value : 29.053318; } leakage_power () { when : "A1 & !A2"; value : 34.519960; } leakage_power () { when : "A1 & A2"; value : 42.982056; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 6.498413; fall_capacitance : 5.426492; rise_capacitance : 6.498413; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 6.436485; fall_capacitance : 6.042901; rise_capacitance : 6.436485; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 106.783400; function : "!(A1 | A2)"; timing () { related_pin : "A1"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.337840,6.675690,13.351400,26.702700,53.405500,106.811000"); values ("0.00724838,0.00905271,0.0110346,0.0149504,0.0227244,0.0382232,0.0691912", \ "0.0123915,0.0144628,0.0164521,0.0203846,0.0281790,0.0436946,0.0746684", \ "0.0210514,0.0256940,0.0298960,0.0366377,0.0469323,0.0628389,0.0936986", \ "0.0258669,0.0340943,0.0414755,0.0531359,0.0704416,0.0952414,0.130541", \ "0.0241478,0.0365935,0.0478370,0.0655897,0.0917092,0.128326,0.178929", \ "0.0145646,0.0314635,0.0469739,0.0716267,0.108018,0.158658,0.227338", \ "-0.00391460,0.0176215,0.0375409,0.0696445,0.117343,0.183866,0.273319"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.337840,6.675690,13.351400,26.702700,53.405500,106.811000"); values ("0.0463933,0.0618890,0.0787467,0.111806,0.177098,0.306947,0.566046", \ "0.0470942,0.0624947,0.0795198,0.113047,0.179050,0.309585,0.569184", \ "0.0628444,0.0773581,0.0937156,0.126497,0.192057,0.322751,0.582931", \ "0.0913976,0.109930,0.127812,0.159295,0.223417,0.352794,0.612237", \ "0.123384,0.147368,0.170842,0.210784,0.276390,0.403608,0.661090", \ "0.160197,0.189412,0.218153,0.267653,0.348378,0.478226,0.732718", \ "0.202753,0.236895,0.270637,0.329231,0.425460,0.577051,0.830102"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.337840,6.675690,13.351400,26.702700,53.405500,106.811000"); values ("0.00321362,0.00475280,0.00648745,0.00995958,0.0169056,0.0308030,0.0586002", \ "0.00413057,0.00514667,0.00658486,0.00995937,0.0169044,0.0307985,0.0585915", \ "0.0103214,0.0118344,0.0133326,0.0159291,0.0203076,0.0312232,0.0586009", \ "0.0208942,0.0231343,0.0252600,0.0288421,0.0346585,0.0441097,0.0624483", \ "0.0356712,0.0389932,0.0420349,0.0468875,0.0544673,0.0662787,0.0850307", \ "0.0540433,0.0589977,0.0633342,0.0699848,0.0798217,0.0944601,0.116847", \ "0.0761816,0.0829014,0.0888890,0.0978868,0.110663,0.128765,0.155320"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.337840,6.675690,13.351400,26.702700,53.405500,106.811000"); values ("0.0298714,0.0430196,0.0577994,0.0873085,0.146216,0.263925,0.499159", \ "0.0298504,0.0430180,0.0578015,0.0873148,0.146228,0.263916,0.499145", \ "0.0302108,0.0428578,0.0577686,0.0873050,0.146204,0.263912,0.499145", \ "0.0438865,0.0531498,0.0633237,0.0880396,0.146202,0.263911,0.499138", \ "0.0593226,0.0715665,0.0838046,0.104782,0.150313,0.263890,0.499127", \ "0.0770782,0.0921180,0.106922,0.132449,0.174910,0.268474,0.499142", \ "0.0975520,0.115090,0.132545,0.162671,0.212305,0.295533,0.499859"); } } timing () { related_pin : "A2"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.337840,6.675690,13.351400,26.702700,53.405500,106.811000"); values ("0.00764085,0.00975841,0.0120282,0.0163721,0.0246644,0.0406538,0.0719883", \ "0.0133516,0.0153322,0.0174758,0.0217002,0.0299123,0.0458644,0.0771920", \ "0.0253253,0.0292740,0.0330009,0.0392086,0.0490061,0.0648751,0.0960530", \ "0.0353070,0.0419946,0.0482521,0.0585656,0.0745692,0.0983065,0.132863", \ "0.0402274,0.0500614,0.0594098,0.0748378,0.0985896,0.133249,0.182413", \ "0.0382138,0.0515154,0.0642438,0.0855078,0.118328,0.165911,0.232320", \ "0.0281464,0.0450894,0.0614266,0.0889011,0.131734,0.193929,0.280106"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.337840,6.675690,13.351400,26.702700,53.405500,106.811000"); values ("0.0612560,0.0762818,0.0928642,0.125651,0.190737,0.320464,0.579473", \ "0.0647061,0.0797739,0.0965121,0.129633,0.195180,0.325350,0.584710", \ "0.0824596,0.0972683,0.113804,0.146717,0.212245,0.342700,0.602549", \ "0.110789,0.127251,0.143998,0.176677,0.241899,0.372129,0.631969", \ "0.141654,0.161986,0.182611,0.219978,0.286860,0.416764,0.676338", \ "0.175703,0.200887,0.225857,0.269919,0.346585,0.480096,0.739305", \ "0.215473,0.245645,0.275216,0.326751,0.414104,0.561920,0.822971"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.337840,6.675690,13.351400,26.702700,53.405500,106.811000"); values ("0.00494346,0.00668905,0.00855224,0.0121287,0.0190721,0.0328401,0.0604920", \ "0.00534284,0.00663210,0.00829902,0.0119146,0.0189547,0.0327995,0.0604831", \ "0.0118115,0.0132100,0.0146161,0.0170804,0.0214749,0.0329445,0.0604813", \ "0.0219944,0.0241318,0.0262302,0.0297825,0.0355742,0.0449228,0.0637719", \ "0.0357137,0.0390666,0.0421677,0.0471881,0.0549622,0.0669128,0.0856848", \ "0.0525557,0.0576628,0.0621981,0.0692322,0.0795945,0.0946847,0.117355", \ "0.0723702,0.0794835,0.0859073,0.0955659,0.109295,0.128332,0.155540"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.337840,6.675690,13.351400,26.702700,53.405500,106.811000"); values ("0.0298844,0.0430176,0.0577996,0.0873173,0.146209,0.263933,0.499151", \ "0.0298982,0.0430140,0.0578016,0.0873155,0.146215,0.263912,0.499169", \ "0.0299459,0.0430356,0.0577973,0.0873121,0.146206,0.263912,0.499139", \ "0.0358359,0.0466029,0.0595205,0.0873967,0.146193,0.263911,0.499140", \ "0.0464718,0.0584645,0.0716010,0.0962603,0.148275,0.263883,0.499132", \ "0.0615014,0.0740698,0.0877349,0.113824,0.163025,0.266910,0.499171", \ "0.0799934,0.0934621,0.107812,0.134988,0.186352,0.283660,0.500112"); } } internal_power () { related_pin : "A1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.337840,6.675690,13.351400,26.702700,53.405500,106.811000"); values ("-0.000451,-0.000506,-0.000568,-0.000690,-0.000935,-0.001423,-0.002398", \ "-0.001631,-0.001686,-0.001748,-0.001871,-0.002115,-0.002604,-0.003579", \ "-0.005701,-0.005791,-0.005876,-0.006019,-0.006263,-0.006749,-0.007723", \ "0.025950,-0.011780,-0.013575,-0.013796,-0.014141,-0.014672,-0.015643", \ "0.614570,0.526590,0.447403,0.347233,0.231719,0.099314,-0.027986", \ "1.521840,1.398715,1.280097,1.087599,0.846649,0.584408,0.316435", \ "2.742047,2.602559,2.452178,2.202147,1.827303,1.368548,0.915210"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.337840,6.675690,13.351400,26.702700,53.405500,106.811000"); values ("6.536673,6.653550,6.708794,6.776084,6.750510,6.775392,6.838066", \ "6.209501,6.301731,6.387897,6.494977,6.609596,6.688451,6.741732", \ "6.189271,6.229534,6.249583,6.287208,6.303326,6.399031,6.498071", \ "6.569081,6.519856,6.511840,6.446747,6.326800,6.348501,6.408946", \ "6.914870,7.136282,7.122121,6.991587,6.861330,6.681898,6.500033", \ "7.690572,7.744237,7.809007,7.939621,7.644472,7.330536,7.028804", \ "9.030438,8.980789,8.950194,8.914636,8.814694,8.297368,7.719983"); } } internal_power () { related_pin : "A2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.337840,6.675690,13.351400,26.702700,53.405500,106.811000"); values ("0.296570,0.430605,0.534494,0.695079,0.837888,0.968664,1.087577", \ "0.190884,0.313351,0.408493,0.563500,0.705218,0.848212,0.961127", \ "0.451602,0.474963,0.453880,0.469685,0.599061,0.742570,0.867030", \ "0.883108,0.868336,0.842119,0.809643,0.759060,0.745675,0.852992", \ "1.487240,1.439711,1.389254,1.311866,1.195608,1.057414,0.948343", \ "2.407152,2.319543,2.230631,2.080565,1.873818,1.616504,1.339513", \ "3.625025,3.520019,3.417813,3.215339,2.890068,2.468198,2.013558"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.337840,6.675690,13.351400,26.702700,53.405500,106.811000"); values ("8.914921,8.976950,9.007104,9.053089,9.050905,9.069844,9.080974", \ "8.691388,8.759831,8.800518,8.879620,8.911475,8.963946,8.999995", \ "8.543172,8.592614,8.618613,8.663545,8.668203,8.742781,8.815421", \ "8.520491,8.534763,8.535673,8.540949,8.554353,8.658093,8.688029", \ "8.371078,8.633397,8.637633,8.616805,8.646199,8.669550,8.583225", \ "8.407895,8.519430,8.677691,8.912149,8.828675,8.754349,8.734688", \ "9.087899,9.108960,9.113199,9.247698,9.344172,9.159049,9.006725"); } } } } /****************************************************************************************** Module : NOR3_X1 Cell Description : Combinational cell (NOR3_X1) with drive strength X1 *******************************************************************************************/ cell (NOR3_X1) { drive_strength : 1; area : 1.064000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 13.478750; leakage_power () { when : "!A1 & !A2 & !A3"; value : 36.946735; } leakage_power () { when : "!A1 & !A2 & A3"; value : 7.132619; } leakage_power () { when : "!A1 & A2 & !A3"; value : 7.281019; } leakage_power () { when : "!A1 & A2 & A3"; value : 10.710015; } leakage_power () { when : "A1 & !A2 & !A3"; value : 8.646358; } leakage_power () { when : "A1 & !A2 & A3"; value : 10.752151; } leakage_power () { when : "A1 & A2 & !A3"; value : 10.763871; } leakage_power () { when : "A1 & A2 & A3"; value : 15.597233; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.680976; fall_capacitance : 1.373855; rise_capacitance : 1.680976; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.598443; fall_capacitance : 1.431204; rise_capacitance : 1.598443; } pin (A3) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.555493; fall_capacitance : 1.476882; rise_capacitance : 1.555493; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 15.980940; function : "!((A1 | A2) | A3)"; timing () { related_pin : "A1"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); values ("0.00854902,0.00931308,0.0107406,0.0133938,0.0183401,0.0275951,0.0449400", \ "0.0139656,0.0147490,0.0161789,0.0188464,0.0238118,0.0330843,0.0504401", \ "0.0248293,0.0265875,0.0295794,0.0343498,0.0416428,0.0523770,0.0696249", \ "0.0326555,0.0357698,0.0410127,0.0493309,0.0617573,0.0794712,0.104197", \ "0.0339633,0.0387753,0.0468047,0.0595575,0.0785377,0.105125,0.141333", \ "0.0266099,0.0333564,0.0445571,0.0624276,0.0891688,0.126396,0.176338", \ "0.00919929,0.0179919,0.0327452,0.0561809,0.0914368,0.140782,0.206663"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); values ("0.0855354,0.0960640,0.115603,0.151727,0.218797,0.343409,0.576654", \ "0.0837145,0.0943152,0.114111,0.150860,0.218689,0.344396,0.578566", \ "0.0957572,0.105900,0.125033,0.160961,0.228251,0.353882,0.588646", \ "0.129337,0.138258,0.155945,0.190618,0.256349,0.380382,0.613727", \ "0.173294,0.185335,0.206489,0.242814,0.305663,0.427532,0.658430", \ "0.222767,0.237285,0.262894,0.306531,0.378271,0.497948,0.725790", \ "0.278747,0.295588,0.325359,0.376268,0.460197,0.593819,0.818252"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); values ("0.00444105,0.00510342,0.00635406,0.00870515,0.0131184,0.0214049,0.0369664", \ "0.00493287,0.00543505,0.00647562,0.00870307,0.0131145,0.0214042,0.0369683", \ "0.0115139,0.0121354,0.0131933,0.0150242,0.0180053,0.0233912,0.0370144", \ "0.0225962,0.0235048,0.0250231,0.0275425,0.0315759,0.0379137,0.0478037", \ "0.0383430,0.0396618,0.0418873,0.0453124,0.0505693,0.0586308,0.0708633", \ "0.0585299,0.0603477,0.0635643,0.0684021,0.0751923,0.0852321,0.100072", \ "0.0830412,0.0855389,0.0897655,0.0963643,0.105363,0.117936,0.135815"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); values ("0.0664492,0.0755007,0.0925640,0.124224,0.183367,0.293738,0.499936", \ "0.0662738,0.0753952,0.0925237,0.124262,0.183322,0.293732,0.499929", \ "0.0652880,0.0747677,0.0922511,0.124124,0.183304,0.293725,0.499925", \ "0.0680688,0.0758454,0.0913905,0.123187,0.183141,0.293723,0.499927", \ "0.0860749,0.0935815,0.106292,0.130557,0.183139,0.293695,0.499937", \ "0.106261,0.114561,0.129616,0.155832,0.199677,0.295332,0.499931", \ "0.129454,0.138846,0.155688,0.184809,0.233310,0.316323,0.500577"); } } timing () { related_pin : "A2"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); values ("0.00929927,0.0101906,0.0118275,0.0148030,0.0201711,0.0298876,0.0476435", \ "0.0150272,0.0158560,0.0174106,0.0202971,0.0255906,0.0352584,0.0529978", \ "0.0288241,0.0303549,0.0329997,0.0373595,0.0442063,0.0545159,0.0720339", \ "0.0410546,0.0436236,0.0480650,0.0553980,0.0667037,0.0833890,0.107264", \ "0.0481914,0.0521031,0.0586391,0.0696830,0.0866572,0.111382,0.146043", \ "0.0478310,0.0532608,0.0624030,0.0775102,0.101222,0.135564,0.183085", \ "0.0383161,0.0453653,0.0574154,0.0771608,0.108296,0.153482,0.215830"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); values ("0.127889,0.138212,0.157470,0.193303,0.260020,0.384429,0.617547", \ "0.128338,0.138746,0.158190,0.194413,0.261709,0.386780,0.620488", \ "0.142232,0.152496,0.171728,0.207690,0.274922,0.400129,0.634429", \ "0.171242,0.181438,0.200197,0.235825,0.302648,0.427606,0.661614", \ "0.209582,0.221357,0.242746,0.279018,0.345474,0.470081,0.703681", \ "0.252650,0.266358,0.290835,0.333502,0.406595,0.531325,0.764270", \ "0.303826,0.319727,0.347832,0.396234,0.477688,0.613412,0.846178"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); values ("0.00639368,0.00711980,0.00845860,0.0108953,0.0153401,0.0235651,0.0390056", \ "0.00637666,0.00699159,0.00820966,0.0106584,0.0151844,0.0234848,0.0389778", \ "0.0128147,0.0133925,0.0143917,0.0161144,0.0189770,0.0247589,0.0389229", \ "0.0235691,0.0244591,0.0259613,0.0284193,0.0324075,0.0386897,0.0485035", \ "0.0384219,0.0397170,0.0420573,0.0455398,0.0509275,0.0590916,0.0714061", \ "0.0572101,0.0591046,0.0625142,0.0675552,0.0747249,0.0851673,0.100351", \ "0.0797529,0.0824065,0.0869625,0.0940642,0.103699,0.117030,0.135554"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); values ("0.0666071,0.0755711,0.0925597,0.124201,0.183327,0.293733,0.499936", \ "0.0666251,0.0755786,0.0925590,0.124201,0.183341,0.293728,0.499927", \ "0.0666453,0.0755918,0.0925656,0.124195,0.183421,0.293750,0.499933", \ "0.0671982,0.0759314,0.0927028,0.124154,0.183284,0.293725,0.499928", \ "0.0792853,0.0865325,0.100400,0.127770,0.183356,0.293731,0.499928", \ "0.0954460,0.103475,0.118564,0.145981,0.194039,0.294921,0.499926", \ "0.116667,0.124902,0.140301,0.168184,0.218069,0.308968,0.500717"); } } timing () { related_pin : "A3"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); values ("0.00855665,0.00944595,0.0110972,0.0141497,0.0197516,0.0299335,0.0484001", \ "0.0144844,0.0152967,0.0168430,0.0197671,0.0252256,0.0352852,0.0536575", \ "0.0289236,0.0304624,0.0331315,0.0375302,0.0444370,0.0548357,0.0727134", \ "0.0431989,0.0456824,0.0500276,0.0571743,0.0683067,0.0848339,0.108576", \ "0.0537539,0.0574231,0.0635227,0.0740338,0.0904089,0.114473,0.148572", \ "0.0578115,0.0627862,0.0711575,0.0852371,0.107807,0.140815,0.187175", \ "0.0536641,0.0600487,0.0709987,0.0892015,0.118378,0.161459,0.221944"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); values ("0.139647,0.149983,0.169256,0.205083,0.271791,0.396277,0.629345", \ "0.141808,0.152223,0.171709,0.207892,0.275176,0.400318,0.633975", \ "0.158331,0.168601,0.187869,0.223827,0.290936,0.416284,0.650558", \ "0.187197,0.197399,0.216369,0.252115,0.318998,0.443902,0.677976", \ "0.224512,0.235520,0.255335,0.290898,0.357509,0.482233,0.715901", \ "0.263290,0.275563,0.297922,0.337743,0.408354,0.533069,0.766340", \ "0.304643,0.318534,0.343646,0.387980,0.464844,0.597817,0.831497"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); values ("0.00632603,0.00714842,0.00865794,0.0113965,0.0163164,0.0251123,0.0410002", \ "0.00662205,0.00724771,0.00851583,0.0111256,0.0160630,0.0249020,0.0408598", \ "0.0139189,0.0144755,0.0154472,0.0171361,0.0199527,0.0259069,0.0404868", \ "0.0253994,0.0262358,0.0276278,0.0299867,0.0338078,0.0399201,0.0495708", \ "0.0405982,0.0418014,0.0440450,0.0473370,0.0525018,0.0604346,0.0725235", \ "0.0595992,0.0613289,0.0645563,0.0693468,0.0762245,0.0863902,0.101374", \ "0.0821717,0.0846030,0.0889144,0.0955522,0.104888,0.117942,0.136347"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); values ("0.0666089,0.0755725,0.0925817,0.124218,0.183311,0.293729,0.499928", \ "0.0666213,0.0755800,0.0925813,0.124235,0.183325,0.293730,0.499929", \ "0.0666394,0.0755914,0.0925817,0.124281,0.183313,0.293729,0.499927", \ "0.0668120,0.0757008,0.0926228,0.124208,0.183322,0.293748,0.499931", \ "0.0730567,0.0810163,0.0962526,0.125592,0.183249,0.293713,0.499925", \ "0.0842165,0.0928062,0.108844,0.137956,0.190012,0.294450,0.499924", \ "0.0996822,0.108446,0.124869,0.154689,0.208228,0.305370,0.501065"); } } internal_power () { related_pin : "A1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); values ("-0.000159,-0.000165,-0.000176,-0.000197,-0.000236,-0.000309,-0.000446", \ "-0.000592,-0.000598,-0.000609,-0.000630,-0.000669,-0.000742,-0.000879", \ "-0.002101,-0.002110,-0.002125,-0.002150,-0.002190,-0.002263,-0.002399", \ "-0.004934,-0.004948,-0.004972,-0.005011,-0.005072,-0.005163,-0.005304", \ "0.070279,0.065809,0.058727,0.050434,0.034981,0.016074,-0.009814", \ "0.244943,0.238874,0.224179,0.203030,0.173936,0.134524,0.086773", \ "0.493811,0.482438,0.463472,0.436829,0.387305,0.320017,0.242613"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); values ("1.946614,1.954806,1.965922,1.974418,1.984434,2.004208,1.978543", \ "1.861033,1.870353,1.887509,1.907386,1.933697,1.955347,1.961448", \ "1.835759,1.836855,1.845506,1.843662,1.854600,1.897070,1.910560", \ "1.901844,1.899368,1.896451,1.890828,1.879716,1.885634,1.885616", \ "2.048167,2.043463,2.031744,2.009707,1.972847,1.962773,1.919775", \ "2.228444,2.248659,2.249123,2.219022,2.168115,2.120082,2.056121", \ "2.468050,2.472352,2.483512,2.499483,2.433873,2.352193,2.246761"); } } internal_power () { related_pin : "A2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); values ("0.075735,0.084642,0.092655,0.118202,0.144656,0.174547,0.201706", \ "0.068066,0.076506,0.088772,0.113644,0.141749,0.172439,0.198504", \ "0.119533,0.123616,0.121684,0.117825,0.138100,0.162489,0.196319", \ "0.205596,0.203222,0.205658,0.199846,0.195553,0.182426,0.197255", \ "0.316007,0.316291,0.310713,0.303843,0.293098,0.275022,0.248405", \ "0.492289,0.486358,0.479512,0.464710,0.438257,0.405844,0.363760", \ "0.740368,0.731966,0.720111,0.697430,0.657168,0.597872,0.527401"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); values ("2.527344,2.533506,2.539669,2.545405,2.556530,2.564149,2.558887", \ "2.473228,2.480557,2.487626,2.501199,2.509000,2.523665,2.524628", \ "2.430131,2.435666,2.440637,2.451141,2.466365,2.493113,2.491393", \ "2.416425,2.421240,2.423403,2.423879,2.423919,2.437331,2.464112", \ "2.428137,2.428009,2.428963,2.421232,2.420360,2.431534,2.430866", \ "2.478793,2.497275,2.496991,2.481798,2.458621,2.463877,2.448137", \ "2.550572,2.560389,2.578707,2.623901,2.577853,2.548035,2.527016"); } } internal_power () { related_pin : "A3"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); values ("0.015925,0.039428,0.079812,0.143996,0.216430,0.310092,0.398417", \ "0.012454,0.033684,0.063130,0.122627,0.188716,0.277760,0.367275", \ "0.128908,0.128589,0.134199,0.141090,0.188963,0.253555,0.333332", \ "0.282577,0.283937,0.284310,0.290184,0.291286,0.289922,0.335721", \ "0.439342,0.438561,0.434116,0.434668,0.423528,0.418938,0.405641", \ "0.645034,0.638768,0.631467,0.619195,0.602344,0.573803,0.541452", \ "0.917412,0.912141,0.900845,0.880491,0.845486,0.792336,0.725967"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); values ("3.049593,3.055886,3.065095,3.071532,3.071015,3.078399,3.073045", \ "2.992877,3.000171,3.009242,3.021579,3.037191,3.051792,3.052964", \ "2.951221,2.956977,2.963970,2.966477,2.983343,3.000440,3.008350", \ "2.934108,2.939016,2.940654,2.947498,2.960396,2.978180,2.979742", \ "2.934351,2.935116,2.936674,2.925054,2.943154,2.956047,2.957752", \ "2.946044,2.961655,2.965918,2.953769,2.956027,2.964105,2.962325", \ "2.918819,2.934811,2.964725,3.022564,3.009505,2.999500,2.991460"); } } } } /****************************************************************************************** Module : NOR3_X2 Cell Description : Combinational cell (NOR3_X2) with drive strength X2 *******************************************************************************************/ cell (NOR3_X2) { drive_strength : 2; area : 1.862000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 26.971365; leakage_power () { when : "!A1 & !A2 & !A3"; value : 73.902970; } leakage_power () { when : "!A1 & !A2 & A3"; value : 14.279725; } leakage_power () { when : "!A1 & A2 & !A3"; value : 14.576524; } leakage_power () { when : "!A1 & A2 & A3"; value : 21.434546; } leakage_power () { when : "A1 & !A2 & !A3"; value : 17.307204; } leakage_power () { when : "A1 & !A2 & A3"; value : 21.518792; } leakage_power () { when : "A1 & A2 & !A3"; value : 21.542238; } leakage_power () { when : "A1 & A2 & A3"; value : 31.208920; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.204062; fall_capacitance : 2.588858; rise_capacitance : 3.204062; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.284818; fall_capacitance : 2.949790; rise_capacitance : 3.284818; } pin (A3) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.326952; fall_capacitance : 3.161871; rise_capacitance : 3.326952; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 31.691600; function : "!((A1 | A2) | A3)"; timing () { related_pin : "A1"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); values ("0.00851265,0.00925969,0.0104384,0.0127725,0.0174020,0.0266176,0.0450101", \ "0.0139183,0.0146935,0.0158750,0.0182210,0.0228694,0.0321040,0.0505063", \ "0.0246699,0.0264164,0.0289319,0.0332766,0.0403588,0.0513514,0.0696890", \ "0.0323251,0.0354261,0.0398725,0.0474537,0.0595643,0.0777771,0.104271", \ "0.0334016,0.0381628,0.0449812,0.0566656,0.0751915,0.102606,0.141421", \ "0.0257906,0.0324564,0.0419527,0.0583632,0.0844476,0.122865,0.176464", \ "0.00810474,0.0167857,0.0292424,0.0506914,0.0851631,0.136107,0.206826"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); values ("0.0840907,0.0943863,0.110491,0.142255,0.204905,0.329048,0.576218", \ "0.0822828,0.0926340,0.108938,0.141195,0.204708,0.329977,0.578154", \ "0.0943932,0.104309,0.120097,0.151580,0.214349,0.339447,0.588263", \ "0.128139,0.136813,0.151299,0.181561,0.242823,0.366161,0.613428", \ "0.171601,0.183435,0.201111,0.233764,0.292687,0.413579,0.658222", \ "0.220720,0.234990,0.256340,0.295495,0.364337,0.484157,0.725396", \ "0.276367,0.292918,0.317732,0.363411,0.443829,0.579523,0.817862"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); values ("0.00436006,0.00500696,0.00603433,0.00809861,0.0122267,0.0204816,0.0369903", \ "0.00486969,0.00535059,0.00619947,0.00809959,0.0122245,0.0204779,0.0369883", \ "0.0114170,0.0120200,0.0129014,0.0145352,0.0174119,0.0227076,0.0370331", \ "0.0224442,0.0233179,0.0245778,0.0268647,0.0307610,0.0372209,0.0477892", \ "0.0381471,0.0394198,0.0412329,0.0443385,0.0494668,0.0577003,0.0707717", \ "0.0582116,0.0600138,0.0626430,0.0670395,0.0737247,0.0840580,0.0999771", \ "0.0826060,0.0850869,0.0886069,0.0947165,0.103484,0.116479,0.135634"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); values ("0.0651956,0.0740384,0.0880039,0.115716,0.170825,0.280619,0.499576", \ "0.0650086,0.0739256,0.0879531,0.115717,0.170821,0.280627,0.499564", \ "0.0639698,0.0732524,0.0876195,0.115611,0.170749,0.280599,0.499575", \ "0.0670378,0.0745630,0.0871527,0.114300,0.170651,0.280626,0.499571", \ "0.0850340,0.0923786,0.103097,0.123726,0.171551,0.280495,0.499573", \ "0.105109,0.113244,0.125657,0.148959,0.190270,0.283196,0.499568", \ "0.128134,0.137361,0.151303,0.177350,0.223578,0.306181,0.500249"); } } timing () { related_pin : "A2"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); values ("0.00918950,0.0100636,0.0114203,0.0140526,0.0191062,0.0288133,0.0476520", \ "0.0149266,0.0157389,0.0170226,0.0195627,0.0245360,0.0341874,0.0530047", \ "0.0286291,0.0301421,0.0323730,0.0363231,0.0429386,0.0534738,0.0720364", \ "0.0406994,0.0432729,0.0470558,0.0536803,0.0646348,0.0817391,0.107280", \ "0.0476895,0.0515468,0.0571340,0.0671121,0.0836002,0.108959,0.146074", \ "0.0471212,0.0524703,0.0602177,0.0739534,0.0969538,0.132205,0.183144", \ "0.0373856,0.0443264,0.0544852,0.0723175,0.102598,0.149057,0.215927"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); values ("0.126444,0.136533,0.152393,0.183849,0.246207,0.370096,0.617115", \ "0.126900,0.137054,0.153072,0.184846,0.247754,0.372374,0.620026", \ "0.140841,0.150859,0.166697,0.198223,0.260936,0.385762,0.634010", \ "0.169777,0.179718,0.195295,0.226422,0.288830,0.413211,0.661225", \ "0.207924,0.219467,0.237153,0.269503,0.331694,0.455709,0.703215", \ "0.250688,0.264157,0.284495,0.322650,0.391991,0.517024,0.763922", \ "0.301533,0.317171,0.340604,0.383896,0.461599,0.598479,0.845769"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); values ("0.00629904,0.00701056,0.00811538,0.0102665,0.0144395,0.0226344,0.0390016", \ "0.00629521,0.00689092,0.00788195,0.0100149,0.0142687,0.0225474,0.0389750", \ "0.0127253,0.0132864,0.0141171,0.0156605,0.0184153,0.0240142,0.0389204", \ "0.0234328,0.0242726,0.0254941,0.0277294,0.0315880,0.0379842,0.0484828", \ "0.0381937,0.0394827,0.0413579,0.0445232,0.0497787,0.0581489,0.0713241", \ "0.0568947,0.0587822,0.0615466,0.0661141,0.0731541,0.0839357,0.100214", \ "0.0793195,0.0819431,0.0857080,0.0922424,0.101652,0.115466,0.135383"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); values ("0.0653801,0.0741238,0.0880405,0.115695,0.170819,0.280608,0.499569", \ "0.0653948,0.0741335,0.0880351,0.115689,0.170782,0.280640,0.499573", \ "0.0654143,0.0741441,0.0880390,0.115686,0.170784,0.280674,0.499565", \ "0.0660107,0.0745177,0.0882088,0.115700,0.170751,0.280602,0.499576", \ "0.0782632,0.0853688,0.0966543,0.120343,0.171187,0.280613,0.499567", \ "0.0943274,0.102181,0.114528,0.138657,0.183643,0.282533,0.499570", \ "0.115516,0.123577,0.136172,0.160807,0.207734,0.297965,0.500374"); } } timing () { related_pin : "A3"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); values ("0.00844502,0.00931263,0.0106744,0.0133671,0.0186221,0.0287890,0.0483782", \ "0.0143813,0.0151748,0.0164468,0.0190086,0.0241213,0.0341513,0.0536353", \ "0.0287187,0.0302383,0.0324933,0.0364680,0.0431392,0.0537607,0.0726888", \ "0.0428605,0.0453786,0.0490413,0.0555051,0.0662640,0.0831662,0.108561", \ "0.0532606,0.0568737,0.0620961,0.0715986,0.0874274,0.112091,0.148587", \ "0.0571405,0.0620378,0.0691728,0.0819550,0.103726,0.137576,0.187224", \ "0.0528003,0.0590835,0.0683089,0.0846883,0.112977,0.157233,0.221991"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); values ("0.138192,0.148290,0.164179,0.195647,0.257976,0.381893,0.628897", \ "0.140368,0.150543,0.166568,0.198359,0.261248,0.385876,0.633529", \ "0.156936,0.166958,0.182783,0.214323,0.277046,0.401835,0.650126", \ "0.185783,0.195742,0.211424,0.242716,0.305134,0.429556,0.677587", \ "0.222989,0.233762,0.250272,0.281467,0.343698,0.467820,0.715445", \ "0.261559,0.273586,0.292096,0.327234,0.393983,0.518599,0.765843", \ "0.302668,0.316294,0.337085,0.376537,0.449272,0.582860,0.830892"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); values ("0.00621258,0.00701355,0.00826537,0.0106853,0.0153207,0.0241205,0.0409796", \ "0.00653867,0.00714167,0.00816852,0.0104092,0.0150656,0.0239041,0.0408326", \ "0.0138298,0.0143717,0.0151806,0.0166828,0.0193786,0.0251103,0.0404661", \ "0.0252552,0.0260290,0.0271835,0.0293061,0.0330168,0.0392147,0.0495336", \ "0.0403888,0.0415900,0.0433793,0.0463734,0.0513846,0.0594928,0.0724228", \ "0.0593066,0.0610260,0.0635965,0.0679429,0.0746939,0.0851729,0.101206", \ "0.0817646,0.0841762,0.0877009,0.0938152,0.102874,0.116388,0.136127"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); values ("0.0653725,0.0741267,0.0880400,0.115701,0.170787,0.280638,0.499567", \ "0.0653915,0.0741326,0.0880411,0.115720,0.170785,0.280639,0.499572", \ "0.0654206,0.0741422,0.0880540,0.115695,0.170782,0.280640,0.499573", \ "0.0656067,0.0742582,0.0880944,0.115708,0.170799,0.280625,0.499576", \ "0.0719837,0.0797401,0.0922068,0.117727,0.170896,0.280629,0.499567", \ "0.0830197,0.0914225,0.104602,0.130285,0.179034,0.281823,0.499565", \ "0.0984664,0.107035,0.120486,0.146779,0.197178,0.293952,0.500608"); } } internal_power () { related_pin : "A1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); values ("0.066381,0.054682,0.037897,0.009387,-0.000458,-0.000604,-0.000896", \ "0.009696,0.008631,0.005850,-0.001251,-0.001324,-0.001470,-0.001762", \ "-0.004201,-0.004218,-0.004244,-0.004289,-0.004366,-0.004512,-0.004802", \ "0.073925,0.073891,0.068468,0.066605,0.055940,0.022709,0.000976", \ "0.280862,0.271009,0.258679,0.244094,0.215173,0.172429,0.108527", \ "0.631543,0.615272,0.590447,0.559609,0.495528,0.413643,0.310563", \ "1.126214,1.110091,1.080481,1.025804,0.932503,0.793909,0.622525"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); values ("3.982120,3.995184,4.013007,4.039290,4.064742,4.064862,4.053935", \ "3.810912,3.833402,3.857298,3.895770,3.935822,3.965207,4.020058", \ "3.761609,3.768520,3.777168,3.779691,3.797392,3.848981,3.918964", \ "3.894996,3.886925,3.883777,3.870819,3.854303,3.872228,3.870423", \ "4.195721,4.178222,4.152938,4.123079,4.052462,3.989389,3.940535", \ "4.548728,4.583599,4.603812,4.548723,4.444587,4.308807,4.166035", \ "5.026452,5.031445,5.043677,5.083990,4.982793,4.820731,4.591162"); } } internal_power () { related_pin : "A2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); values ("0.283927,0.294075,0.318558,0.365279,0.421943,0.481298,0.542018", \ "0.267347,0.286738,0.320264,0.354014,0.416925,0.478316,0.543763", \ "0.384679,0.378115,0.381049,0.371981,0.407758,0.461968,0.531621", \ "0.543705,0.548672,0.551033,0.547036,0.534751,0.506301,0.532927", \ "0.776432,0.770752,0.762531,0.752273,0.727921,0.692376,0.636573", \ "1.125248,1.113995,1.096831,1.070112,1.021935,0.953708,0.863716", \ "1.618193,1.602345,1.583865,1.538414,1.464643,1.345644,1.193792"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); values ("5.143521,5.152647,5.164334,5.185546,5.202128,5.184730,5.170787", \ "5.035279,5.046389,5.068508,5.077909,5.104234,5.144584,5.145618", \ "4.949306,4.957363,4.973319,4.987286,5.018154,5.022192,5.079379", \ "4.921983,4.927218,4.929458,4.936530,4.938322,4.974468,4.995440", \ "4.946762,4.950709,4.950399,4.940151,4.936262,4.962586,4.960451", \ "5.044155,5.080759,5.084313,5.055135,5.018834,5.020198,4.995410", \ "5.185955,5.208959,5.243597,5.300904,5.287591,5.208835,5.139577"); } } internal_power () { related_pin : "A3"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); values ("0.178848,0.212924,0.281861,0.397979,0.548877,0.741916,0.944068", \ "0.171591,0.206441,0.255258,0.350513,0.498621,0.685041,0.868752", \ "0.391012,0.401983,0.397611,0.417640,0.506938,0.636650,0.808033", \ "0.700097,0.697895,0.696480,0.715261,0.715269,0.718940,0.809190", \ "1.016384,1.011523,1.003755,1.000606,0.996281,0.971770,0.948367", \ "1.430268,1.417986,1.404886,1.385360,1.349272,1.287230,1.219507", \ "1.976910,1.967767,1.947717,1.909567,1.839372,1.726802,1.587760"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); values ("6.188417,6.197699,6.212022,6.215420,6.231472,6.255705,6.242870", \ "6.074900,6.093394,6.105079,6.137103,6.160648,6.201284,6.202681", \ "5.992303,6.000288,6.019235,6.035560,6.053712,6.098750,6.113909", \ "5.957455,5.963867,5.968173,5.978141,5.967677,6.004707,6.057438", \ "5.958665,5.964187,5.968094,5.958929,5.977193,5.966701,6.012069", \ "5.975731,6.016472,6.020662,5.996754,6.007442,5.985025,6.021937", \ "5.926045,5.953561,6.005733,6.111480,6.114178,6.106957,6.077343"); } } } } /****************************************************************************************** Module : NOR3_X4 Cell Description : Combinational cell (NOR3_X4) with drive strength X4 *******************************************************************************************/ cell (NOR3_X4) { drive_strength : 4; area : 3.724000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 53.942691; leakage_power () { when : "!A1 & !A2 & !A3"; value : 147.805750; } leakage_power () { when : "!A1 & !A2 & A3"; value : 28.559508; } leakage_power () { when : "!A1 & A2 & !A3"; value : 29.153125; } leakage_power () { when : "!A1 & A2 & A3"; value : 42.869006; } leakage_power () { when : "A1 & !A2 & !A3"; value : 34.614485; } leakage_power () { when : "A1 & !A2 & A3"; value : 43.037498; } leakage_power () { when : "A1 & A2 & !A3"; value : 43.084400; } leakage_power () { when : "A1 & A2 & A3"; value : 62.417755; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 6.228978; fall_capacitance : 5.031064; rise_capacitance : 6.228978; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 5.934336; fall_capacitance : 5.287650; rise_capacitance : 5.934336; } pin (A3) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 5.893816; fall_capacitance : 5.578323; rise_capacitance : 5.893816; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 63.202410; function : "!((A1 | A2) | A3)"; timing () { related_pin : "A1"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); values ("0.00840936,0.00938016,0.0105571,0.0128877,0.0175115,0.0267145,0.0450807", \ "0.0137795,0.0147987,0.0159807,0.0183226,0.0229643,0.0321857,0.0505640", \ "0.0242750,0.0265679,0.0290685,0.0333963,0.0404598,0.0514328,0.0697428", \ "0.0315716,0.0356595,0.0400775,0.0476188,0.0596893,0.0778722,0.104326", \ "0.0321735,0.0384281,0.0452521,0.0568771,0.0753481,0.102712,0.141475", \ "0.0240243,0.0327072,0.0422137,0.0585810,0.0846110,0.122973,0.176507", \ "0.00573551,0.0170454,0.0294663,0.0509655,0.0853637,0.136226,0.206848"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); values ("0.0790719,0.0923973,0.108512,0.140262,0.202881,0.327004,0.574090", \ "0.0774070,0.0907813,0.107084,0.139293,0.202785,0.328021,0.576106", \ "0.0899460,0.102755,0.118468,0.149879,0.212620,0.337654,0.586368", \ "0.124204,0.135615,0.150003,0.180024,0.241247,0.364491,0.611652", \ "0.167293,0.182571,0.200123,0.232515,0.291212,0.412030,0.656564", \ "0.215960,0.234421,0.255667,0.294795,0.363313,0.482784,0.723888", \ "0.271157,0.292586,0.317296,0.362826,0.442971,0.578267,0.816223"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); values ("0.00420131,0.00503200,0.00606012,0.00811755,0.0122368,0.0204775,0.0369554", \ "0.00475960,0.00537226,0.00621731,0.00812014,0.0122360,0.0204723,0.0369511", \ "0.0112762,0.0120385,0.0129238,0.0145561,0.0174234,0.0227022,0.0369976", \ "0.0222109,0.0233032,0.0245686,0.0268550,0.0307450,0.0372037,0.0477620", \ "0.0377718,0.0393842,0.0411569,0.0442699,0.0494203,0.0576629,0.0707431", \ "0.0576211,0.0600052,0.0625993,0.0668723,0.0736162,0.0839937,0.0999077", \ "0.0817933,0.0850512,0.0885779,0.0944902,0.103327,0.116342,0.135528"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); values ("0.0630835,0.0745860,0.0885522,0.116271,0.171335,0.281063,0.499931", \ "0.0627326,0.0743879,0.0884588,0.116250,0.171332,0.281057,0.499931", \ "0.0611568,0.0734289,0.0879493,0.116084,0.171312,0.281073,0.499938", \ "0.0647742,0.0744666,0.0871795,0.114343,0.171150,0.281056,0.499924", \ "0.0827464,0.0921760,0.102982,0.123674,0.171744,0.280937,0.499940", \ "0.102688,0.113220,0.125524,0.148683,0.190238,0.283424,0.499939", \ "0.125478,0.137415,0.151322,0.177163,0.223351,0.306339,0.500411"); } } timing () { related_pin : "A2"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); values ("0.00905861,0.0102001,0.0115689,0.0142191,0.0193128,0.0290797,0.0479813", \ "0.0148471,0.0159017,0.0171928,0.0197498,0.0247501,0.0344523,0.0533248", \ "0.0285462,0.0305351,0.0327491,0.0366621,0.0432573,0.0537555,0.0723483", \ "0.0407029,0.0440626,0.0477940,0.0543337,0.0652086,0.0822064,0.107649", \ "0.0477649,0.0527283,0.0583199,0.0681809,0.0844940,0.109672,0.146611", \ "0.0473185,0.0541748,0.0618288,0.0755076,0.0982387,0.133209,0.183866", \ "0.0377117,0.0466141,0.0566115,0.0743908,0.104291,0.150378,0.216873"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); values ("0.127577,0.140636,0.156507,0.187938,0.250240,0.374102,0.621008", \ "0.128065,0.141204,0.157231,0.188988,0.251847,0.376420,0.623978", \ "0.142042,0.155003,0.170842,0.202340,0.265036,0.389767,0.637945", \ "0.171385,0.184228,0.199784,0.230925,0.293294,0.417639,0.665560", \ "0.209761,0.224587,0.242089,0.274006,0.336206,0.460171,0.707637", \ "0.251994,0.269329,0.289529,0.327253,0.396312,0.521170,0.768080", \ "0.301419,0.321667,0.345027,0.388165,0.465635,0.602316,0.849582"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); values ("0.00617927,0.00711976,0.00824675,0.0104269,0.0146441,0.0228755,0.0392398", \ "0.00622737,0.00699513,0.00800244,0.0101644,0.0144564,0.0227727,0.0392067", \ "0.0127153,0.0134210,0.0142451,0.0157818,0.0185167,0.0241458,0.0391228", \ "0.0233560,0.0243982,0.0256137,0.0278398,0.0316870,0.0380670,0.0485552", \ "0.0379872,0.0396397,0.0414195,0.0445827,0.0498153,0.0581815,0.0713584", \ "0.0565055,0.0589511,0.0616145,0.0660489,0.0731172,0.0838994,0.100180", \ "0.0786930,0.0820863,0.0858156,0.0920657,0.101481,0.115325,0.135272"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); values ("0.0635252,0.0748237,0.0886587,0.116288,0.171347,0.281050,0.499939", \ "0.0635488,0.0748402,0.0886740,0.116289,0.171346,0.281054,0.499931", \ "0.0635895,0.0748600,0.0886766,0.116299,0.171342,0.281054,0.499918", \ "0.0641448,0.0751648,0.0888305,0.116326,0.171322,0.281077,0.499920", \ "0.0758365,0.0850479,0.0964778,0.120454,0.171713,0.281034,0.499938", \ "0.0917335,0.101949,0.114274,0.138354,0.183518,0.282813,0.499947", \ "0.113173,0.123612,0.136194,0.160613,0.207573,0.298072,0.500599"); } } timing () { related_pin : "A3"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); values ("0.00835722,0.00948225,0.0108496,0.0135474,0.0188216,0.0290397,0.0487299", \ "0.0143148,0.0153467,0.0166231,0.0191953,0.0243267,0.0344058,0.0539855", \ "0.0285813,0.0305850,0.0328120,0.0367765,0.0434400,0.0540492,0.0730504", \ "0.0427851,0.0460909,0.0497213,0.0561273,0.0668202,0.0836675,0.109012", \ "0.0533518,0.0580120,0.0632939,0.0726837,0.0883689,0.112889,0.149258", \ "0.0574657,0.0637585,0.0708274,0.0836094,0.105140,0.138740,0.188173", \ "0.0534035,0.0614705,0.0705775,0.0869364,0.114936,0.158816,0.223224"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); values ("0.140866,0.153930,0.169817,0.201241,0.263537,0.387389,0.634298", \ "0.143057,0.156204,0.172233,0.203984,0.266836,0.391390,0.638934", \ "0.159746,0.172712,0.188561,0.220072,0.282767,0.407482,0.655624", \ "0.189028,0.201902,0.217537,0.248821,0.311224,0.435600,0.683504", \ "0.226944,0.240773,0.257014,0.288122,0.350317,0.474353,0.721911", \ "0.266152,0.281589,0.299846,0.334628,0.401036,0.525478,0.772636", \ "0.307376,0.324864,0.345461,0.384230,0.456691,0.589930,0.837728"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); values ("0.00603791,0.00708140,0.00834277,0.0107923,0.0154820,0.0243789,0.0413743", \ "0.00644930,0.00722221,0.00826389,0.0105305,0.0152326,0.0241633,0.0412221", \ "0.0138069,0.0144901,0.0152990,0.0168044,0.0195291,0.0253241,0.0408237", \ "0.0252349,0.0261996,0.0273564,0.0294882,0.0331764,0.0393940,0.0497578", \ "0.0403237,0.0418619,0.0435534,0.0465426,0.0515558,0.0596562,0.0725969", \ "0.0591440,0.0613799,0.0638689,0.0680604,0.0748266,0.0853029,0.101339", \ "0.0814458,0.0845724,0.0880431,0.0939329,0.102941,0.116443,0.136203"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); values ("0.0635160,0.0748225,0.0886719,0.116284,0.171333,0.281063,0.499933", \ "0.0635517,0.0748408,0.0886743,0.116283,0.171351,0.281052,0.499935", \ "0.0635819,0.0748585,0.0886856,0.116283,0.171335,0.281080,0.499925", \ "0.0637641,0.0749457,0.0887207,0.116306,0.171349,0.281072,0.499935", \ "0.0695894,0.0797090,0.0922629,0.117949,0.171461,0.281062,0.499934", \ "0.0803206,0.0912000,0.104345,0.130027,0.178913,0.282089,0.499927", \ "0.0952254,0.106372,0.119837,0.146111,0.196680,0.293832,0.500795"); } } internal_power () { related_pin : "A1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); values ("0.196719,0.168132,0.133224,0.069740,-0.000917,-0.001208,-0.001790", \ "0.072115,0.068067,0.055641,0.029311,-0.002649,-0.002941,-0.003523", \ "0.008093,0.006576,-0.003248,-0.008580,-0.008733,-0.009024,-0.009604", \ "0.158652,0.154373,0.154157,0.139640,0.117054,0.053173,0.007383", \ "0.573622,0.541039,0.525261,0.483640,0.433052,0.348054,0.221638", \ "1.268545,1.232680,1.189012,1.110540,0.986150,0.828659,0.628042", \ "2.248930,2.205470,2.150042,2.041437,1.858555,1.583469,1.248661"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); values ("7.878562,7.924125,7.960621,8.016014,7.996698,8.057002,8.043654", \ "7.551090,7.601659,7.649244,7.727382,7.830355,7.942013,7.974083", \ "7.443255,7.473426,7.487775,7.533121,7.600162,7.622023,7.785387", \ "7.729984,7.723256,7.721657,7.672789,7.632664,7.669196,7.671247", \ "8.326756,8.293020,8.253527,8.175468,8.111079,7.990185,7.820150", \ "9.027938,9.114937,9.152776,9.030959,8.822863,8.629770,8.356901", \ "10.001010,10.017120,10.053100,10.099690,9.975470,9.575309,9.113754"); } } internal_power () { related_pin : "A2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); values ("0.550520,0.593905,0.635256,0.709793,0.815209,0.944830,1.069096", \ "0.539598,0.571925,0.618274,0.701699,0.809618,0.939676,1.070814", \ "0.751204,0.756162,0.756028,0.735746,0.801297,0.912960,1.044309", \ "1.086170,1.084568,1.067365,1.065587,1.054925,0.994819,1.046186", \ "1.522280,1.511874,1.493077,1.475138,1.432535,1.355803,1.250924", \ "2.228974,2.200020,2.166412,2.112393,2.019252,1.873357,1.699158", \ "3.210641,3.163824,3.129659,3.042784,2.886777,2.659034,2.360222"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); values ("10.711520,10.731390,10.759470,10.787070,10.804170,10.856040,10.837670", \ "10.480360,10.519760,10.548790,10.612140,10.608560,10.691340,10.699900", \ "10.326150,10.342010,10.359170,10.390780,10.438130,10.529930,10.568160", \ "10.263140,10.288680,10.283060,10.282660,10.351910,10.343980,10.457100", \ "10.302270,10.308810,10.313740,10.315680,10.337370,10.310290,10.330770", \ "10.471320,10.545730,10.534190,10.520990,10.483040,10.409440,10.372610", \ "10.700880,10.757800,10.834940,10.967750,10.930970,10.773200,10.648170"); } } internal_power () { related_pin : "A3"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); values ("0.326628,0.432282,0.566157,0.771025,1.079478,1.444798,1.857156", \ "0.304331,0.373098,0.490405,0.694178,0.964779,1.340903,1.717925", \ "0.756219,0.761684,0.764155,0.804414,0.976595,1.250378,1.581079", \ "1.358787,1.356726,1.377890,1.362383,1.390905,1.404201,1.595570", \ "1.973366,1.984417,1.971619,1.966146,1.941795,1.910386,1.854472", \ "2.806187,2.779653,2.762175,2.713415,2.646354,2.532894,2.384196", \ "3.892976,3.862440,3.822543,3.755021,3.612118,3.401527,3.116262"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); values ("13.061430,13.096340,13.121450,13.134680,13.186300,13.153930,13.134180", \ "12.836550,12.876280,12.911450,12.940370,12.965350,13.047770,13.055690", \ "12.671840,12.703270,12.720580,12.739190,12.835590,12.843410,12.965290", \ "12.611890,12.624050,12.631480,12.658970,12.654880,12.731560,12.806580", \ "12.602540,12.621750,12.614910,12.600230,12.580080,12.642190,12.655130", \ "12.627130,12.702230,12.716710,12.671700,12.702760,12.665050,12.657900", \ "12.478970,12.561840,12.679410,12.840350,12.907870,12.818850,12.837510"); } } } } /****************************************************************************************** Module : NOR4_X1 Cell Description : Combinational cell (NOR4_X1) with drive strength X1 *******************************************************************************************/ cell (NOR4_X1) { drive_strength : 1; area : 1.330000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 14.186673; leakage_power () { when : "!A1 & !A2 & !A3 & !A4"; value : 49.216555; } leakage_power () { when : "!A1 & !A2 & !A3 & A4"; value : 7.075229; } leakage_power () { when : "!A1 & !A2 & A3 & !A4"; value : 7.157642; } leakage_power () { when : "!A1 & !A2 & A3 & A4"; value : 10.717840; } leakage_power () { when : "!A1 & A2 & !A3 & !A4"; value : 7.305956; } leakage_power () { when : "!A1 & A2 & !A3 & A4"; value : 10.723943; } leakage_power () { when : "!A1 & A2 & A3 & !A4"; value : 10.735627; } leakage_power () { when : "!A1 & A2 & A3 & A4"; value : 15.595349; } leakage_power () { when : "A1 & !A2 & !A3 & !A4"; value : 8.669947; } leakage_power () { when : "A1 & !A2 & !A3 & A4"; value : 10.766054; } leakage_power () { when : "A1 & !A2 & A3 & !A4"; value : 10.777755; } leakage_power () { when : "A1 & !A2 & A3 & A4"; value : 15.611381; } leakage_power () { when : "A1 & A2 & !A3 & !A4"; value : 10.789471; } leakage_power () { when : "A1 & A2 & !A3 & A4"; value : 15.613515; } leakage_power () { when : "A1 & A2 & A3 & !A4"; value : 15.622870; } leakage_power () { when : "A1 & A2 & A3 & A4"; value : 20.607638; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.645878; fall_capacitance : 1.328804; rise_capacitance : 1.645878; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.600285; fall_capacitance : 1.412834; rise_capacitance : 1.600285; } pin (A3) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.572029; fall_capacitance : 1.434498; rise_capacitance : 1.572029; } pin (A4) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.543096; fall_capacitance : 1.481550; rise_capacitance : 1.543096; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 10.455910; function : "!(((A1 | A2) | A3) | A4)"; timing () { related_pin : "A1"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); values ("0.00975370,0.0104071,0.0115310,0.0134936,0.0169105,0.0228650,0.0332598", \ "0.0151909,0.0158394,0.0169720,0.0189478,0.0223799,0.0283489,0.0387556", \ "0.0276080,0.0289416,0.0311308,0.0345526,0.0397202,0.0472531,0.0580384", \ "0.0377158,0.0400463,0.0438401,0.0497986,0.0586125,0.0711540,0.0884807", \ "0.0417845,0.0453755,0.0511199,0.0602633,0.0737804,0.0927504,0.118466", \ "0.0369016,0.0420412,0.0501187,0.0630933,0.0821783,0.108951,0.144818", \ "0.0211907,0.0280127,0.0389402,0.0559861,0.0814259,0.117128,0.164822"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); values ("0.127781,0.140038,0.161375,0.198466,0.262841,0.374237,0.568387", \ "0.124099,0.136500,0.158138,0.195837,0.261059,0.373628,0.568910", \ "0.133706,0.145705,0.166755,0.203806,0.268305,0.380717,0.576386", \ "0.164644,0.175846,0.195795,0.231626,0.294808,0.405602,0.599562", \ "0.218565,0.229923,0.248843,0.281437,0.342385,0.451143,0.642638", \ "0.280455,0.293968,0.316696,0.354222,0.414793,0.519526,0.708285", \ "0.349317,0.364937,0.391250,0.434595,0.504432,0.614482,0.798422"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); values ("0.00555278,0.00612236,0.00711186,0.00885445,0.0119035,0.0172336,0.0265609", \ "0.00581550,0.00628488,0.00716507,0.00885513,0.0119022,0.0172326,0.0265660", \ "0.0125834,0.0130578,0.0138543,0.0151684,0.0172648,0.0205366,0.0275187", \ "0.0240644,0.0247715,0.0259132,0.0276966,0.0305320,0.0348857,0.0414082", \ "0.0403324,0.0413315,0.0430755,0.0454780,0.0491794,0.0547565,0.0629541", \ "0.0614502,0.0628000,0.0653036,0.0686825,0.0734786,0.0804962,0.0905715", \ "0.0874434,0.0892914,0.0924000,0.0970468,0.103418,0.112316,0.124595"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); values ("0.114388,0.125277,0.144240,0.177013,0.233205,0.330367,0.499662", \ "0.113808,0.124856,0.143997,0.176898,0.233196,0.330355,0.499670", \ "0.112201,0.123610,0.143199,0.176555,0.233246,0.330370,0.499668", \ "0.108085,0.119244,0.139842,0.174981,0.232798,0.330363,0.499669", \ "0.117883,0.126735,0.143061,0.173306,0.229456,0.330056,0.499679", \ "0.139881,0.148961,0.165053,0.189659,0.236843,0.328214,0.499597", \ "0.164847,0.174423,0.190981,0.218659,0.264113,0.342665,0.498917"); } } timing () { related_pin : "A2"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); values ("0.0106867,0.0114299,0.0127082,0.0148940,0.0186174,0.0249323,0.0356901", \ "0.0163436,0.0170481,0.0182773,0.0204089,0.0240696,0.0303404,0.0410724", \ "0.0313471,0.0325293,0.0344910,0.0376346,0.0424666,0.0496286,0.0603043", \ "0.0454187,0.0473872,0.0506504,0.0559218,0.0639341,0.0756055,0.0921199", \ "0.0546923,0.0576653,0.0623921,0.0703615,0.0824339,0.0998625,0.124122", \ "0.0561801,0.0603958,0.0670421,0.0780569,0.0949726,0.119364,0.152972", \ "0.0478315,0.0534244,0.0624672,0.0769383,0.0992602,0.131596,0.176052"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); values ("0.199562,0.211727,0.232948,0.269755,0.333813,0.444935,0.638849", \ "0.198583,0.210871,0.232285,0.269568,0.334008,0.445933,0.640600", \ "0.209214,0.221374,0.242617,0.279690,0.344087,0.456037,0.651156", \ "0.235469,0.247472,0.268310,0.305076,0.369201,0.480755,0.675494", \ "0.275881,0.288004,0.309055,0.345449,0.409318,0.520424,0.714736", \ "0.325810,0.339665,0.363388,0.403158,0.467846,0.578633,0.772288", \ "0.386052,0.401530,0.427750,0.471360,0.542980,0.658952,0.851575"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); values ("0.00761302,0.00821663,0.00925947,0.0110495,0.0141233,0.0194234,0.0286545", \ "0.00743503,0.00798128,0.00898371,0.0108163,0.0139477,0.0193152,0.0285995", \ "0.0137581,0.0142144,0.0149652,0.0162071,0.0182222,0.0216007,0.0290756", \ "0.0249137,0.0256086,0.0267267,0.0284983,0.0312763,0.0355888,0.0420790", \ "0.0403746,0.0414132,0.0432021,0.0456355,0.0494130,0.0550885,0.0633712", \ "0.0603273,0.0617531,0.0643633,0.0678945,0.0729099,0.0802180,0.0905715", \ "0.0846201,0.0865888,0.0899846,0.0948805,0.101658,0.111070,0.123932"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); values ("0.115552,0.126088,0.144605,0.177112,0.233172,0.330344,0.499666", \ "0.115569,0.126104,0.144627,0.177083,0.233309,0.330348,0.499665", \ "0.115580,0.126108,0.144631,0.177085,0.233151,0.330330,0.499671", \ "0.115592,0.126130,0.144660,0.177127,0.233160,0.330330,0.499665", \ "0.119700,0.129206,0.146187,0.177528,0.233198,0.330338,0.499666", \ "0.137161,0.146485,0.161756,0.188646,0.238332,0.330684,0.499648", \ "0.157922,0.167257,0.183691,0.211805,0.258340,0.341773,0.500545"); } } timing () { related_pin : "A3"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); values ("0.00996054,0.0107127,0.0120177,0.0142767,0.0181587,0.0247791,0.0360380", \ "0.0158588,0.0165655,0.0178078,0.0199815,0.0237731,0.0303006,0.0414760", \ "0.0316522,0.0328399,0.0348130,0.0379748,0.0428360,0.0500561,0.0608744", \ "0.0476334,0.0495351,0.0527339,0.0578827,0.0657308,0.0772615,0.0936345", \ "0.0599992,0.0627797,0.0672183,0.0748299,0.0864465,0.103365,0.127121", \ "0.0656171,0.0694993,0.0756407,0.0858361,0.101942,0.125307,0.157849", \ "0.0623320,0.0674202,0.0756457,0.0890755,0.109962,0.140520,0.183322"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); values ("0.237523,0.249698,0.270926,0.307858,0.371795,0.482976,0.676911", \ "0.236925,0.249229,0.270646,0.307930,0.372466,0.484340,0.679007", \ "0.249534,0.261696,0.282948,0.320045,0.384378,0.496365,0.691501", \ "0.277362,0.289364,0.310249,0.347083,0.411268,0.522776,0.717579", \ "0.316289,0.328358,0.349182,0.385713,0.449577,0.560917,0.755328", \ "0.361445,0.374757,0.397626,0.435887,0.500097,0.611289,0.805229", \ "0.409579,0.424107,0.449037,0.490863,0.560778,0.676120,0.869697"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); values ("0.00771170,0.00839920,0.00957875,0.0115945,0.0150113,0.0207542,0.0304303", \ "0.00773438,0.00832595,0.00938877,0.0113538,0.0147842,0.0205533,0.0302742", \ "0.0147717,0.0152159,0.0159516,0.0171739,0.0191301,0.0225881,0.0303582", \ "0.0265626,0.0272269,0.0282819,0.0299489,0.0326421,0.0367977,0.0431605", \ "0.0423742,0.0433367,0.0450575,0.0473634,0.0509532,0.0564229,0.0645061", \ "0.0624087,0.0637439,0.0661611,0.0695832,0.0743849,0.0814488,0.0916068", \ "0.0866635,0.0884808,0.0916292,0.0962967,0.102825,0.112000,0.124697"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); values ("0.115560,0.126096,0.144634,0.177104,0.233231,0.330348,0.499665", \ "0.115578,0.126110,0.144640,0.177109,0.233204,0.330343,0.499668", \ "0.115606,0.126131,0.144643,0.177108,0.233216,0.330341,0.499669", \ "0.115629,0.126144,0.144648,0.177158,0.233146,0.330342,0.499669", \ "0.116942,0.127099,0.145232,0.177321,0.233200,0.330311,0.499663", \ "0.129798,0.139055,0.155279,0.184086,0.235865,0.330616,0.499647", \ "0.145973,0.155847,0.173156,0.202600,0.251659,0.338993,0.500345"); } } timing () { related_pin : "A4"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); values ("0.00965249,0.0103781,0.0116435,0.0138497,0.0176657,0.0242552,0.0355746", \ "0.0154845,0.0161748,0.0173812,0.0195092,0.0232465,0.0297481,0.0409798", \ "0.0310833,0.0322855,0.0342768,0.0374736,0.0423984,0.0496845,0.0605658", \ "0.0475787,0.0494875,0.0526959,0.0578778,0.0657647,0.0773513,0.0938143", \ "0.0614556,0.0641958,0.0685627,0.0761213,0.0876721,0.104513,0.128181", \ "0.0695021,0.0732660,0.0792336,0.0892654,0.105042,0.128071,0.160309", \ "0.0695080,0.0744030,0.0822777,0.0952782,0.115605,0.145501,0.187522"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); values ("0.248757,0.260949,0.282200,0.319143,0.383018,0.494251,0.688201", \ "0.249873,0.262178,0.283626,0.320844,0.385440,0.497300,0.691992", \ "0.265014,0.277188,0.298468,0.335503,0.399910,0.511826,0.706999", \ "0.293180,0.305192,0.326311,0.363149,0.427301,0.538849,0.733643", \ "0.331108,0.343127,0.363924,0.400610,0.464580,0.575874,0.770308", \ "0.375163,0.387951,0.409881,0.446979,0.511008,0.622197,0.816356", \ "0.419790,0.433494,0.457039,0.497145,0.564950,0.678632,0.872462"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); values ("0.00740200,0.00807641,0.00926047,0.0112924,0.0147834,0.0207287,0.0308141", \ "0.00761583,0.00817254,0.00921342,0.0111478,0.0146367,0.0205772,0.0306548", \ "0.0151669,0.0156122,0.0163519,0.0175618,0.0195255,0.0229944,0.0308574", \ "0.0276207,0.0282745,0.0293114,0.0309681,0.0335942,0.0377186,0.0440215", \ "0.0441383,0.0450763,0.0467485,0.0489952,0.0524996,0.0578172,0.0657800", \ "0.0648488,0.0661394,0.0684792,0.0717416,0.0763692,0.0832245,0.0931299", \ "0.0898155,0.0915407,0.0945786,0.0989657,0.105148,0.114062,0.126409"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); values ("0.115557,0.126104,0.144636,0.177150,0.233312,0.330349,0.499664", \ "0.115579,0.126114,0.144647,0.177185,0.233163,0.330328,0.499663", \ "0.115605,0.126133,0.144657,0.177151,0.233171,0.330335,0.499664", \ "0.115621,0.126148,0.144652,0.177155,0.233155,0.330327,0.499668", \ "0.116095,0.126496,0.144890,0.177240,0.233367,0.330318,0.499665", \ "0.123867,0.133519,0.150509,0.180667,0.234239,0.330383,0.499650", \ "0.136084,0.146240,0.163942,0.194323,0.245169,0.335898,0.500037"); } } internal_power () { related_pin : "A1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); values ("-0.000205,-0.000210,-0.000219,-0.000235,-0.000262,-0.000309,-0.000392", \ "-0.000776,-0.000781,-0.000790,-0.000805,-0.000832,-0.000880,-0.000963", \ "-0.002772,-0.002778,-0.002790,-0.002808,-0.002836,-0.002883,-0.002965", \ "-0.000640,-0.001527,-0.000256,-0.002996,-0.006635,-0.006698,-0.006791", \ "0.076758,0.074310,0.073421,0.069528,0.061468,0.051000,0.032513", \ "0.216927,0.213391,0.208020,0.198893,0.182150,0.162324,0.134025", \ "0.419818,0.413213,0.404369,0.390279,0.368156,0.332500,0.286381"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); values ("2.348950,2.352125,2.355951,2.365861,2.376473,2.377761,2.375195", \ "2.267413,2.274602,2.286827,2.303019,2.320003,2.330272,2.336777", \ "2.227964,2.230893,2.235937,2.239878,2.253401,2.260992,2.286502", \ "2.278902,2.278627,2.278250,2.272328,2.265356,2.262447,2.259840", \ "2.406047,2.402572,2.391196,2.378029,2.361836,2.347307,2.312949", \ "2.608210,2.599604,2.586904,2.567850,2.530756,2.492037,2.443057", \ "2.860521,2.871491,2.862110,2.833582,2.778712,2.725728,2.660991"); } } internal_power () { related_pin : "A2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); values ("0.159661,0.162326,0.171641,0.176794,0.182515,0.187844,0.196889", \ "0.145784,0.153748,0.160027,0.166056,0.178783,0.186255,0.199747", \ "0.175093,0.176385,0.168754,0.169988,0.178930,0.190636,0.208977", \ "0.250145,0.248379,0.248252,0.247704,0.244161,0.235001,0.228488", \ "0.341638,0.339841,0.340912,0.337950,0.332786,0.324656,0.309571", \ "0.482332,0.478997,0.476037,0.472182,0.457789,0.442054,0.418383", \ "0.683303,0.679849,0.672962,0.664263,0.646210,0.615106,0.575365"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); values ("2.925184,2.928823,2.928886,2.941468,2.941444,2.940837,2.936844", \ "2.872754,2.878472,2.885396,2.896641,2.900119,2.919970,2.922070", \ "2.827026,2.830529,2.832644,2.845048,2.848552,2.870079,2.876105", \ "2.807684,2.811343,2.809057,2.813632,2.818255,2.820917,2.840018", \ "2.810044,2.810036,2.815623,2.814760,2.821329,2.821477,2.821149", \ "2.855434,2.852687,2.850365,2.845173,2.839422,2.836144,2.830260", \ "2.983548,2.980282,2.971631,2.961208,2.942166,2.908458,2.888368"); } } internal_power () { related_pin : "A3"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); values ("0.092742,0.102191,0.133147,0.169643,0.224401,0.289717,0.369200", \ "0.101066,0.116065,0.140943,0.169956,0.220525,0.289355,0.364510", \ "0.203753,0.205612,0.205258,0.218302,0.246675,0.287824,0.354852", \ "0.341539,0.348107,0.347644,0.354436,0.357504,0.358885,0.370829", \ "0.480680,0.479573,0.480186,0.478194,0.480168,0.476280,0.472652", \ "0.647650,0.646887,0.644879,0.639611,0.629479,0.621249,0.603650", \ "0.872078,0.870382,0.865640,0.857542,0.840831,0.814690,0.777835"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); values ("3.443555,3.446146,3.451589,3.458757,3.466903,3.466466,3.462635", \ "3.390890,3.396269,3.402531,3.414194,3.423989,3.429099,3.430860", \ "3.345326,3.349658,3.353729,3.360564,3.367325,3.388603,3.394487", \ "3.327201,3.330251,3.333004,3.332237,3.347583,3.350848,3.353719", \ "3.318474,3.322068,3.321615,3.320135,3.332434,3.336844,3.334398", \ "3.327298,3.328346,3.328356,3.330571,3.335009,3.337364,3.334208", \ "3.378267,3.378772,3.379003,3.371737,3.362838,3.359071,3.353476"); } } internal_power () { related_pin : "A4"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); values ("0.010557,0.035263,0.062954,0.108395,0.174347,0.278841,0.400930", \ "0.014829,0.026862,0.055967,0.090730,0.167310,0.251489,0.372693", \ "0.137266,0.137756,0.137028,0.157195,0.192281,0.257482,0.358112", \ "0.327051,0.332843,0.337209,0.345833,0.354334,0.365335,0.401552", \ "0.512763,0.513346,0.515104,0.518964,0.519111,0.528349,0.537894", \ "0.715014,0.716346,0.718759,0.714252,0.709716,0.703045,0.700595", \ "0.973035,0.969047,0.968912,0.962001,0.945060,0.928071,0.900476"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); values ("3.952123,3.955152,3.962480,3.961905,3.973376,3.972298,3.968484", \ "3.900106,3.904450,3.915284,3.923990,3.939651,3.947312,3.947155", \ "3.855820,3.857922,3.862705,3.866590,3.875091,3.896435,3.902510", \ "3.836278,3.836808,3.842344,3.844141,3.853805,3.856919,3.860213", \ "3.827460,3.828835,3.823608,3.832995,3.840927,3.840856,3.841363", \ "3.827688,3.829784,3.828903,3.822727,3.835293,3.837263,3.835896", \ "3.859366,3.858501,3.860044,3.851265,3.843366,3.842531,3.839381"); } } } } /****************************************************************************************** Module : NOR4_X2 Cell Description : Combinational cell (NOR4_X2) with drive strength X2 *******************************************************************************************/ cell (NOR4_X2) { drive_strength : 2; area : 2.394000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 28.386936; leakage_power () { when : "!A1 & !A2 & !A3 & !A4"; value : 98.433300; } leakage_power () { when : "!A1 & !A2 & !A3 & A4"; value : 14.164984; } leakage_power () { when : "!A1 & !A2 & A3 & !A4"; value : 14.329772; } leakage_power () { when : "!A1 & !A2 & A3 & A4"; value : 21.450154; } leakage_power () { when : "!A1 & A2 & !A3 & !A4"; value : 14.626380; } leakage_power () { when : "!A1 & A2 & !A3 & A4"; value : 21.462381; } leakage_power () { when : "!A1 & A2 & A3 & !A4"; value : 21.485760; } leakage_power () { when : "!A1 & A2 & A3 & A4"; value : 31.205155; } leakage_power () { when : "A1 & !A2 & !A3 & !A4"; value : 17.354381; } leakage_power () { when : "A1 & !A2 & !A3 & A4"; value : 21.546598; } leakage_power () { when : "A1 & !A2 & A3 & !A4"; value : 21.569997; } leakage_power () { when : "A1 & !A2 & A3 & A4"; value : 31.237216; } leakage_power () { when : "A1 & A2 & !A3 & !A4"; value : 21.593443; } leakage_power () { when : "A1 & A2 & !A3 & A4"; value : 31.241480; } leakage_power () { when : "A1 & A2 & A3 & !A4"; value : 31.260190; } leakage_power () { when : "A1 & A2 & A3 & A4"; value : 41.229787; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.216793; fall_capacitance : 2.570009; rise_capacitance : 3.216793; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.257957; fall_capacitance : 2.873703; rise_capacitance : 3.257957; } pin (A3) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.380180; fall_capacitance : 3.098853; rise_capacitance : 3.380180; } pin (A4) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.488202; fall_capacitance : 3.354891; rise_capacitance : 3.488202; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 20.875840; function : "!(((A1 | A2) | A3) | A4)"; timing () { related_pin : "A1"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); values ("0.00942404,0.00984178,0.0106581,0.0122522,0.0153611,0.0214284,0.0333024", \ "0.0148565,0.0152771,0.0160968,0.0177003,0.0208207,0.0269067,0.0387952", \ "0.0268469,0.0277445,0.0294353,0.0324218,0.0374684,0.0455579,0.0580804", \ "0.0363533,0.0379362,0.0409066,0.0461200,0.0547851,0.0683506,0.0885401", \ "0.0396166,0.0420727,0.0465712,0.0545849,0.0679366,0.0885425,0.118558", \ "0.0338058,0.0372809,0.0436689,0.0549658,0.0738968,0.103009,0.144950", \ "0.0170615,0.0216625,0.0301652,0.0450526,0.0702904,0.109195,0.165025"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); values ("0.120092,0.128005,0.143447,0.173617,0.232223,0.346020,0.568055", \ "0.116327,0.124299,0.139932,0.170578,0.230053,0.345202,0.568564", \ "0.126302,0.133934,0.149126,0.179022,0.237702,0.352308,0.576083", \ "0.157821,0.164855,0.179020,0.207761,0.264930,0.377674,0.599346", \ "0.211332,0.218798,0.233046,0.259185,0.313341,0.423781,0.642580", \ "0.271822,0.280723,0.297697,0.329298,0.386800,0.492467,0.707970", \ "0.339348,0.349636,0.369250,0.405895,0.472052,0.587736,0.798134"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); values ("0.00521118,0.00557401,0.00628879,0.00769651,0.0104624,0.0158939,0.0265531", \ "0.00552808,0.00582534,0.00642635,0.00770489,0.0104619,0.0158933,0.0265527", \ "0.0122512,0.0125700,0.0131536,0.0142782,0.0162653,0.0197008,0.0275067", \ "0.0235843,0.0240349,0.0248636,0.0264206,0.0291460,0.0337813,0.0413610", \ "0.0396592,0.0402757,0.0415532,0.0437072,0.0473069,0.0533128,0.0628456", \ "0.0604591,0.0613888,0.0631476,0.0662815,0.0710368,0.0786338,0.0903888", \ "0.0861105,0.0873566,0.0896915,0.0940784,0.100343,0.110004,0.124361"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); values ("0.107738,0.114792,0.128509,0.155188,0.206346,0.305719,0.499619", \ "0.107024,0.114207,0.128113,0.155011,0.206302,0.305771,0.499616", \ "0.105160,0.112613,0.126956,0.154416,0.206174,0.305719,0.499619", \ "0.101421,0.108496,0.122591,0.151825,0.205446,0.305693,0.499621", \ "0.112887,0.118278,0.129492,0.153024,0.202383,0.305124,0.499629", \ "0.134460,0.140313,0.151778,0.173559,0.213878,0.304374,0.499535", \ "0.159088,0.165323,0.177379,0.200584,0.243152,0.322015,0.498874"); } } timing () { related_pin : "A2"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); values ("0.0102558,0.0107386,0.0116753,0.0134740,0.0169023,0.0233946,0.0357221", \ "0.0159367,0.0163938,0.0172842,0.0190247,0.0223826,0.0288144,0.0411060", \ "0.0306452,0.0314341,0.0329382,0.0356491,0.0403245,0.0479905,0.0603401", \ "0.0442252,0.0455541,0.0480944,0.0526434,0.0604144,0.0729574,0.0921822", \ "0.0528665,0.0548864,0.0585819,0.0654263,0.0771894,0.0959690,0.124246", \ "0.0536067,0.0564534,0.0617100,0.0710879,0.0876280,0.113936,0.153148", \ "0.0444316,0.0481810,0.0551598,0.0674948,0.0893729,0.124309,0.176246"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); values ("0.191911,0.199749,0.215085,0.245053,0.303338,0.416798,0.638527", \ "0.190868,0.198774,0.214259,0.244541,0.303375,0.417625,0.640279", \ "0.201603,0.209422,0.224772,0.254788,0.313392,0.427701,0.650840", \ "0.227873,0.235645,0.250762,0.280345,0.338660,0.452476,0.675189", \ "0.268219,0.276076,0.291361,0.320788,0.378767,0.492228,0.714367", \ "0.316979,0.326034,0.343461,0.376722,0.437506,0.550590,0.772029", \ "0.376131,0.386303,0.405749,0.442325,0.509433,0.630716,0.851245"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); values ("0.00723786,0.00763737,0.00839390,0.00986284,0.0126830,0.0181076,0.0286679", \ "0.00710613,0.00745289,0.00815254,0.00960432,0.0124834,0.0179821,0.0286112", \ "0.0134566,0.0137566,0.0143124,0.0153675,0.0172669,0.0206937,0.0290833", \ "0.0244418,0.0248995,0.0257067,0.0272268,0.0299182,0.0345065,0.0420392", \ "0.0396794,0.0403495,0.0416672,0.0438426,0.0475334,0.0536271,0.0632867", \ "0.0593385,0.0603034,0.0621464,0.0653972,0.0703546,0.0782850,0.0904098", \ "0.0832867,0.0845878,0.0870626,0.0917045,0.0984017,0.108663,0.123698"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); values ("0.109185,0.115957,0.129256,0.155499,0.206384,0.305742,0.499617", \ "0.109214,0.115973,0.129266,0.155499,0.206391,0.305716,0.499617", \ "0.109219,0.115984,0.129269,0.155495,0.206400,0.305758,0.499616", \ "0.109242,0.116005,0.129296,0.155512,0.206420,0.305710,0.499622", \ "0.114050,0.120092,0.132133,0.156425,0.206467,0.305722,0.499615", \ "0.131457,0.137585,0.149173,0.170758,0.214427,0.306511,0.499603", \ "0.152370,0.158369,0.170148,0.193359,0.237144,0.320007,0.500504"); } } timing () { related_pin : "A3"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); values ("0.00952658,0.0100102,0.0109577,0.0128043,0.0163618,0.0231625,0.0360638", \ "0.0154514,0.0159042,0.0168019,0.0185630,0.0220130,0.0287015,0.0415004", \ "0.0309331,0.0317307,0.0332360,0.0359691,0.0406746,0.0483936,0.0609031", \ "0.0464728,0.0477564,0.0502283,0.0546782,0.0622906,0.0746474,0.0936887", \ "0.0582764,0.0601775,0.0636526,0.0701449,0.0814183,0.0995891,0.127212", \ "0.0632403,0.0658579,0.0706825,0.0793541,0.0949744,0.120087,0.158030", \ "0.0592299,0.0626407,0.0689925,0.0803201,0.100648,0.133656,0.183522"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); values ("0.229863,0.237713,0.253067,0.283079,0.341378,0.454881,0.676589", \ "0.229208,0.237120,0.252605,0.282871,0.341711,0.456038,0.678663", \ "0.241927,0.249756,0.265105,0.295167,0.353779,0.468099,0.691194", \ "0.269777,0.277546,0.292654,0.322363,0.380666,0.494534,0.717256", \ "0.308690,0.316490,0.331681,0.360981,0.419032,0.532705,0.754977", \ "0.353016,0.361663,0.378433,0.410336,0.469594,0.582889,0.804801", \ "0.400335,0.409816,0.428111,0.463034,0.527910,0.647695,0.869335"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); values ("0.00730245,0.00773932,0.00860022,0.0102638,0.0134152,0.0193310,0.0304317", \ "0.00739740,0.00775565,0.00849398,0.0100258,0.0131814,0.0191264,0.0302729", \ "0.0144787,0.0147640,0.0153094,0.0163405,0.0182103,0.0216363,0.0303530", \ "0.0261136,0.0265554,0.0273222,0.0287495,0.0313287,0.0357531,0.0431099", \ "0.0417340,0.0423523,0.0435821,0.0456489,0.0491442,0.0549984,0.0644096", \ "0.0614897,0.0623968,0.0641141,0.0672087,0.0719226,0.0795598,0.0914265", \ "0.0854121,0.0866418,0.0889421,0.0932624,0.0996793,0.109622,0.124437"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); values ("0.109193,0.115962,0.129260,0.155509,0.206396,0.305757,0.499616", \ "0.109224,0.115985,0.129273,0.155499,0.206397,0.305743,0.499614", \ "0.109261,0.116014,0.129293,0.155520,0.206384,0.305780,0.499616", \ "0.109284,0.116033,0.129302,0.155521,0.206409,0.305709,0.499621", \ "0.110889,0.117340,0.130190,0.155916,0.206350,0.305902,0.499617", \ "0.124171,0.130200,0.141873,0.164909,0.211028,0.306068,0.499607", \ "0.140060,0.146410,0.158862,0.183210,0.229068,0.316493,0.500291"); } } timing () { related_pin : "A4"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); values ("0.00923076,0.00970156,0.0106204,0.0124090,0.0158930,0.0226272,0.0355887", \ "0.0150907,0.0155304,0.0164011,0.0181200,0.0215093,0.0281436,0.0409939", \ "0.0303520,0.0311556,0.0326884,0.0354389,0.0402055,0.0480010,0.0605848", \ "0.0464025,0.0476912,0.0501784,0.0546481,0.0623028,0.0747212,0.0938572", \ "0.0597418,0.0616221,0.0650396,0.0714647,0.0826624,0.100736,0.128291", \ "0.0671716,0.0697218,0.0744110,0.0828747,0.0981961,0.122937,0.160458", \ "0.0665069,0.0697887,0.0758946,0.0867892,0.106536,0.138747,0.187713"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); values ("0.241088,0.248951,0.264317,0.294345,0.352610,0.466142,0.687873", \ "0.242153,0.250070,0.265578,0.295887,0.354694,0.469038,0.691654", \ "0.257399,0.265236,0.280575,0.310614,0.369238,0.483558,0.706679", \ "0.285609,0.293385,0.308538,0.338397,0.396749,0.510658,0.733313", \ "0.323545,0.331311,0.346456,0.375860,0.433931,0.547655,0.769978", \ "0.367090,0.375379,0.391460,0.422019,0.480496,0.594001,0.815949", \ "0.411120,0.420019,0.437275,0.470269,0.532883,0.650340,0.872040"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); values ("0.00698374,0.00742672,0.00827237,0.00993910,0.0131387,0.0192484,0.0308006", \ "0.00727311,0.00762863,0.00834148,0.00983795,0.0129956,0.0190957,0.0306396", \ "0.0148733,0.0151640,0.0157064,0.0167374,0.0185956,0.0220155,0.0308325", \ "0.0271865,0.0276173,0.0283571,0.0297720,0.0323005,0.0366729,0.0439710", \ "0.0435074,0.0441273,0.0453126,0.0473230,0.0507289,0.0564355,0.0656620", \ "0.0639821,0.0648412,0.0665133,0.0694899,0.0740236,0.0814183,0.0929683", \ "0.0886242,0.0897956,0.0919886,0.0960561,0.102192,0.111757,0.126172"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); values ("0.109198,0.115964,0.129270,0.155500,0.206411,0.305759,0.499615", \ "0.109229,0.115987,0.129282,0.155509,0.206397,0.305757,0.499615", \ "0.109260,0.116014,0.129299,0.155523,0.206374,0.305756,0.499615", \ "0.109273,0.116027,0.129304,0.155534,0.206424,0.305751,0.499619", \ "0.109855,0.116497,0.129638,0.155684,0.206373,0.305744,0.499619", \ "0.118074,0.124267,0.136437,0.160590,0.208574,0.305844,0.499609", \ "0.129968,0.136513,0.149316,0.174305,0.221459,0.312662,0.499994"); } } internal_power () { related_pin : "A1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); values ("0.136535,0.138314,0.131521,0.115203,0.086873,0.040001,-0.000785", \ "0.104859,0.106927,0.104291,0.097461,0.083326,0.054746,0.008080", \ "0.082633,0.080649,0.073223,0.064902,0.047691,0.045240,0.033831", \ "0.140977,0.136491,0.137463,0.135786,0.125180,0.107184,0.064881", \ "0.297318,0.291541,0.290503,0.283519,0.270073,0.245081,0.201215", \ "0.577972,0.571504,0.558385,0.541782,0.516158,0.472816,0.402551", \ "0.980824,0.976694,0.965024,0.938936,0.895707,0.819386,0.711625"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); values ("4.582153,4.588257,4.599822,4.615952,4.627097,4.651411,4.640729", \ "4.417542,4.427558,4.443090,4.466697,4.505150,4.553794,4.564260", \ "4.342940,4.346760,4.358214,4.369800,4.390750,4.415291,4.464029", \ "4.448225,4.448433,4.450632,4.451034,4.427765,4.424456,4.411541", \ "4.706291,4.702591,4.692742,4.668438,4.642200,4.601238,4.519943", \ "5.114907,5.106581,5.091211,5.048418,4.982073,4.911621,4.776754", \ "5.601024,5.611541,5.643345,5.596250,5.495159,5.360901,5.212531"); } } internal_power () { related_pin : "A2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); values ("0.456312,0.462835,0.476110,0.485464,0.502389,0.513188,0.534982", \ "0.438630,0.444517,0.454279,0.467309,0.488882,0.510640,0.534920", \ "0.490443,0.487142,0.482093,0.485315,0.493968,0.518248,0.558682", \ "0.634855,0.637132,0.632600,0.636329,0.629763,0.618127,0.594578", \ "0.824819,0.824388,0.819854,0.817910,0.806747,0.792398,0.750262", \ "1.103709,1.102281,1.098849,1.086721,1.063859,1.029393,0.974380", \ "1.507916,1.503534,1.496586,1.478009,1.442767,1.380362,1.290293"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); values ("5.735488,5.740176,5.746645,5.754694,5.765843,5.777035,5.762495", \ "5.629245,5.635220,5.645946,5.657594,5.676473,5.701451,5.732588", \ "5.539335,5.543835,5.555475,5.559343,5.569018,5.601856,5.641287", \ "5.501026,5.504722,5.508629,5.516392,5.528332,5.539352,5.571856", \ "5.507779,5.510490,5.516113,5.510920,5.511243,5.509597,5.533406", \ "5.606145,5.601334,5.597280,5.598272,5.585884,5.573540,5.549849", \ "5.843346,5.857826,5.849910,5.837053,5.789970,5.722817,5.667433"); } } internal_power () { related_pin : "A3"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); values ("0.305680,0.328378,0.362522,0.429461,0.536246,0.690546,0.877060", \ "0.311738,0.340305,0.371508,0.435968,0.544954,0.688684,0.870533", \ "0.544525,0.546005,0.549572,0.557628,0.599503,0.697433,0.848602", \ "0.827438,0.825748,0.834557,0.844322,0.850975,0.856712,0.879474", \ "1.093257,1.098944,1.094919,1.098460,1.090843,1.093608,1.085894", \ "1.437793,1.432844,1.427254,1.417038,1.398723,1.382423,1.342892", \ "1.888522,1.881026,1.873951,1.858793,1.830673,1.777031,1.695047"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); values ("6.772126,6.777075,6.782399,6.798559,6.789609,6.797879,6.814702", \ "6.665557,6.672543,6.688685,6.701272,6.729990,6.751762,6.751443", \ "6.576272,6.580619,6.593420,6.598516,6.617471,6.639613,6.678599", \ "6.540381,6.544260,6.545237,6.547133,6.550146,6.563905,6.596621", \ "6.524062,6.527120,6.536524,6.523129,6.519971,6.564650,6.558195", \ "6.541846,6.545037,6.543750,6.546734,6.529818,6.537205,6.558776", \ "6.646036,6.647309,6.650117,6.637650,6.627977,6.618023,6.598071"); } } internal_power () { related_pin : "A4"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); values ("0.144709,0.162562,0.209856,0.304161,0.442546,0.641941,0.937415", \ "0.148654,0.171415,0.200255,0.288519,0.413749,0.615771,0.878489", \ "0.407523,0.412029,0.418840,0.429001,0.499245,0.625819,0.855087", \ "0.799621,0.799921,0.800588,0.817195,0.826718,0.855802,0.935327", \ "1.154822,1.163009,1.158493,1.162001,1.183272,1.194937,1.213030", \ "1.569758,1.564215,1.563585,1.557483,1.561501,1.542822,1.537557", \ "2.088293,2.082863,2.079470,2.063330,2.042189,1.994235,1.934002"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); values ("7.789558,7.794581,7.805040,7.817660,7.802222,7.840884,7.826554", \ "7.684707,7.690814,7.703641,7.727271,7.731885,7.784129,7.784002", \ "7.597023,7.602073,7.610510,7.617656,7.633429,7.655432,7.694455", \ "7.558425,7.562217,7.563937,7.578262,7.584396,7.608428,7.608089", \ "7.541410,7.544967,7.544955,7.548702,7.532843,7.575311,7.572399", \ "7.547983,7.545745,7.552824,7.542101,7.526631,7.567901,7.560622", \ "7.608775,7.609337,7.609482,7.602219,7.583226,7.581758,7.569177"); } } } } /****************************************************************************************** Module : NOR4_X4 Cell Description : Combinational cell (NOR4_X4) with drive strength X4 *******************************************************************************************/ cell (NOR4_X4) { drive_strength : 4; area : 4.788000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 56.773696; leakage_power () { when : "!A1 & !A2 & !A3 & !A4"; value : 196.864700; } leakage_power () { when : "!A1 & !A2 & !A3 & A4"; value : 28.329931; } leakage_power () { when : "!A1 & !A2 & A3 & !A4"; value : 28.659609; } leakage_power () { when : "!A1 & !A2 & A3 & A4"; value : 42.900318; } leakage_power () { when : "!A1 & A2 & !A3 & !A4"; value : 29.252808; } leakage_power () { when : "!A1 & A2 & !A3 & A4"; value : 42.924667; } leakage_power () { when : "!A1 & A2 & A3 & !A4"; value : 42.971445; } leakage_power () { when : "!A1 & A2 & A3 & A4"; value : 62.410221; } leakage_power () { when : "A1 & !A2 & !A3 & !A4"; value : 34.708820; } leakage_power () { when : "A1 & !A2 & !A3 & A4"; value : 43.093111; } leakage_power () { when : "A1 & !A2 & A3 & !A4"; value : 43.139918; } leakage_power () { when : "A1 & !A2 & A3 & A4"; value : 62.474337; } leakage_power () { when : "A1 & A2 & !A3 & !A4"; value : 43.186800; } leakage_power () { when : "A1 & A2 & !A3 & A4"; value : 62.482868; } leakage_power () { when : "A1 & A2 & A3 & !A4"; value : 62.520288; } leakage_power () { when : "A1 & A2 & A3 & A4"; value : 82.459293; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 6.254899; fall_capacitance : 4.969605; rise_capacitance : 6.254899; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 5.937367; fall_capacitance : 5.191097; rise_capacitance : 5.937367; } pin (A3) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 5.839495; fall_capacitance : 5.275302; rise_capacitance : 5.839495; } pin (A4) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 5.831963; fall_capacitance : 5.554399; rise_capacitance : 5.831963; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 41.491670; function : "!(((A1 | A2) | A3) | A4)"; timing () { related_pin : "A1"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); values ("0.00936013,0.00991593,0.0106854,0.0122160,0.0152537,0.0212923,0.0333307", \ "0.0147761,0.0153368,0.0161104,0.0176483,0.0206992,0.0267558,0.0388104", \ "0.0265702,0.0277919,0.0293857,0.0322675,0.0372411,0.0453536,0.0580904", \ "0.0358407,0.0379969,0.0407892,0.0458159,0.0543511,0.0679655,0.0885198", \ "0.0387590,0.0420320,0.0463543,0.0540993,0.0672363,0.0879282,0.118499", \ "0.0325636,0.0372068,0.0432472,0.0542097,0.0728660,0.102116,0.144825", \ "0.0153703,0.0215189,0.0295476,0.0440432,0.0689179,0.107985,0.164829"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); values ("0.112521,0.122983,0.137513,0.166458,0.223672,0.337035,0.562213", \ "0.108961,0.119469,0.134154,0.163455,0.221529,0.336202,0.562805", \ "0.119539,0.129629,0.143811,0.172338,0.229500,0.343598,0.570524", \ "0.152178,0.161240,0.174339,0.201590,0.257170,0.369298,0.594092", \ "0.206091,0.215914,0.229216,0.254316,0.306154,0.415712,0.637588", \ "0.266394,0.278177,0.294063,0.324330,0.380390,0.484930,0.703265", \ "0.333705,0.347344,0.365745,0.400820,0.465504,0.580693,0.793528"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); values ("0.00508253,0.00556368,0.00623753,0.00758445,0.0102829,0.0156817,0.0264848", \ "0.00542785,0.00581352,0.00638079,0.00759743,0.0102844,0.0156836,0.0264869", \ "0.0121507,0.0125553,0.0131120,0.0141921,0.0161442,0.0195736,0.0274501", \ "0.0234000,0.0239811,0.0247705,0.0262643,0.0289682,0.0336086,0.0413161", \ "0.0393488,0.0402594,0.0413581,0.0434189,0.0470174,0.0530376,0.0627693", \ "0.0600219,0.0612749,0.0629244,0.0658380,0.0705739,0.0782651,0.0902769", \ "0.0854512,0.0871407,0.0893464,0.0934231,0.0996940,0.109460,0.124169"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); values ("0.104750,0.114309,0.127398,0.153209,0.203449,0.302475,0.499321", \ "0.103662,0.113430,0.126775,0.152880,0.203326,0.302477,0.499321", \ "0.101189,0.111373,0.125185,0.151993,0.203060,0.302447,0.499296", \ "0.0975098,0.106949,0.120359,0.148579,0.202004,0.302373,0.499322", \ "0.110111,0.117090,0.127586,0.150154,0.198491,0.301369,0.499327", \ "0.131567,0.139294,0.149990,0.171239,0.210446,0.300452,0.499127", \ "0.156263,0.164518,0.175802,0.197840,0.239571,0.318439,0.498011"); } } timing () { related_pin : "A2"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); values ("0.0101938,0.0108409,0.0117306,0.0134753,0.0168563,0.0233730,0.0359475", \ "0.0159019,0.0165118,0.0173592,0.0190362,0.0223426,0.0287902,0.0413211", \ "0.0306290,0.0316985,0.0331202,0.0357260,0.0403207,0.0479903,0.0605520", \ "0.0442845,0.0461068,0.0484989,0.0528606,0.0604504,0.0729789,0.0924863", \ "0.0530266,0.0557144,0.0592409,0.0658148,0.0772981,0.0960095,0.124684", \ "0.0539142,0.0576646,0.0625799,0.0716771,0.0878051,0.114007,0.153728", \ "0.0448831,0.0498217,0.0563450,0.0682313,0.0896425,0.124414,0.177013"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); values ("0.192259,0.202680,0.217130,0.245894,0.302789,0.415772,0.640632", \ "0.191321,0.201826,0.216404,0.245456,0.302913,0.416719,0.642486", \ "0.202203,0.212602,0.227038,0.255860,0.313067,0.426848,0.653137", \ "0.228754,0.239078,0.253337,0.281678,0.338608,0.451948,0.677784", \ "0.269199,0.279588,0.293951,0.322141,0.378730,0.491718,0.717048", \ "0.317681,0.329664,0.345988,0.377750,0.437166,0.549761,0.774409", \ "0.375696,0.389201,0.407478,0.442594,0.508285,0.629193,0.853057"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); values ("0.00717183,0.00770225,0.00842951,0.00986100,0.0126478,0.0180872,0.0288298", \ "0.00705563,0.00751839,0.00818416,0.00958735,0.0124327,0.0179487,0.0287640", \ "0.0134684,0.0138523,0.0143740,0.0153822,0.0172478,0.0206492,0.0291862", \ "0.0244099,0.0249667,0.0257186,0.0271937,0.0298371,0.0344212,0.0420789", \ "0.0395440,0.0404289,0.0415762,0.0436578,0.0473148,0.0534254,0.0632599", \ "0.0590158,0.0603221,0.0619902,0.0649930,0.0699384,0.0779355,0.0903172", \ "0.0827597,0.0845209,0.0868316,0.0911203,0.0977418,0.108107,0.123504"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); values ("0.107448,0.116425,0.128936,0.153956,0.203627,0.302483,0.499317", \ "0.107486,0.116457,0.128957,0.153969,0.203608,0.302488,0.499311", \ "0.107492,0.116463,0.128961,0.153953,0.203602,0.302473,0.499301", \ "0.107469,0.116447,0.128956,0.153977,0.203630,0.302474,0.499316", \ "0.111783,0.119888,0.131302,0.154758,0.203759,0.302471,0.499314", \ "0.128807,0.137004,0.147811,0.168539,0.211564,0.303316,0.499310", \ "0.149911,0.157890,0.168966,0.191142,0.234137,0.316874,0.500108"); } } timing () { related_pin : "A3"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); values ("0.00949304,0.0101383,0.0110377,0.0128126,0.0163099,0.0231234,0.0363018", \ "0.0154288,0.0160325,0.0168818,0.0185750,0.0219652,0.0286673,0.0417397", \ "0.0308925,0.0319733,0.0334021,0.0360342,0.0406720,0.0484137,0.0611719", \ "0.0465365,0.0483377,0.0506744,0.0549258,0.0623958,0.0747568,0.0941107", \ "0.0586225,0.0611339,0.0644721,0.0707253,0.0817341,0.0998512,0.127881", \ "0.0639433,0.0674019,0.0719375,0.0803837,0.0955771,0.120561,0.158988", \ "0.0603645,0.0648565,0.0707910,0.0816710,0.101540,0.134366,0.184811"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); values ("0.235530,0.245969,0.260439,0.289219,0.346125,0.459100,0.683949", \ "0.234948,0.245451,0.260050,0.289097,0.346541,0.460355,0.686099", \ "0.247705,0.258097,0.272549,0.301397,0.358593,0.472395,0.698666", \ "0.275997,0.286343,0.300614,0.329040,0.385957,0.499338,0.725171", \ "0.315604,0.325965,0.340268,0.368327,0.425011,0.538128,0.763575", \ "0.361006,0.372419,0.388099,0.418299,0.475978,0.588823,0.813924", \ "0.408567,0.421076,0.438173,0.471417,0.534602,0.653632,0.878454"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); values ("0.00717026,0.00775723,0.00857880,0.0101910,0.0133181,0.0192999,0.0307049", \ "0.00731636,0.00779905,0.00850107,0.00997891,0.0130970,0.0191029,0.0305453", \ "0.0145027,0.0148701,0.0153806,0.0163719,0.0182232,0.0216506,0.0305858", \ "0.0261879,0.0266928,0.0274057,0.0288022,0.0313439,0.0357757,0.0432796", \ "0.0417638,0.0425937,0.0436722,0.0456317,0.0490919,0.0549728,0.0645543", \ "0.0614591,0.0626758,0.0642367,0.0670674,0.0717353,0.0794314,0.0915265", \ "0.0852767,0.0868832,0.0890532,0.0930624,0.0993449,0.109320,0.124450"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); values ("0.107465,0.116437,0.128948,0.153968,0.203624,0.302476,0.499298", \ "0.107506,0.116476,0.128972,0.153971,0.203596,0.302489,0.499322", \ "0.107559,0.116509,0.129001,0.153977,0.203634,0.302478,0.499299", \ "0.107588,0.116534,0.129026,0.154006,0.203625,0.302488,0.499309", \ "0.108908,0.117553,0.129722,0.154288,0.203686,0.302465,0.499309", \ "0.121271,0.129247,0.140279,0.162544,0.207874,0.302813,0.499315", \ "0.136768,0.145248,0.157007,0.180352,0.225298,0.312825,0.499837"); } } timing () { related_pin : "A4"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); values ("0.00923467,0.00986361,0.0107315,0.0124606,0.0158810,0.0226232,0.0358461", \ "0.0150956,0.0156836,0.0165070,0.0181640,0.0214939,0.0281346,0.0412501", \ "0.0303045,0.0313990,0.0328418,0.0354960,0.0401948,0.0480176,0.0608556", \ "0.0464278,0.0482180,0.0505573,0.0548450,0.0623494,0.0747845,0.0942594", \ "0.0599983,0.0624870,0.0657717,0.0719720,0.0829103,0.100958,0.128945", \ "0.0677785,0.0711500,0.0755745,0.0838378,0.0987519,0.123386,0.161440", \ "0.0675782,0.0719108,0.0776272,0.0881378,0.107452,0.139514,0.189091"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); values ("0.248510,0.258951,0.273422,0.302206,0.359114,0.472097,0.696944", \ "0.249616,0.260127,0.274721,0.303791,0.361223,0.475005,0.700749", \ "0.265014,0.275411,0.289884,0.318720,0.375910,0.489700,0.715969", \ "0.293691,0.304038,0.318344,0.346943,0.403879,0.517253,0.743095", \ "0.332436,0.342756,0.357019,0.385181,0.441960,0.555101,0.780553", \ "0.377288,0.388253,0.403341,0.432266,0.489360,0.602250,0.827433", \ "0.422408,0.434157,0.450298,0.481648,0.542638,0.659260,0.884121"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); values ("0.00687490,0.00745805,0.00827235,0.00988048,0.0130434,0.0192005,0.0310645", \ "0.00720947,0.00767208,0.00834957,0.00979619,0.0129118,0.0190601,0.0309089", \ "0.0148702,0.0152427,0.0157536,0.0167580,0.0185934,0.0220414,0.0310912", \ "0.0272093,0.0277127,0.0284212,0.0297971,0.0323053,0.0367046,0.0441818", \ "0.0435276,0.0443471,0.0454178,0.0473342,0.0507114,0.0564519,0.0658705", \ "0.0640058,0.0651725,0.0666719,0.0694156,0.0739319,0.0813816,0.0931760", \ "0.0886184,0.0901310,0.0921939,0.0960321,0.102019,0.111628,0.126319"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); values ("0.107460,0.116441,0.128959,0.153955,0.203636,0.302479,0.499303", \ "0.107506,0.116478,0.128983,0.153974,0.203633,0.302478,0.499303", \ "0.107560,0.116510,0.129003,0.153983,0.203619,0.302476,0.499303", \ "0.107584,0.116534,0.129022,0.154002,0.203597,0.302470,0.499320", \ "0.108054,0.116892,0.129271,0.154091,0.203625,0.302472,0.499301", \ "0.115394,0.123659,0.135188,0.158444,0.205529,0.302581,0.499312", \ "0.126952,0.135649,0.147705,0.171623,0.217774,0.308992,0.499609"); } } internal_power () { related_pin : "A1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); values ("0.344270,0.339007,0.322700,0.295088,0.239126,0.135415,-0.001569", \ "0.270141,0.266258,0.266502,0.251645,0.220694,0.152091,0.047101", \ "0.202445,0.198637,0.182118,0.167165,0.129058,0.121457,0.085471", \ "0.299145,0.288134,0.290868,0.281394,0.266635,0.225082,0.144075", \ "0.594908,0.586040,0.575109,0.566643,0.541924,0.493521,0.412353", \ "1.147497,1.137785,1.115259,1.084487,1.036477,0.944962,0.808159", \ "1.951288,1.940215,1.916069,1.865308,1.783096,1.639853,1.423553"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); values ("9.093043,9.118762,9.145590,9.183285,9.205820,9.249154,9.215002", \ "8.775955,8.803037,8.826931,8.878446,8.955328,9.049998,9.125148", \ "8.630236,8.648226,8.662855,8.680638,8.704698,8.772218,8.857147", \ "8.854508,8.850613,8.856246,8.856170,8.843415,8.790945,8.814811", \ "9.377637,9.361642,9.343600,9.295365,9.254183,9.147010,9.033430", \ "10.205170,10.176370,10.139620,10.083150,9.937799,9.800771,9.549984", \ "11.166630,11.197370,11.247410,11.160120,10.982060,10.740410,10.355970"); } } internal_power () { related_pin : "A2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); values ("0.921382,0.940558,0.970810,0.979437,1.017499,1.041344,1.060195", \ "0.892278,0.895503,0.919798,0.946402,0.985753,1.024025,1.067090", \ "0.996042,0.994191,0.975664,0.973559,0.980713,1.030855,1.100104", \ "1.262729,1.255127,1.275199,1.257071,1.249572,1.227822,1.179711", \ "1.636879,1.633249,1.621052,1.615579,1.602203,1.567871,1.495436", \ "2.188780,2.181743,2.176525,2.155186,2.116146,2.045186,1.931493", \ "2.991569,2.984494,2.957987,2.924784,2.857836,2.737414,2.559607"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); values ("11.830000,11.837950,11.857230,11.875500,11.896520,11.876400,11.897590", \ "11.616630,11.627750,11.658280,11.672520,11.711070,11.786640,11.836810", \ "11.436910,11.456840,11.474070,11.497390,11.529860,11.585610,11.652670", \ "11.369790,11.372760,11.387870,11.400670,11.398050,11.458890,11.511980", \ "11.364030,11.377720,11.380610,11.386620,11.401250,11.393630,11.430570", \ "11.532540,11.536970,11.538510,11.527210,11.511460,11.510090,11.453190", \ "11.980180,12.015030,12.000540,11.950440,11.848560,11.798450,11.675960"); } } internal_power () { related_pin : "A3"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); values ("0.589999,0.627997,0.699898,0.797816,1.015177,1.319318,1.693925", \ "0.620370,0.681726,0.717852,0.850099,1.023513,1.329645,1.694665", \ "1.051277,1.057272,1.066150,1.077397,1.154386,1.344676,1.654939", \ "1.607554,1.610790,1.608595,1.625960,1.654484,1.658897,1.722386", \ "2.150892,2.144934,2.137956,2.143732,2.146756,2.130546,2.117229", \ "2.814709,2.798532,2.794785,2.782470,2.763521,2.711415,2.635506", \ "3.703995,3.701171,3.679028,3.654369,3.595176,3.490774,3.331947"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); values ("14.326740,14.335250,14.357220,14.382810,14.383880,14.402900,14.425630", \ "14.114230,14.136660,14.161370,14.182080,14.234780,14.311960,14.299670", \ "13.948230,13.955230,13.978080,14.001220,14.046550,14.087120,14.154820", \ "13.874680,13.879950,13.884300,13.885530,13.920890,13.932090,13.986150", \ "13.841980,13.846350,13.862620,13.840260,13.843550,13.857110,13.898990", \ "13.860930,13.865020,13.864880,13.877460,13.874210,13.854060,13.886180", \ "14.029090,14.041190,14.031820,14.019850,13.976280,13.998420,13.943790"); } } internal_power () { related_pin : "A4"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); values ("0.277179,0.350496,0.404544,0.558123,0.826041,1.237947,1.825036", \ "0.269175,0.321891,0.401908,0.526413,0.776508,1.163260,1.712553", \ "0.779661,0.763396,0.784292,0.809053,0.945302,1.197089,1.654124", \ "1.535177,1.526296,1.547584,1.576299,1.591177,1.652329,1.808204", \ "2.249322,2.246863,2.249462,2.249281,2.288008,2.289106,2.338569", \ "3.056423,3.052906,3.046279,3.046101,3.020265,3.023996,2.987920", \ "4.066124,4.063075,4.055159,4.042619,3.992664,3.916733,3.787725"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); values ("16.668770,16.677410,16.703000,16.705180,16.722340,16.770860,16.729880", \ "16.460980,16.483630,16.506710,16.518420,16.583170,16.659420,16.647120", \ "16.286510,16.294100,16.318720,16.323770,16.381080,16.403920,16.471060", \ "16.217470,16.222250,16.224450,16.231880,16.280140,16.302190,16.357320", \ "16.173900,16.189330,16.191480,16.177920,16.194200,16.228930,16.271860", \ "16.182400,16.186560,16.187370,16.167880,16.208020,16.199200,16.235050", \ "16.279500,16.278100,16.289840,16.288830,16.263070,16.271200,16.229920"); } } } } /****************************************************************************************** Module : OAI21_X1 Cell Description : Combinational cell (OAI21_X1) with drive strength X1 *******************************************************************************************/ cell (OAI21_X1) { drive_strength : 1; area : 1.064000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 13.995832; leakage_power () { when : "!A & !B1 & !B2"; value : 4.271561; } leakage_power () { when : "!A & !B1 & B2"; value : 8.720723; } leakage_power () { when : "!A & B1 & !B2"; value : 8.720733; } leakage_power () { when : "!A & B1 & B2"; value : 8.071289; } leakage_power () { when : "A & !B1 & !B2"; value : 29.727951; } leakage_power () { when : "A & !B1 & B2"; value : 15.868904; } leakage_power () { when : "A & B1 & !B2"; value : 17.235308; } leakage_power () { when : "A & B1 & B2"; value : 19.350189; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.612742; fall_capacitance : 1.449824; rise_capacitance : 1.612742; } pin (B1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.616994; fall_capacitance : 1.416245; rise_capacitance : 1.616994; } pin (B2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.534531; fall_capacitance : 1.490980; rise_capacitance : 1.534531; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 26.022450; function : "!(A & (B1 | B2))"; timing () { related_pin : "A"; when : "!B1 & B2"; sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); values ("0.026456,0.028873,0.033156,0.041471,0.057638,0.089305,0.151918", \ "0.031688,0.034127,0.038442,0.046806,0.063022,0.094733,0.157372", \ "0.047115,0.049705,0.054178,0.062480,0.078713,0.110498,0.173224", \ "0.067479,0.070943,0.076724,0.087384,0.106163,0.139217,0.202122", \ "0.087012,0.091964,0.100135,0.114542,0.138904,0.179044,0.246706", \ "0.101871,0.108780,0.119834,0.139664,0.172122,0.222736,0.302296", \ "0.110197,0.119262,0.133967,0.159672,0.202111,0.266514,0.362372"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); values ("0.042099,0.046074,0.053219,0.067357,0.095334,0.150844,0.261263", \ "0.046461,0.050490,0.057703,0.071941,0.100026,0.155687,0.266139", \ "0.064708,0.068659,0.075847,0.090026,0.118101,0.173787,0.284402", \ "0.098263,0.103360,0.111928,0.127273,0.154736,0.209826,0.320118", \ "0.135612,0.142631,0.154313,0.175430,0.210902,0.268027,0.377082", \ "0.175909,0.184866,0.199968,0.226959,0.272609,0.345407,0.458816", \ "0.219358,0.230279,0.248771,0.281925,0.337998,0.427830,0.564840"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); values ("0.014427,0.016329,0.019748,0.026544,0.040079,0.067144,0.121336", \ "0.014410,0.016323,0.019753,0.026549,0.040076,0.067133,0.121336", \ "0.015588,0.017200,0.020227,0.026561,0.039984,0.067129,0.121338", \ "0.021678,0.023428,0.026546,0.032567,0.044228,0.068200,0.121311", \ "0.032504,0.034470,0.037841,0.044043,0.055727,0.078496,0.124880", \ "0.047607,0.050032,0.054230,0.061290,0.073445,0.095937,0.140429", \ "0.066409,0.069455,0.074580,0.083355,0.097202,0.120421,0.164044"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); values ("0.030213,0.034109,0.041143,0.055177,0.083108,0.138580,0.247496", \ "0.030205,0.034107,0.041130,0.055187,0.083110,0.138619,0.247456", \ "0.030343,0.033998,0.041101,0.055142,0.083137,0.138546,0.247454", \ "0.042823,0.045555,0.050000,0.060104,0.084066,0.138495,0.247464", \ "0.062917,0.066475,0.072581,0.083108,0.101023,0.143361,0.247428", \ "0.087224,0.091689,0.099253,0.112508,0.134342,0.170086,0.253770", \ "0.115575,0.120845,0.130013,0.146076,0.172396,0.214446,0.284364"); } } timing () { related_pin : "A"; when : "B1 & !B2"; sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); values ("0.022421,0.024588,0.028503,0.036303,0.051841,0.082880,0.144911", \ "0.027562,0.029774,0.033747,0.041616,0.057224,0.088302,0.150362", \ "0.041752,0.044362,0.048843,0.057123,0.072871,0.104073,0.166228", \ "0.058454,0.062340,0.068699,0.079947,0.099287,0.132727,0.195120", \ "0.072029,0.078005,0.087429,0.103562,0.129643,0.171091,0.239540", \ "0.079372,0.087822,0.101016,0.123743,0.159464,0.212710,0.293967", \ "0.079516,0.090576,0.108217,0.137921,0.185005,0.253695,0.352490"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); values ("0.040966,0.044947,0.052108,0.066228,0.094174,0.149626,0.259940", \ "0.045314,0.049345,0.056576,0.070814,0.098884,0.154448,0.264882", \ "0.063590,0.067561,0.074730,0.088902,0.116963,0.172578,0.283127", \ "0.096725,0.101889,0.110588,0.126095,0.153623,0.208650,0.318829", \ "0.133432,0.140564,0.152414,0.173692,0.209514,0.266879,0.375786", \ "0.173019,0.182130,0.197472,0.224677,0.270713,0.343928,0.457551", \ "0.215716,0.226819,0.245557,0.279043,0.335589,0.425914,0.563364"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); values ("0.010621,0.012484,0.015881,0.022655,0.036210,0.063292,0.117453", \ "0.010623,0.012489,0.015875,0.022662,0.036204,0.063288,0.117453", \ "0.012697,0.014365,0.017263,0.023262,0.036212,0.063287,0.117446", \ "0.019641,0.021343,0.024364,0.030202,0.041688,0.065015,0.117424", \ "0.031559,0.033535,0.036865,0.042899,0.054082,0.076264,0.121803", \ "0.047883,0.050311,0.054380,0.061310,0.072945,0.094530,0.138173", \ "0.068068,0.071020,0.075966,0.084406,0.097717,0.120036,0.162405"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); values ("0.026754,0.030428,0.037106,0.050429,0.077016,0.130124,0.236032", \ "0.026751,0.030419,0.037090,0.050420,0.077025,0.130118,0.236069", \ "0.026953,0.030359,0.037045,0.050403,0.077022,0.130091,0.236021", \ "0.038880,0.041620,0.046236,0.055543,0.078099,0.130093,0.236017", \ "0.056115,0.059817,0.066164,0.077100,0.095157,0.135069,0.235933", \ "0.075575,0.080562,0.088929,0.103073,0.125761,0.161785,0.242385", \ "0.097177,0.103471,0.113999,0.131774,0.159813,0.203275,0.273074"); } } timing () { related_pin : "A"; when : "B1 & B2"; sdf_cond : "(B1 == 1'b1) && (B2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); values ("0.019306,0.020995,0.024047,0.030128,0.042239,0.066427,0.114770", \ "0.024597,0.026323,0.029418,0.035549,0.047713,0.071937,0.120302", \ "0.039966,0.042187,0.045947,0.052779,0.065141,0.089476,0.137923", \ "0.057183,0.060841,0.066775,0.077092,0.094134,0.122035,0.170982", \ "0.070581,0.076270,0.085469,0.100936,0.125659,0.163194,0.221092", \ "0.077552,0.085648,0.098500,0.120539,0.155153,0.205968,0.279365", \ "0.077084,0.087797,0.104936,0.133803,0.179778,0.246515,0.340008"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); values ("0.044593,0.048550,0.055662,0.069754,0.097643,0.153034,0.263349", \ "0.048949,0.052962,0.060154,0.074361,0.102403,0.157921,0.268325", \ "0.067157,0.071102,0.078244,0.092378,0.120402,0.175984,0.286532", \ "0.101412,0.106349,0.114648,0.129600,0.157016,0.211994,0.322181", \ "0.139930,0.146668,0.158059,0.178631,0.213530,0.270182,0.379094", \ "0.181358,0.190041,0.204759,0.231042,0.276001,0.348050,0.460908", \ "0.225973,0.236565,0.254567,0.286900,0.342132,0.431033,0.567198"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); values ("0.008652,0.010094,0.012713,0.017920,0.028329,0.049115,0.090660", \ "0.008658,0.010093,0.012711,0.017922,0.028333,0.049120,0.090676", \ "0.011355,0.012587,0.014766,0.019006,0.028466,0.049121,0.090665", \ "0.019502,0.020897,0.023292,0.027700,0.035998,0.052316,0.090681", \ "0.032247,0.033983,0.036883,0.041999,0.050786,0.066886,0.098480", \ "0.049448,0.051539,0.055118,0.061295,0.071367,0.088422,0.119749", \ "0.070707,0.073195,0.077476,0.085036,0.097042,0.116261,0.148693"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); values ("0.028392,0.032101,0.038801,0.052182,0.078874,0.132076,0.238114", \ "0.028370,0.032079,0.038800,0.052187,0.078876,0.132046,0.238081", \ "0.028323,0.032036,0.038782,0.052187,0.078884,0.132031,0.238076", \ "0.039421,0.042165,0.046674,0.056509,0.079730,0.132035,0.238069", \ "0.056886,0.060557,0.066759,0.077644,0.095776,0.136501,0.237985", \ "0.076696,0.081574,0.089715,0.103701,0.126340,0.162411,0.243958", \ "0.098711,0.104845,0.115110,0.132648,0.160509,0.203867,0.273980"); } } timing () { related_pin : "B1"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); values ("0.0176084,0.0197830,0.0237074,0.0315163,0.0470567,0.0780860,0.140088", \ "0.0222079,0.0244280,0.0284110,0.0362885,0.0519092,0.0829891,0.145026", \ "0.0362737,0.0393911,0.0444879,0.0531905,0.0687254,0.0997955,0.161834", \ "0.0482383,0.0533771,0.0616703,0.0757805,0.0982160,0.132440,0.194067", \ "0.0544094,0.0618292,0.0737115,0.0940971,0.126340,0.174639,0.245388", \ "0.0533782,0.0632309,0.0790568,0.106144,0.149168,0.213459,0.306227", \ "0.0441188,0.0564857,0.0765243,0.110660,0.165148,0.246723,0.363854"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); values ("0.0662619,0.0753535,0.0916619,0.123779,0.187428,0.314011,0.566605", \ "0.0671328,0.0764224,0.0931100,0.125842,0.190204,0.317464,0.570492", \ "0.0808126,0.0896926,0.105917,0.138284,0.202761,0.330555,0.584394", \ "0.113464,0.123172,0.138815,0.169920,0.232942,0.359759,0.613233", \ "0.151680,0.164329,0.185244,0.222254,0.284992,0.409559,0.661202", \ "0.194103,0.209648,0.235597,0.281216,0.357594,0.483386,0.732069", \ "0.241523,0.259825,0.290484,0.344729,0.435943,0.581999,0.828881"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); values ("0.0106197,0.0124831,0.0158818,0.0226539,0.0362075,0.0632903,0.117436", \ "0.0106027,0.0124790,0.0158752,0.0226565,0.0362018,0.0632819,0.117444", \ "0.0159697,0.0173245,0.0196208,0.0243114,0.0362514,0.0632961,0.117450", \ "0.0285558,0.0303919,0.0334467,0.0387795,0.0479958,0.0667304,0.117423", \ "0.0454060,0.0479337,0.0523045,0.0592814,0.0707542,0.0895360,0.125606", \ "0.0662164,0.0696016,0.0752968,0.0845417,0.0990439,0.121798,0.158083", \ "0.0909513,0.0952945,0.102455,0.114234,0.132368,0.160016,0.202217"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); values ("0.0466463,0.0545694,0.0691054,0.0978655,0.155450,0.270335,0.499542", \ "0.0466427,0.0545704,0.0690860,0.0979402,0.155456,0.270356,0.499534", \ "0.0465055,0.0545116,0.0689994,0.0978205,0.155439,0.270305,0.499535", \ "0.0557709,0.0611069,0.0722467,0.0978335,0.155341,0.270357,0.499535", \ "0.0752985,0.0818367,0.0931260,0.112378,0.158380,0.270303,0.499538", \ "0.0969043,0.104749,0.118252,0.141786,0.181739,0.274343,0.499532", \ "0.121217,0.130428,0.146185,0.173830,0.220385,0.300642,0.500371"); } } timing () { related_pin : "B2"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); values ("0.0213429,0.0237732,0.0280721,0.0364049,0.0525618,0.0841964,0.146768", \ "0.0256988,0.0281168,0.0324091,0.0407385,0.0569065,0.0885427,0.151113", \ "0.0417698,0.0445389,0.0492029,0.0574424,0.0734749,0.105058,0.167586", \ "0.0589557,0.0633877,0.0706378,0.0834547,0.104439,0.137640,0.199779", \ "0.0714780,0.0777755,0.0879203,0.106194,0.135979,0.182065,0.251067", \ "0.0774869,0.0858083,0.0993841,0.123306,0.162907,0.223851,0.313869", \ "0.0760065,0.0864022,0.103556,0.133761,0.183619,0.260604,0.373898"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); values ("0.0805634,0.0894857,0.105605,0.137519,0.201016,0.327474,0.580021", \ "0.0842366,0.0933408,0.109746,0.142118,0.206144,0.333091,0.585926", \ "0.100848,0.109820,0.126093,0.158460,0.222796,0.350373,0.603884", \ "0.130872,0.139874,0.155790,0.187758,0.251692,0.379129,0.632975", \ "0.166183,0.177362,0.196619,0.231904,0.296301,0.423328,0.677079", \ "0.205739,0.219229,0.242128,0.283647,0.356934,0.486398,0.739462", \ "0.250755,0.266814,0.293627,0.341728,0.425128,0.568171,0.822717"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); values ("0.0148542,0.0167055,0.0200509,0.0267375,0.0401564,0.0671401,0.121364", \ "0.0146645,0.0165529,0.0199468,0.0266829,0.0401407,0.0671488,0.121346", \ "0.0182336,0.0195390,0.0218350,0.0272831,0.0400805,0.0671501,0.121349", \ "0.0305549,0.0323392,0.0353357,0.0405460,0.0497011,0.0696328,0.121354", \ "0.0467671,0.0492989,0.0536277,0.0605975,0.0721229,0.0910180,0.128306", \ "0.0664604,0.0698994,0.0757664,0.0851990,0.0999912,0.122975,0.159479", \ "0.0892752,0.0938162,0.101387,0.113636,0.132436,0.160730,0.203405"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); values ("0.0466381,0.0545643,0.0690643,0.0978613,0.155444,0.270306,0.499541", \ "0.0466411,0.0545619,0.0690507,0.0978602,0.155456,0.270359,0.499536", \ "0.0466515,0.0545611,0.0690529,0.0978572,0.155431,0.270365,0.499540", \ "0.0496681,0.0565956,0.0697368,0.0978082,0.155362,0.270282,0.499556", \ "0.0620085,0.0689974,0.0815997,0.105050,0.156730,0.270306,0.499718", \ "0.0778698,0.0851244,0.0984329,0.123352,0.170520,0.272833,0.499552", \ "0.0977842,0.105362,0.119348,0.145241,0.194611,0.288952,0.500519"); } } internal_power () { related_pin : "A"; when : "!B1 & B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); values ("0.506136,0.515755,0.523980,0.548966,0.567153,0.593284,0.616596", \ "0.480150,0.486711,0.502860,0.531147,0.560636,0.586317,0.616221", \ "0.429693,0.444367,0.463115,0.495685,0.533925,0.563623,0.603952", \ "0.464458,0.463729,0.467676,0.474275,0.495872,0.541474,0.583078", \ "0.532501,0.534430,0.532871,0.533418,0.531251,0.534190,0.575709", \ "0.654239,0.649722,0.640646,0.631586,0.615268,0.594200,0.586648", \ "0.855556,0.844213,0.825601,0.798711,0.759247,0.712946,0.661914"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); values ("3.280026,3.285988,3.300647,3.299886,3.340842,3.351998,3.396512", \ "3.222711,3.232103,3.240588,3.275949,3.273088,3.311403,3.356405", \ "3.169185,3.175938,3.195294,3.223748,3.248793,3.304238,3.297018", \ "3.240404,3.241512,3.244756,3.257805,3.243995,3.286922,3.341320", \ "3.392107,3.401972,3.396815,3.383205,3.346813,3.363084,3.329765", \ "3.577293,3.583935,3.582658,3.605897,3.589805,3.511540,3.437716", \ "3.921360,3.916957,3.917582,3.901772,3.892286,3.820960,3.729918"); } } internal_power () { related_pin : "A"; when : "B1 & !B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); values ("0.208262,0.209057,0.211525,0.211849,0.216160,0.216366,0.214959", \ "0.168568,0.176734,0.184798,0.194755,0.202785,0.209589,0.209998", \ "0.103089,0.108821,0.126407,0.148047,0.168842,0.189053,0.201275", \ "0.130741,0.130190,0.127201,0.122849,0.134889,0.159158,0.183076", \ "0.196795,0.190320,0.182739,0.175712,0.159869,0.144744,0.172447", \ "0.324102,0.313960,0.295446,0.276024,0.246279,0.210209,0.182881", \ "0.541635,0.525076,0.496900,0.455800,0.396303,0.329344,0.259826"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); values ("2.794449,2.799136,2.823776,2.818214,2.806795,2.897839,2.928137", \ "2.737550,2.745369,2.760522,2.770408,2.822406,2.854722,2.890890", \ "2.683294,2.700758,2.713221,2.739055,2.747143,2.834402,2.829830", \ "2.755674,2.764760,2.760099,2.781738,2.803804,2.811300,2.808714", \ "2.844138,2.861709,2.891073,2.910458,2.904909,2.910061,2.860868", \ "3.042649,3.051660,3.067483,3.085351,3.139860,3.065603,2.968850", \ "3.387032,3.389322,3.390837,3.396289,3.398099,3.365953,3.195073"); } } internal_power () { related_pin : "A"; when : "B1 & B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); values ("0.208227,0.206034,0.205839,0.210721,0.216046,0.214600,0.217029", \ "0.168023,0.176921,0.186285,0.192384,0.203784,0.205741,0.211864", \ "0.104426,0.110526,0.125458,0.149356,0.167248,0.188901,0.199734", \ "0.133615,0.133710,0.133637,0.132650,0.132930,0.161703,0.185367", \ "0.197472,0.195230,0.186542,0.178944,0.171306,0.154185,0.174115", \ "0.330999,0.320163,0.304057,0.278101,0.251424,0.222771,0.186616", \ "0.553527,0.536929,0.505588,0.466627,0.407667,0.340499,0.276654"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); values ("3.034032,3.038436,3.048650,3.052100,3.046616,3.133428,3.166735", \ "2.967469,2.984966,3.004543,3.032691,3.060992,3.093441,3.130958", \ "2.921069,2.926710,2.942587,2.971118,2.982096,3.021196,3.067876", \ "2.989565,2.989274,2.997929,2.980480,2.979397,3.002018,3.044544", \ "3.082402,3.093376,3.120288,3.154774,3.140223,3.078289,3.108636", \ "3.279494,3.292126,3.301402,3.309683,3.362882,3.294209,3.207000", \ "3.624747,3.623840,3.635493,3.634488,3.624756,3.605264,3.437507"); } } internal_power () { related_pin : "B1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); values ("0.226907,0.225171,0.224030,0.226017,0.234995,0.237130,0.238525", \ "0.218628,0.219902,0.231787,0.237161,0.249792,0.254840,0.261440", \ "0.190920,0.198047,0.206697,0.222529,0.239807,0.262680,0.274290", \ "0.265873,0.260266,0.247766,0.237815,0.237246,0.254126,0.270547", \ "0.398181,0.385725,0.369620,0.344547,0.307951,0.277068,0.284267", \ "0.615557,0.593381,0.564100,0.519767,0.457420,0.387212,0.331911", \ "0.910092,0.888535,0.855156,0.789513,0.693726,0.577555,0.456965"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); values ("1.941738,1.953173,1.965036,1.976887,1.974936,1.965304,1.973313", \ "1.864730,1.880895,1.899750,1.923360,1.955144,1.958652,1.966840", \ "1.814006,1.823360,1.830189,1.850541,1.871332,1.918453,1.942291", \ "1.880471,1.873253,1.869752,1.860612,1.856588,1.890500,1.912093", \ "2.039157,2.031199,2.008457,1.970362,1.944798,1.921080,1.918811", \ "2.175651,2.185512,2.209841,2.201930,2.124171,2.069199,2.002995", \ "2.467154,2.462064,2.460334,2.461072,2.404635,2.296684,2.164124"); } } internal_power () { related_pin : "B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); values ("0.491283,0.502520,0.517538,0.530676,0.556841,0.577258,0.596420", \ "0.458486,0.462026,0.478569,0.494929,0.517253,0.540894,0.564325", \ "0.422840,0.425686,0.441897,0.458407,0.494346,0.515069,0.539010", \ "0.496577,0.494512,0.483786,0.471136,0.487686,0.514618,0.537150", \ "0.639754,0.629825,0.618975,0.599684,0.567513,0.546394,0.559078", \ "0.850079,0.838296,0.817702,0.781979,0.732836,0.663632,0.614141", \ "1.146286,1.131487,1.102132,1.054183,0.971910,0.866619,0.745066"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); values ("2.525049,2.528991,2.530458,2.523432,2.548719,2.538345,2.537883", \ "2.469559,2.482284,2.493962,2.496387,2.527000,2.532940,2.530281", \ "2.404164,2.414350,2.419550,2.444773,2.458327,2.500091,2.518510", \ "2.389067,2.391786,2.385544,2.393339,2.414799,2.455016,2.479865", \ "2.418308,2.415753,2.412283,2.397579,2.398225,2.428361,2.448396", \ "2.388975,2.412117,2.455378,2.473743,2.465239,2.458188,2.443392", \ "2.517034,2.522845,2.538692,2.559813,2.594473,2.532543,2.502788"); } } } } /****************************************************************************************** Module : OAI21_X2 Cell Description : Combinational cell (OAI21_X2) with drive strength X2 *******************************************************************************************/ cell (OAI21_X2) { drive_strength : 2; area : 1.862000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 27.987305; leakage_power () { when : "!A & !B1 & !B2"; value : 8.535417; } leakage_power () { when : "!A & !B1 & B2"; value : 17.432493; } leakage_power () { when : "!A & B1 & !B2"; value : 17.432493; } leakage_power () { when : "!A & B1 & B2"; value : 16.133605; } leakage_power () { when : "A & !B1 & !B2"; value : 59.455750; } leakage_power () { when : "A & !B1 & B2"; value : 31.737790; } leakage_power () { when : "A & B1 & !B2"; value : 34.470560; } leakage_power () { when : "A & B1 & B2"; value : 38.700330; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.068464; fall_capacitance : 2.745110; rise_capacitance : 3.068464; } pin (B1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.010188; fall_capacitance : 2.607885; rise_capacitance : 3.010188; } pin (B2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.260861; fall_capacitance : 3.175571; rise_capacitance : 3.260861; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 52.030140; function : "!(A & (B1 | B2))"; timing () { related_pin : "A"; when : "!B1 & B2"; sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); values ("0.025253,0.028674,0.032957,0.041276,0.057444,0.089118,0.151728", \ "0.030452,0.033902,0.038219,0.046584,0.062802,0.094512,0.157157", \ "0.045725,0.049416,0.053885,0.062197,0.078433,0.110228,0.172958", \ "0.065567,0.070523,0.076383,0.087040,0.105849,0.138936,0.201848", \ "0.084306,0.091479,0.099730,0.114158,0.138544,0.178702,0.246404", \ "0.098185,0.108084,0.119396,0.139323,0.171812,0.222386,0.301976", \ "0.105629,0.118551,0.133320,0.159370,0.201801,0.266228,0.362055"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); values ("0.040003,0.045591,0.052716,0.066830,0.094773,0.150256,0.260684", \ "0.044342,0.050005,0.057210,0.071430,0.099498,0.155068,0.265590", \ "0.062654,0.068274,0.075422,0.089562,0.117611,0.173238,0.283906", \ "0.095427,0.102746,0.111440,0.126826,0.154280,0.209339,0.319590", \ "0.131678,0.141712,0.153569,0.174771,0.210360,0.267564,0.376589", \ "0.170845,0.183658,0.198889,0.226112,0.271916,0.344845,0.458409", \ "0.213164,0.228780,0.247397,0.280677,0.336997,0.427042,0.564270"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); values ("0.013653,0.016329,0.019755,0.026545,0.040075,0.067135,0.121328", \ "0.013638,0.016323,0.019750,0.026545,0.040074,0.067129,0.121329", \ "0.014980,0.017240,0.020256,0.026583,0.039978,0.067114,0.121326", \ "0.021046,0.023486,0.026577,0.032577,0.044265,0.068215,0.121315", \ "0.031856,0.034597,0.037921,0.044120,0.055756,0.078538,0.124907", \ "0.046746,0.050208,0.054331,0.061349,0.073487,0.095943,0.140459", \ "0.065253,0.069625,0.074774,0.083382,0.097250,0.120429,0.164046"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); values ("0.028329,0.033781,0.040802,0.054832,0.082783,0.138259,0.247266", \ "0.028316,0.033779,0.040808,0.054833,0.082789,0.138241,0.247259", \ "0.028613,0.033715,0.040782,0.054845,0.082823,0.138227,0.247305", \ "0.041616,0.045468,0.049823,0.059897,0.083721,0.138217,0.247231", \ "0.061367,0.066404,0.072399,0.082879,0.100904,0.143177,0.247199", \ "0.085281,0.091573,0.099131,0.112263,0.134192,0.169936,0.253640", \ "0.113375,0.120927,0.129925,0.145911,0.172309,0.214361,0.284324"); } } timing () { related_pin : "A"; when : "B1 & !B2"; sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); values ("0.021382,0.024439,0.028363,0.036173,0.051746,0.082841,0.144997", \ "0.026474,0.029596,0.033578,0.041458,0.057095,0.088240,0.150418", \ "0.040329,0.044052,0.048553,0.056874,0.072654,0.103925,0.166201", \ "0.056320,0.061905,0.068289,0.079582,0.098985,0.132519,0.195060", \ "0.068833,0.077377,0.086951,0.103151,0.129321,0.170824,0.239415", \ "0.074941,0.087050,0.100524,0.123365,0.159084,0.212410,0.293785", \ "0.073922,0.089826,0.107479,0.137629,0.184679,0.253417,0.352265"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); values ("0.038871,0.044473,0.051605,0.065717,0.093641,0.149061,0.259401", \ "0.043200,0.048874,0.056091,0.070315,0.098369,0.153896,0.264312", \ "0.061549,0.067167,0.074313,0.088448,0.116473,0.172060,0.282595", \ "0.093838,0.101280,0.110108,0.125671,0.153178,0.208172,0.318326", \ "0.129417,0.139632,0.151635,0.173090,0.208956,0.266427,0.375320", \ "0.167853,0.180908,0.196326,0.223842,0.270039,0.343406,0.457159", \ "0.209391,0.225298,0.244141,0.277758,0.334570,0.425153,0.562813"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); values ("0.009898,0.012514,0.015911,0.022704,0.036277,0.063413,0.117661", \ "0.009892,0.012513,0.015915,0.022707,0.036278,0.063414,0.117667", \ "0.012087,0.014437,0.017346,0.023337,0.036287,0.063415,0.117664", \ "0.019059,0.021452,0.024462,0.030282,0.041802,0.065170,0.117654", \ "0.030906,0.033735,0.037051,0.043033,0.054178,0.076407,0.122057", \ "0.047122,0.050563,0.054588,0.061417,0.073058,0.094650,0.138371", \ "0.067041,0.071222,0.076193,0.084447,0.097815,0.120098,0.162558"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); values ("0.024968,0.030129,0.036794,0.050112,0.076705,0.129773,0.235700", \ "0.024967,0.030124,0.036786,0.050114,0.076718,0.129778,0.235700", \ "0.025365,0.030124,0.036760,0.050102,0.076697,0.129761,0.235674", \ "0.037589,0.041564,0.046088,0.055398,0.077792,0.129759,0.235686", \ "0.054402,0.059729,0.066017,0.076875,0.095020,0.134886,0.235610", \ "0.073387,0.080524,0.088818,0.102761,0.125515,0.161654,0.242174", \ "0.094421,0.103459,0.113903,0.131574,0.159670,0.203158,0.272990"); } } timing () { related_pin : "A"; when : "B1 & B2"; sdf_cond : "(B1 == 1'b1) && (B2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); values ("0.018452,0.020836,0.023893,0.029978,0.042106,0.066322,0.114719", \ "0.023713,0.026145,0.029247,0.035384,0.047563,0.071815,0.120237", \ "0.038741,0.041927,0.045704,0.052563,0.064950,0.089322,0.137825", \ "0.055189,0.060469,0.066444,0.076809,0.093890,0.121848,0.170860", \ "0.067551,0.075770,0.085026,0.100572,0.125381,0.162954,0.220954", \ "0.073218,0.084977,0.098060,0.120209,0.154861,0.205719,0.279178", \ "0.071677,0.087097,0.104312,0.133605,0.179517,0.246307,0.339825"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); values ("0.042452,0.048024,0.055130,0.069205,0.097084,0.152437,0.262708", \ "0.046795,0.052431,0.059623,0.073819,0.101847,0.157347,0.267710", \ "0.065061,0.070658,0.077777,0.091886,0.119876,0.175439,0.285942", \ "0.098633,0.105691,0.114135,0.129139,0.156537,0.211482,0.321591", \ "0.136033,0.145733,0.157197,0.177941,0.212926,0.269761,0.378619", \ "0.176338,0.188763,0.203539,0.230139,0.275225,0.347465,0.460494", \ "0.219818,0.234982,0.253091,0.285589,0.341103,0.430234,0.566605"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); values ("0.008080,0.010101,0.012718,0.017940,0.028356,0.049164,0.090760", \ "0.008077,0.010101,0.012719,0.017939,0.028356,0.049165,0.090764", \ "0.010917,0.012640,0.014820,0.019052,0.028506,0.049170,0.090759", \ "0.019050,0.021005,0.023379,0.027778,0.036072,0.052397,0.090791", \ "0.031694,0.034158,0.037046,0.042131,0.050866,0.066965,0.098606", \ "0.048798,0.051756,0.055299,0.061391,0.071462,0.088476,0.119835", \ "0.069816,0.073333,0.077631,0.085053,0.097125,0.116335,0.148745"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); values ("0.026564,0.031774,0.038490,0.051888,0.078577,0.131701,0.237663", \ "0.026574,0.031771,0.038489,0.051889,0.078580,0.131723,0.237653", \ "0.026703,0.031744,0.038485,0.051878,0.078563,0.131705,0.237678", \ "0.038161,0.042063,0.046541,0.056333,0.079415,0.131704,0.237650", \ "0.055193,0.060408,0.066635,0.077397,0.095620,0.136255,0.237650", \ "0.074534,0.081478,0.089570,0.103417,0.126085,0.162275,0.243745", \ "0.095956,0.104775,0.114969,0.132426,0.160322,0.203700,0.273860"); } } timing () { related_pin : "B1"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); values ("0.0168856,0.0199575,0.0238932,0.0317141,0.0472900,0.0783792,0.140503", \ "0.0214566,0.0246003,0.0285893,0.0364841,0.0521344,0.0832791,0.145436", \ "0.0350947,0.0395828,0.0446793,0.0533876,0.0689575,0.100085,0.162238", \ "0.0462199,0.0536067,0.0619518,0.0760510,0.0984736,0.132731,0.194498", \ "0.0514079,0.0620565,0.0740707,0.0944918,0.126678,0.175018,0.245813", \ "0.0493600,0.0634956,0.0793990,0.106574,0.149626,0.213940,0.306776", \ "0.0390644,0.0567914,0.0768324,0.111068,0.165615,0.247275,0.364505"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); values ("0.0626960,0.0755203,0.0918080,0.123905,0.187549,0.314155,0.566813", \ "0.0634634,0.0765641,0.0932282,0.125929,0.190296,0.317566,0.570688", \ "0.0772995,0.0898900,0.106097,0.138442,0.202854,0.330732,0.584605", \ "0.109464,0.123304,0.139020,0.170130,0.233110,0.359932,0.613554", \ "0.146417,0.164493,0.185535,0.222474,0.285252,0.409790,0.661546", \ "0.187655,0.209836,0.235753,0.281493,0.357833,0.483555,0.732344", \ "0.233934,0.260039,0.290683,0.344915,0.436102,0.582151,0.829004"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); values ("0.00988619,0.0125162,0.0159132,0.0227069,0.0362761,0.0634075,0.117659", \ "0.00986847,0.0125123,0.0159167,0.0227048,0.0362774,0.0634103,0.117667", \ "0.0154355,0.0173295,0.0196245,0.0243492,0.0363225,0.0634100,0.117662", \ "0.0278018,0.0304250,0.0334330,0.0387767,0.0480268,0.0668147,0.117653", \ "0.0443874,0.0480208,0.0522429,0.0592022,0.0707313,0.0895877,0.125754", \ "0.0648312,0.0696931,0.0753690,0.0844652,0.0989855,0.121809,0.158172", \ "0.0891379,0.0953978,0.102576,0.114285,0.132394,0.160062,0.202325"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); values ("0.0435020,0.0546196,0.0690039,0.0977610,0.155258,0.270113,0.499629", \ "0.0434995,0.0546183,0.0690064,0.0977495,0.155254,0.270116,0.499633", \ "0.0432858,0.0545709,0.0689882,0.0977527,0.155234,0.270134,0.499627", \ "0.0538604,0.0611407,0.0722411,0.0977970,0.155214,0.270137,0.499610", \ "0.0725915,0.0818536,0.0929695,0.112346,0.158296,0.270082,0.499621", \ "0.0936538,0.104829,0.118194,0.141562,0.181689,0.274160,0.499652", \ "0.117409,0.130531,0.146244,0.173785,0.220295,0.300729,0.500426"); } } timing () { related_pin : "B2"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); values ("0.0204174,0.0238558,0.0281548,0.0364884,0.0526493,0.0842874,0.146853", \ "0.0247785,0.0282045,0.0324957,0.0408236,0.0569916,0.0886322,0.151200", \ "0.0406476,0.0446596,0.0493132,0.0575478,0.0735790,0.105149,0.167665", \ "0.0571235,0.0634731,0.0708304,0.0835990,0.104572,0.137768,0.199896", \ "0.0688489,0.0778550,0.0881854,0.106434,0.136174,0.182215,0.251209", \ "0.0740186,0.0859004,0.0994754,0.123651,0.163183,0.224034,0.314061", \ "0.0716732,0.0865090,0.103656,0.133847,0.183774,0.260806,0.374108"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); values ("0.0770205,0.0896096,0.105710,0.137620,0.201089,0.327616,0.580208", \ "0.0806160,0.0934547,0.109838,0.142204,0.206188,0.333179,0.586097", \ "0.0972742,0.109934,0.126179,0.158546,0.222839,0.350440,0.604015", \ "0.127111,0.139935,0.155855,0.187849,0.251767,0.379247,0.633270", \ "0.161596,0.177489,0.196547,0.231888,0.296323,0.423360,0.677081", \ "0.200116,0.219355,0.242232,0.283578,0.356849,0.486351,0.739569", \ "0.244055,0.266957,0.293781,0.341800,0.425209,0.568297,0.822886"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); values ("0.0140946,0.0166978,0.0200519,0.0267350,0.0401497,0.0671450,0.121343", \ "0.0138868,0.0165508,0.0199447,0.0266783,0.0401343,0.0671412,0.121328", \ "0.0176921,0.0195248,0.0218408,0.0272815,0.0400813,0.0671473,0.121324", \ "0.0298149,0.0323292,0.0352484,0.0404960,0.0496714,0.0696214,0.121347", \ "0.0456912,0.0493264,0.0535137,0.0604782,0.0720423,0.0909539,0.128291", \ "0.0649740,0.0699434,0.0757769,0.0850091,0.0998244,0.122880,0.159417", \ "0.0873509,0.0938694,0.101408,0.113594,0.132362,0.160652,0.203328"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); values ("0.0434922,0.0546255,0.0690196,0.0977856,0.155256,0.270132,0.499631", \ "0.0434998,0.0546138,0.0689966,0.0977798,0.155251,0.270150,0.499621", \ "0.0434910,0.0546174,0.0689960,0.0977681,0.155246,0.270129,0.499630", \ "0.0469982,0.0566662,0.0697681,0.0977746,0.155216,0.270136,0.499680", \ "0.0592020,0.0690169,0.0814576,0.105034,0.156700,0.270081,0.499706", \ "0.0749461,0.0851898,0.0982282,0.123075,0.170457,0.272720,0.499653", \ "0.0947116,0.105435,0.119118,0.145009,0.194440,0.289015,0.500639"); } } internal_power () { related_pin : "A"; when : "!B1 & B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); values ("1.000262,1.034520,1.064657,1.091361,1.138689,1.186907,1.236499", \ "0.934960,0.979398,1.007663,1.065107,1.122132,1.179180,1.230141", \ "0.854217,0.895685,0.923278,0.993938,1.067370,1.141239,1.203914", \ "0.926665,0.936527,0.943014,0.941045,1.003958,1.090658,1.173695", \ "1.076692,1.075089,1.073025,1.067510,1.059540,1.073593,1.152348", \ "1.329625,1.307386,1.286705,1.260682,1.238175,1.192801,1.175784", \ "1.737353,1.705670,1.664443,1.613727,1.531763,1.434218,1.328474"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); values ("6.482425,6.489194,6.503634,6.530931,6.594477,6.625254,6.703239", \ "6.349632,6.381777,6.414442,6.458222,6.457348,6.540814,6.627480", \ "6.261728,6.295355,6.300650,6.343436,6.430549,6.533295,6.514130", \ "6.394051,6.401300,6.401809,6.425960,6.424622,6.504142,6.601298", \ "6.692421,6.720525,6.736134,6.709961,6.648995,6.658973,6.581927", \ "7.081544,7.090200,7.081879,7.124298,7.149773,6.957146,6.933654", \ "7.767328,7.762333,7.736334,7.696030,7.667294,7.572540,7.381448"); } } internal_power () { related_pin : "A"; when : "B1 & !B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); values ("0.415399,0.416581,0.418188,0.425867,0.432125,0.431151,0.429231", \ "0.331630,0.348806,0.365591,0.383263,0.401760,0.419996,0.426740", \ "0.199051,0.222952,0.256897,0.294896,0.334617,0.374921,0.402660", \ "0.265854,0.260646,0.258811,0.249185,0.267206,0.320036,0.367079", \ "0.405280,0.391794,0.374605,0.356770,0.327402,0.299563,0.345337", \ "0.673049,0.640960,0.608752,0.553019,0.493539,0.425891,0.367282", \ "1.123207,1.070741,1.013686,0.919410,0.806916,0.667982,0.524952"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); values ("5.498551,5.538248,5.538460,5.584734,5.547428,5.598776,5.771437", \ "5.381949,5.410206,5.449887,5.469123,5.578667,5.634557,5.694131", \ "5.296798,5.327103,5.353598,5.412724,5.432413,5.501629,5.576261", \ "5.444120,5.451375,5.462873,5.465615,5.423504,5.482347,5.537094", \ "5.578413,5.624941,5.690194,5.768764,5.750356,5.626409,5.647056", \ "6.013714,6.029553,6.056945,6.114070,6.151093,6.050090,5.869790", \ "6.701662,6.711235,6.716141,6.687071,6.679258,6.664233,6.315990"); } } internal_power () { related_pin : "A"; when : "B1 & B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); values ("0.415246,0.414521,0.417443,0.426979,0.432666,0.431728,0.433699", \ "0.335076,0.347256,0.368397,0.383739,0.405460,0.417277,0.422990", \ "0.201655,0.227021,0.254663,0.298455,0.335484,0.377752,0.401636", \ "0.267840,0.275055,0.265768,0.266033,0.267719,0.321522,0.368519", \ "0.404203,0.392906,0.378529,0.366063,0.345900,0.310837,0.348265", \ "0.684599,0.651527,0.612351,0.568731,0.511479,0.449416,0.372683", \ "1.145327,1.088329,1.033740,0.946134,0.828316,0.690787,0.558759"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); values ("5.972821,6.010330,6.010646,6.052463,6.020613,6.112974,6.239257", \ "5.860134,5.883150,5.923172,5.943515,6.052714,6.111491,6.170242", \ "5.748101,5.795684,5.806401,5.888613,5.898645,5.970110,6.048142", \ "5.909215,5.913186,5.919256,5.922170,5.904792,5.934811,6.001970", \ "6.064726,6.100917,6.163154,6.199353,6.215870,6.096068,6.114672", \ "6.483946,6.505178,6.533417,6.578843,6.647222,6.531166,6.344240", \ "7.169304,7.175732,7.186517,7.158039,7.183926,7.138690,6.798277"); } } internal_power () { related_pin : "B1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); values ("0.450328,0.456368,0.452923,0.465332,0.468495,0.468574,0.473855", \ "0.429404,0.440711,0.460037,0.477879,0.502586,0.518661,0.529024", \ "0.379476,0.394182,0.416171,0.449628,0.484042,0.524869,0.547156", \ "0.539613,0.517970,0.497985,0.475732,0.471381,0.512585,0.539393", \ "0.806851,0.767960,0.728023,0.685349,0.614830,0.552926,0.565959", \ "1.240369,1.190728,1.129254,1.041348,0.916009,0.773440,0.663871", \ "1.841831,1.780596,1.699300,1.575906,1.384717,1.152716,0.911549"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); values ("3.883823,3.911371,3.923751,3.943121,3.948634,3.926073,3.930979", \ "3.724881,3.765785,3.810572,3.833581,3.908825,3.911570,3.933266", \ "3.629120,3.652096,3.672619,3.707850,3.741431,3.832688,3.885401", \ "3.769114,3.759991,3.753491,3.712573,3.713690,3.777190,3.827421", \ "4.063822,4.060064,4.027739,3.977129,3.895421,3.840286,3.842346", \ "4.352478,4.374402,4.405238,4.389059,4.263800,4.135751,4.007963", \ "4.944430,4.936241,4.926344,4.920887,4.868277,4.593567,4.325529"); } } internal_power () { related_pin : "B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); values ("0.978942,1.004206,1.036708,1.060374,1.108554,1.148199,1.197786", \ "0.909254,0.939206,0.961390,0.999980,1.047677,1.090869,1.129213", \ "0.835524,0.855103,0.892475,0.930294,0.988670,1.037676,1.086175", \ "0.998900,0.986435,0.967502,0.940770,0.983687,1.028389,1.073622", \ "1.279079,1.259546,1.235187,1.184900,1.129436,1.092908,1.116580", \ "1.712867,1.674914,1.636146,1.559491,1.460872,1.325626,1.227212", \ "2.306910,2.260454,2.199687,2.100527,1.946008,1.732665,1.491609"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); values ("5.053001,5.063036,5.066280,5.095770,5.096575,5.072571,5.075976", \ "4.937693,4.968411,4.991782,5.040565,5.051737,5.108594,5.125005", \ "4.809235,4.832777,4.856299,4.891968,4.914631,5.011003,5.035880", \ "4.780524,4.786584,4.796366,4.788629,4.830466,4.906985,4.963658", \ "4.841649,4.844825,4.836201,4.794736,4.797131,4.850256,4.892556", \ "4.769506,4.828434,4.905158,4.984030,4.931618,4.880739,4.885558", \ "5.037733,5.049731,5.066899,5.117200,5.216194,5.068498,5.007989"); } } } } /****************************************************************************************** Module : OAI21_X4 Cell Description : Combinational cell (OAI21_X4) with drive strength X4 *******************************************************************************************/ cell (OAI21_X4) { drive_strength : 4; area : 3.458000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 55.974542; leakage_power () { when : "!A & !B1 & !B2"; value : 17.070835; } leakage_power () { when : "!A & !B1 & B2"; value : 34.865084; } leakage_power () { when : "!A & B1 & !B2"; value : 34.865083; } leakage_power () { when : "!A & B1 & B2"; value : 32.267216; } leakage_power () { when : "A & !B1 & !B2"; value : 118.910550; } leakage_power () { when : "A & !B1 & B2"; value : 63.475675; } leakage_power () { when : "A & B1 & !B2"; value : 68.941215; } leakage_power () { when : "A & B1 & B2"; value : 77.400680; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 6.014408; fall_capacitance : 5.386194; rise_capacitance : 6.014408; } pin (B1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 6.173190; fall_capacitance : 5.366120; rise_capacitance : 6.173190; } pin (B2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 6.355957; fall_capacitance : 6.183483; rise_capacitance : 6.355957; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 104.065000; function : "!(A & (B1 | B2))"; timing () { related_pin : "A"; when : "!B1 & B2"; sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); values ("0.025044,0.028982,0.033280,0.041625,0.057843,0.089613,0.152424", \ "0.030236,0.034210,0.038541,0.046933,0.063201,0.095011,0.157851", \ "0.045487,0.049722,0.054197,0.062535,0.078828,0.110724,0.173640", \ "0.065165,0.070894,0.076741,0.087388,0.106210,0.139370,0.202479", \ "0.083656,0.091920,0.100136,0.114584,0.138902,0.179067,0.246916", \ "0.097116,0.108548,0.119953,0.139795,0.172207,0.222723,0.302382", \ "0.104111,0.118990,0.133863,0.159949,0.202275,0.266626,0.362404"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); values ("0.039502,0.045901,0.053031,0.067150,0.095089,0.150544,0.260949", \ "0.043828,0.050316,0.057531,0.071753,0.099813,0.155374,0.265858", \ "0.062152,0.068601,0.075742,0.089889,0.117930,0.173554,0.284150", \ "0.094687,0.103144,0.111791,0.127109,0.154596,0.209643,0.319877", \ "0.130621,0.142157,0.154020,0.175128,0.210631,0.267820,0.376876", \ "0.169445,0.184211,0.199376,0.226562,0.272284,0.345172,0.458689", \ "0.211458,0.229403,0.247979,0.281240,0.337476,0.427417,0.564554"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); values ("0.013373,0.016442,0.019873,0.026688,0.040263,0.067412,0.121797", \ "0.013349,0.016434,0.019874,0.026690,0.040262,0.067410,0.121796", \ "0.014706,0.017319,0.020354,0.026717,0.040169,0.067395,0.121795", \ "0.020729,0.023515,0.026619,0.032645,0.044398,0.068480,0.121779", \ "0.031459,0.034592,0.037930,0.044116,0.055819,0.078720,0.125343", \ "0.046272,0.050258,0.054303,0.061328,0.073497,0.096045,0.140787", \ "0.064665,0.069678,0.074787,0.083337,0.097221,0.120463,0.164234"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); values ("0.027713,0.033937,0.040972,0.055020,0.082987,0.138471,0.247526", \ "0.027711,0.033931,0.040975,0.055029,0.082995,0.138475,0.247524", \ "0.028053,0.033867,0.040950,0.055013,0.083000,0.138462,0.247526", \ "0.041149,0.045477,0.049857,0.059993,0.083891,0.138473,0.247527", \ "0.060716,0.066440,0.072340,0.082872,0.100949,0.143352,0.247502", \ "0.084448,0.091618,0.099125,0.112214,0.134193,0.170053,0.253912", \ "0.112439,0.120970,0.130015,0.145885,0.172316,0.214424,0.284533"); } } timing () { related_pin : "A"; when : "B1 & !B2"; sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); values ("0.021190,0.024705,0.028641,0.036477,0.052092,0.083276,0.145601", \ "0.026271,0.029861,0.033854,0.041758,0.057439,0.088670,0.151024", \ "0.040068,0.044341,0.048848,0.057179,0.073003,0.104359,0.166802", \ "0.055825,0.062260,0.068646,0.079924,0.099339,0.132902,0.195611", \ "0.067977,0.077840,0.087386,0.103569,0.129642,0.171170,0.239867", \ "0.073532,0.087506,0.101067,0.123837,0.159476,0.212730,0.294143", \ "0.071955,0.090312,0.108106,0.138200,0.185146,0.253777,0.352591"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); values ("0.038365,0.044780,0.051919,0.066035,0.093962,0.149369,0.259681", \ "0.042678,0.049189,0.056409,0.070631,0.098683,0.154201,0.264572", \ "0.061039,0.067490,0.074629,0.088771,0.116797,0.172377,0.282862", \ "0.093091,0.101691,0.110450,0.125957,0.153486,0.208476,0.318608", \ "0.128342,0.140064,0.152119,0.173467,0.209212,0.266639,0.375617", \ "0.166436,0.181447,0.196827,0.224334,0.270438,0.343724,0.457426", \ "0.207651,0.225923,0.244723,0.278370,0.335075,0.425538,0.563097"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); values ("0.009573,0.012593,0.016002,0.022817,0.036432,0.063651,0.118070", \ "0.009576,0.012596,0.016002,0.022816,0.036433,0.063648,0.118072", \ "0.011780,0.014489,0.017408,0.023435,0.036441,0.063651,0.118075", \ "0.018715,0.021459,0.024466,0.030322,0.041890,0.065380,0.118073", \ "0.030509,0.033731,0.037034,0.043009,0.054211,0.076544,0.122419", \ "0.046623,0.050570,0.054553,0.061381,0.073036,0.094717,0.138647", \ "0.066451,0.071263,0.076147,0.084393,0.097762,0.120096,0.162706"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); values ("0.024367,0.030258,0.036931,0.050267,0.076879,0.129935,0.235853", \ "0.024360,0.030255,0.036934,0.050256,0.076865,0.129936,0.235831", \ "0.024815,0.030235,0.036915,0.050262,0.076856,0.129931,0.235827", \ "0.037067,0.041543,0.046102,0.055445,0.077843,0.129937,0.235841", \ "0.053648,0.059737,0.065961,0.076833,0.094992,0.134957,0.235819", \ "0.072431,0.080577,0.088754,0.102687,0.125492,0.161698,0.242338", \ "0.093195,0.103609,0.113953,0.131460,0.159604,0.203138,0.273106"); } } timing () { related_pin : "A"; when : "B1 & B2"; sdf_cond : "(B1 == 1'b1) && (B2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); values ("0.018350,0.021092,0.024161,0.030268,0.042439,0.066736,0.115298", \ "0.023598,0.026398,0.029510,0.035671,0.047891,0.072226,0.120809", \ "0.038541,0.042200,0.045973,0.052839,0.065261,0.089709,0.138374", \ "0.054738,0.060819,0.066798,0.077142,0.094208,0.122180,0.171340", \ "0.066715,0.076227,0.085443,0.100993,0.125695,0.163260,0.221319", \ "0.071876,0.085430,0.098586,0.120669,0.155245,0.206028,0.279483", \ "0.069824,0.087570,0.104869,0.134176,0.179963,0.246679,0.340128"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); values ("0.041969,0.048346,0.055456,0.069535,0.097415,0.152767,0.263001", \ "0.046294,0.052763,0.059954,0.074151,0.102175,0.157668,0.268009", \ "0.064562,0.071005,0.078115,0.092227,0.120207,0.175766,0.286235", \ "0.097938,0.106099,0.114509,0.129445,0.156839,0.211806,0.321906", \ "0.135020,0.146154,0.157701,0.178319,0.213202,0.270001,0.378906", \ "0.175027,0.189307,0.204044,0.230645,0.275632,0.347774,0.460776", \ "0.218207,0.235616,0.253669,0.286201,0.341571,0.430627,0.566901"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); values ("0.007854,0.010181,0.012809,0.018048,0.028508,0.049402,0.091167", \ "0.007848,0.010181,0.012810,0.018047,0.028509,0.049401,0.091169", \ "0.010689,0.012682,0.014874,0.019136,0.028650,0.049403,0.091165", \ "0.018769,0.021020,0.023388,0.027788,0.036137,0.052586,0.091194", \ "0.031367,0.034175,0.037043,0.042111,0.050898,0.067062,0.098933", \ "0.048382,0.051781,0.055271,0.061366,0.071462,0.088522,0.120037", \ "0.069358,0.073397,0.077642,0.085018,0.097099,0.116331,0.148849"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); values ("0.025971,0.031915,0.038638,0.052044,0.078747,0.131889,0.237846", \ "0.025963,0.031912,0.038643,0.052046,0.078750,0.131890,0.237852", \ "0.026141,0.031898,0.038636,0.052047,0.078729,0.131877,0.237840", \ "0.037624,0.042071,0.046576,0.056403,0.079430,0.131893,0.237846", \ "0.054441,0.060446,0.066624,0.077402,0.095599,0.136391,0.237847", \ "0.073616,0.081568,0.089588,0.103372,0.126047,0.162296,0.243916", \ "0.094789,0.104938,0.115044,0.132326,0.160266,0.203695,0.273978"); } } timing () { related_pin : "B1"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); values ("0.0165228,0.0200545,0.0240022,0.0318482,0.0474693,0.0786464,0.140943", \ "0.0210811,0.0246962,0.0287014,0.0366197,0.0523137,0.0835458,0.145875", \ "0.0345150,0.0397226,0.0448125,0.0535196,0.0691293,0.100343,0.162677", \ "0.0452440,0.0538349,0.0621727,0.0762661,0.0986892,0.132983,0.194928", \ "0.0499767,0.0623094,0.0743896,0.0947562,0.126972,0.175360,0.246234", \ "0.0474448,0.0637723,0.0797659,0.106960,0.150003,0.214372,0.307319", \ "0.0366605,0.0571260,0.0771976,0.111532,0.166096,0.247797,0.365174"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); values ("0.0609413,0.0756164,0.0918550,0.123917,0.187444,0.313920,0.566339", \ "0.0616699,0.0766726,0.0932899,0.125956,0.190229,0.317342,0.570209", \ "0.0756224,0.0900442,0.106199,0.138500,0.202824,0.330537,0.584165", \ "0.107452,0.123440,0.139107,0.170180,0.233103,0.359756,0.613110", \ "0.143813,0.164610,0.185689,0.222488,0.285213,0.409631,0.661191", \ "0.184452,0.209979,0.235947,0.281616,0.357858,0.483485,0.731954", \ "0.230163,0.260201,0.290794,0.345040,0.436117,0.581982,0.828548"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); values ("0.00958073,0.0125950,0.0160068,0.0228206,0.0364349,0.0636476,0.118069", \ "0.00955570,0.0125920,0.0160045,0.0228157,0.0364343,0.0636502,0.118074", \ "0.0151804,0.0173638,0.0196785,0.0244319,0.0364605,0.0636480,0.118071", \ "0.0274592,0.0304465,0.0334624,0.0388302,0.0480952,0.0670106,0.118067", \ "0.0438778,0.0480933,0.0522308,0.0592208,0.0707941,0.0897036,0.126079", \ "0.0641869,0.0698169,0.0753686,0.0844464,0.0990527,0.121923,0.158396", \ "0.0882871,0.0955266,0.102693,0.114249,0.132440,0.160179,0.202559"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); values ("0.0419908,0.0546405,0.0690023,0.0977164,0.155113,0.269792,0.499003", \ "0.0419785,0.0546400,0.0689965,0.0977322,0.155095,0.269779,0.498997", \ "0.0417360,0.0545827,0.0689815,0.0977148,0.155089,0.269808,0.498988", \ "0.0529251,0.0611652,0.0722253,0.0977651,0.155090,0.269778,0.498984", \ "0.0712679,0.0818407,0.0928560,0.112265,0.158202,0.269756,0.498986", \ "0.0920509,0.104892,0.118097,0.141425,0.181501,0.273896,0.498994", \ "0.115547,0.130638,0.146247,0.173621,0.220121,0.300499,0.499781"); } } timing () { related_pin : "B2"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); values ("0.0200449,0.0240081,0.0283208,0.0366790,0.0528915,0.0846285,0.147390", \ "0.0244056,0.0283561,0.0326591,0.0410124,0.0572298,0.0889733,0.151742", \ "0.0401914,0.0448448,0.0494879,0.0577333,0.0738154,0.105481,0.168200", \ "0.0563686,0.0637831,0.0711274,0.0838914,0.104854,0.138113,0.200415", \ "0.0677523,0.0781533,0.0886086,0.106822,0.136565,0.182636,0.251735", \ "0.0725545,0.0862515,0.0999522,0.124125,0.163652,0.224594,0.314723", \ "0.0698283,0.0869262,0.104093,0.134411,0.184347,0.261443,0.374902"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); values ("0.0753345,0.0897299,0.105788,0.137658,0.201037,0.327416,0.579756", \ "0.0788985,0.0935838,0.109935,0.142252,0.206149,0.332973,0.585654", \ "0.0956069,0.110054,0.126290,0.158603,0.222806,0.350246,0.603598", \ "0.125337,0.140020,0.155956,0.187917,0.251760,0.379081,0.632694", \ "0.159370,0.177638,0.196570,0.231889,0.296271,0.423136,0.676556", \ "0.197406,0.219535,0.242254,0.283499,0.356705,0.486147,0.739050", \ "0.240785,0.267144,0.293896,0.341860,0.425085,0.567989,0.822332"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); values ("0.0138204,0.0168102,0.0201702,0.0268812,0.0403434,0.0674249,0.121801", \ "0.0136047,0.0166606,0.0200633,0.0268217,0.0403230,0.0674231,0.121805", \ "0.0174819,0.0195730,0.0219176,0.0274066,0.0402702,0.0674283,0.121793", \ "0.0295188,0.0323428,0.0352902,0.0405491,0.0497660,0.0698568,0.121812", \ "0.0452483,0.0494179,0.0534911,0.0605126,0.0721143,0.0910974,0.128676", \ "0.0643344,0.0700680,0.0757281,0.0849915,0.0998674,0.123007,0.159688", \ "0.0865029,0.0940291,0.101517,0.113551,0.132412,0.160806,0.203619"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); values ("0.0419878,0.0546263,0.0689980,0.0977255,0.155113,0.269788,0.498988", \ "0.0419864,0.0546304,0.0689897,0.0977251,0.155105,0.269780,0.498991", \ "0.0419669,0.0546277,0.0690029,0.0977174,0.155109,0.269785,0.498999", \ "0.0457296,0.0567161,0.0697998,0.0977372,0.155096,0.269786,0.498984", \ "0.0578133,0.0689990,0.0814175,0.105010,0.156617,0.269759,0.498974", \ "0.0735364,0.0851951,0.0980839,0.122989,0.170366,0.272462,0.499012", \ "0.0932335,0.105528,0.119026,0.144774,0.194199,0.288762,0.499983"); } } internal_power () { related_pin : "A"; when : "!B1 & B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); values ("1.988078,2.054389,2.114810,2.207437,2.296573,2.377497,2.472637", \ "1.881343,1.971592,2.045193,2.121330,2.246380,2.361614,2.458906", \ "1.680307,1.780381,1.865220,1.989228,2.129831,2.284216,2.409969", \ "1.841166,1.872870,1.882183,1.889425,2.006249,2.175973,2.346001", \ "2.153743,2.138120,2.143044,2.137195,2.123818,2.134369,2.297566", \ "2.647124,2.612189,2.586573,2.539850,2.467394,2.386167,2.350051", \ "3.471243,3.393337,3.319223,3.213731,3.051733,2.861491,2.653845"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); values ("12.980640,13.036870,13.061750,13.056370,13.113240,13.249910,13.390810", \ "12.756100,12.824300,12.891750,12.914850,12.927600,13.218570,13.239280", \ "12.551820,12.624960,12.681370,12.692740,12.878370,13.073340,13.267470", \ "12.842880,12.866460,12.879060,12.888130,12.867210,13.017400,13.194930", \ "13.405760,13.502180,13.499660,13.440870,13.450070,13.320930,13.414940", \ "14.205230,14.233900,14.257530,14.267160,14.345640,13.927380,13.864870", \ "15.593000,15.573630,15.523010,15.503840,15.464180,15.161430,14.767140"); } } internal_power () { related_pin : "A"; when : "B1 & !B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); values ("0.822791,0.838174,0.837302,0.849326,0.862164,0.867158,0.867438", \ "0.661703,0.705778,0.730621,0.773925,0.812897,0.837353,0.847594", \ "0.377456,0.436355,0.508418,0.588670,0.678330,0.753496,0.799623", \ "0.535515,0.526629,0.519162,0.491893,0.535516,0.647111,0.734120", \ "0.814254,0.772097,0.741681,0.705143,0.651698,0.589553,0.684347", \ "1.343475,1.263056,1.208771,1.120082,0.993114,0.843483,0.730137", \ "2.253265,2.123352,2.017274,1.827918,1.607907,1.330214,1.042283"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); values ("11.045870,11.095590,11.159560,11.170110,11.364480,11.442680,11.529240", \ "10.811510,10.884660,10.980120,11.023120,11.170780,11.274840,11.373070", \ "10.612110,10.722150,10.793700,10.883900,10.951390,11.010720,11.139460", \ "10.942720,10.926520,10.944570,11.024540,11.114980,11.211230,11.333600", \ "11.185140,11.308850,11.411590,11.607730,11.546720,11.512280,11.293940", \ "12.050740,12.110400,12.148670,12.245690,12.448140,12.154530,12.003860", \ "13.445930,13.442690,13.479090,13.484890,13.379830,13.386300,12.905870"); } } internal_power () { related_pin : "A"; when : "B1 & B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); values ("0.826034,0.827366,0.843989,0.849315,0.856457,0.863560,0.862457", \ "0.653051,0.703501,0.742058,0.772302,0.813738,0.830907,0.855089", \ "0.394658,0.445604,0.506887,0.593964,0.681261,0.748016,0.803332", \ "0.544932,0.540947,0.542536,0.528421,0.539444,0.641620,0.738910", \ "0.808581,0.788905,0.753574,0.735671,0.689659,0.614245,0.689311", \ "1.364243,1.297394,1.234009,1.127939,1.024201,0.891785,0.745221", \ "2.297319,2.171706,2.047190,1.886594,1.641380,1.371559,1.111345"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); values ("11.997610,12.052220,12.121010,12.198430,12.301800,12.383600,12.465350", \ "11.725690,11.831180,11.884980,11.990290,12.120080,12.228530,12.330280", \ "11.548170,11.621750,11.723350,11.791280,11.818830,12.020580,12.350320", \ "11.833370,11.854500,11.919880,11.953690,12.042970,12.141450,12.266470", \ "12.154020,12.259710,12.380590,12.517000,12.439920,12.453240,12.225010", \ "12.980670,13.030880,13.062460,13.140990,13.388190,13.058740,12.953950", \ "14.380000,14.400280,14.387100,14.336670,14.388270,14.292390,13.869040"); } } internal_power () { related_pin : "B1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); values ("0.891527,0.907186,0.912485,0.925802,0.941705,0.939134,0.951984", \ "0.845653,0.894893,0.926746,0.958202,0.999465,1.040524,1.055137", \ "0.757919,0.784365,0.826042,0.896977,0.976568,1.050236,1.090020", \ "1.072547,1.038927,1.005378,0.945013,0.943562,1.024032,1.088145", \ "1.620493,1.530705,1.471802,1.360947,1.216129,1.095576,1.126987", \ "2.502788,2.372966,2.259285,2.073280,1.823170,1.539509,1.319710", \ "3.700825,3.552008,3.398973,3.156409,2.763403,2.297273,1.821460"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); values ("7.772413,7.832290,7.852030,7.848598,7.908231,7.868157,7.887236", \ "7.452563,7.562466,7.633255,7.721155,7.829618,7.876542,7.892259", \ "7.268526,7.316937,7.355084,7.383945,7.496922,7.684054,7.797973", \ "7.551956,7.542009,7.519631,7.485085,7.444272,7.574092,7.683601", \ "8.109062,8.127661,8.074325,7.930907,7.804988,7.701494,7.718247", \ "8.703546,8.757262,8.836154,8.764221,8.521241,8.299883,8.049032", \ "9.905743,9.881616,9.844265,9.851902,9.628584,9.212044,8.679339"); } } internal_power () { related_pin : "B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); values ("1.961862,2.005146,2.069264,2.142558,2.228993,2.313125,2.394788", \ "1.794459,1.871372,1.910110,1.998282,2.089570,2.179642,2.271964", \ "1.667617,1.736429,1.787771,1.872248,1.970696,2.062855,2.171232", \ "2.005626,1.980057,1.943946,1.886188,1.960943,2.060832,2.143786", \ "2.575203,2.522647,2.462165,2.387203,2.240851,2.173486,2.226082", \ "3.430754,3.348665,3.250526,3.114359,2.914265,2.637862,2.446103", \ "4.619483,4.510454,4.400946,4.208589,3.878646,3.456283,2.978141"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); values ("10.112710,10.133750,10.139560,10.157420,10.202950,10.159070,10.175080", \ "9.880854,9.946826,10.024280,10.048640,10.115720,10.173810,10.144480", \ "9.625409,9.676187,9.699126,9.755301,9.843299,10.006190,10.098230", \ "9.572970,9.582544,9.610831,9.644604,9.675889,9.832267,9.947416", \ "9.655346,9.698592,9.680635,9.660454,9.606177,9.715193,9.806113", \ "9.547329,9.665957,9.838785,9.951298,9.872988,9.775960,9.791079", \ "10.085440,10.128560,10.168270,10.242140,10.381600,10.154720,10.036540"); } } } } /****************************************************************************************** Module : OAI22_X1 Cell Description : Combinational cell (OAI22_X1) with drive strength X1 *******************************************************************************************/ cell (OAI22_X1) { drive_strength : 1; area : 1.330000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 19.215698; leakage_power () { when : "!A1 & !A2 & !B1 & !B2"; value : 6.353210; } leakage_power () { when : "!A1 & !A2 & !B1 & B2"; value : 29.753506; } leakage_power () { when : "!A1 & !A2 & B1 & !B2"; value : 29.753601; } leakage_power () { when : "!A1 & !A2 & B1 & B2"; value : 34.832985; } leakage_power () { when : "!A1 & A2 & !B1 & !B2"; value : 15.748532; } leakage_power () { when : "!A1 & A2 & !B1 & B2"; value : 14.526089; } leakage_power () { when : "!A1 & A2 & B1 & !B2"; value : 15.892531; } leakage_power () { when : "!A1 & A2 & B1 & B2"; value : 18.008542; } leakage_power () { when : "A1 & !A2 & !B1 & !B2"; value : 15.748532; } leakage_power () { when : "A1 & !A2 & !B1 & B2"; value : 15.892531; } leakage_power () { when : "A1 & !A2 & B1 & !B2"; value : 17.258935; } leakage_power () { when : "A1 & !A2 & B1 & B2"; value : 19.375079; } leakage_power () { when : "A1 & A2 & !B1 & !B2"; value : 15.435603; } leakage_power () { when : "A1 & A2 & !B1 & B2"; value : 18.007421; } leakage_power () { when : "A1 & A2 & B1 & !B2"; value : 19.373815; } leakage_power () { when : "A1 & A2 & B1 & B2"; value : 21.490254; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.617243; fall_capacitance : 1.409845; rise_capacitance : 1.617243; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.544876; fall_capacitance : 1.496746; rise_capacitance : 1.544876; } pin (B1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.600245; fall_capacitance : 1.378481; rise_capacitance : 1.600245; } pin (B2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.572239; fall_capacitance : 1.493507; rise_capacitance : 1.572239; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 23.215160; function : "!((A1 | A2) & (B1 | B2))"; timing () { related_pin : "A1"; when : "!A2 & !B1 & B2"; sdf_cond : "(A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.021167,0.023141,0.026996,0.034472,0.048960,0.077246,0.133013", \ "0.025911,0.027894,0.031777,0.039294,0.053839,0.082170,0.137961", \ "0.042633,0.044881,0.049058,0.056415,0.070725,0.098981,0.154778", \ "0.060388,0.063905,0.070405,0.082057,0.101288,0.131744,0.187047", \ "0.073246,0.078341,0.087441,0.104048,0.131427,0.174167,0.238415", \ "0.079338,0.086080,0.098350,0.120100,0.156615,0.213253,0.297449", \ "0.077440,0.085916,0.101492,0.129069,0.175128,0.246905,0.353150"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.067875,0.075276,0.089952,0.118789,0.175739,0.288955,0.514446", \ "0.068847,0.076433,0.091391,0.120765,0.178484,0.292358,0.518309", \ "0.082500,0.089775,0.104301,0.133298,0.191000,0.305426,0.532162", \ "0.115505,0.123357,0.137300,0.165085,0.221397,0.334718,0.560997", \ "0.154420,0.164618,0.183517,0.217082,0.273784,0.384800,0.609207", \ "0.197636,0.210168,0.233589,0.274989,0.344951,0.459074,0.680547", \ "0.245871,0.260646,0.288274,0.337490,0.420998,0.555895,0.777915"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.014256,0.015806,0.018878,0.024973,0.037055,0.061171,0.109396", \ "0.014187,0.015763,0.018866,0.024962,0.037061,0.061164,0.109382", \ "0.017406,0.018503,0.020560,0.025414,0.036781,0.061119,0.109363", \ "0.029282,0.030819,0.033591,0.038448,0.046973,0.064202,0.109318", \ "0.045264,0.047333,0.051354,0.057871,0.068667,0.086263,0.118464", \ "0.065076,0.067881,0.073231,0.082025,0.095755,0.117302,0.151159", \ "0.088781,0.092422,0.099201,0.110402,0.127767,0.154129,0.193908"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.057487,0.064701,0.079175,0.107616,0.163159,0.270360,0.477299", \ "0.057452,0.064714,0.079135,0.107488,0.163236,0.270367,0.477335", \ "0.057306,0.064656,0.079064,0.107498,0.163217,0.270336,0.477308", \ "0.066215,0.071262,0.082692,0.107866,0.163118,0.270375,0.477316", \ "0.091715,0.096664,0.106536,0.123812,0.167659,0.270312,0.477319", \ "0.121850,0.127150,0.137994,0.157955,0.193618,0.277106,0.477306", \ "0.157681,0.163190,0.174326,0.196458,0.236440,0.307496,0.480474"); } } timing () { related_pin : "A1"; when : "!A2 & B1 & !B2"; sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.017146,0.018917,0.022434,0.029416,0.043295,0.070944,0.126129", \ "0.021762,0.023570,0.027141,0.034196,0.048156,0.075861,0.131081", \ "0.035800,0.038378,0.043037,0.051068,0.064989,0.092680,0.147901", \ "0.047589,0.051831,0.059457,0.072550,0.093434,0.125383,0.180197", \ "0.053585,0.059717,0.070609,0.089553,0.119635,0.164915,0.231276", \ "0.052331,0.060468,0.075020,0.100117,0.140275,0.200624,0.287966", \ "0.042834,0.053044,0.071457,0.103026,0.153885,0.230537,0.340972"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.065276,0.072690,0.087329,0.116097,0.173000,0.285971,0.510942", \ "0.066231,0.073795,0.088766,0.118110,0.175714,0.289361,0.514836", \ "0.079986,0.087209,0.101715,0.130657,0.188262,0.302404,0.528667", \ "0.112540,0.120538,0.134846,0.162528,0.218681,0.331691,0.557523", \ "0.150448,0.160870,0.179997,0.214062,0.271145,0.381863,0.605743", \ "0.192601,0.205395,0.229093,0.271015,0.341647,0.456211,0.677102", \ "0.239754,0.254815,0.282807,0.332622,0.416878,0.552537,0.774517"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.010496,0.012005,0.015044,0.021103,0.033196,0.057338,0.105523", \ "0.010448,0.011981,0.015037,0.021104,0.033190,0.057330,0.105526", \ "0.015827,0.016934,0.019015,0.023092,0.033360,0.057332,0.105521", \ "0.028392,0.029906,0.032693,0.037565,0.046011,0.062059,0.105507", \ "0.045157,0.047258,0.051266,0.057700,0.068301,0.085626,0.116660", \ "0.065873,0.068675,0.073930,0.082559,0.095996,0.117154,0.150603", \ "0.090511,0.094116,0.100734,0.111674,0.128653,0.154468,0.193693"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.045908,0.052348,0.065364,0.091069,0.142488,0.244992,0.449174", \ "0.045902,0.052346,0.065335,0.091108,0.142453,0.244968,0.449183", \ "0.045744,0.052272,0.065269,0.091035,0.142428,0.244944,0.449176", \ "0.055321,0.059549,0.069254,0.091521,0.142370,0.244937,0.449183", \ "0.074684,0.080020,0.090364,0.107846,0.147175,0.244876,0.449178", \ "0.096136,0.102586,0.114894,0.136503,0.173092,0.251835,0.449179", \ "0.120325,0.127901,0.142291,0.167651,0.210595,0.282348,0.452468"); } } timing () { related_pin : "A1"; when : "!A2 & B1 & B2"; sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.013324,0.014621,0.017194,0.022307,0.032465,0.052709,0.093103", \ "0.018330,0.019646,0.022250,0.027407,0.037617,0.057902,0.098321", \ "0.031604,0.033819,0.037839,0.044674,0.055698,0.075887,0.116260", \ "0.042085,0.045882,0.052615,0.064078,0.082149,0.109349,0.150871", \ "0.046835,0.052395,0.062278,0.079173,0.105769,0.145152,0.201754", \ "0.044187,0.051699,0.065085,0.087943,0.124036,0.177389,0.253140", \ "0.033040,0.042578,0.059727,0.088813,0.135177,0.203907,0.301041"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.065037,0.072432,0.087095,0.115864,0.172793,0.285730,0.510747", \ "0.065640,0.073164,0.088091,0.117395,0.175027,0.288697,0.514224", \ "0.079725,0.086906,0.101365,0.130148,0.187577,0.301619,0.527845", \ "0.112509,0.120482,0.134733,0.162384,0.218406,0.331172,0.556814", \ "0.150658,0.161062,0.180197,0.214145,0.271150,0.381745,0.605350", \ "0.193171,0.205932,0.229594,0.271433,0.341960,0.456398,0.677178", \ "0.240885,0.255886,0.283791,0.333469,0.417599,0.553069,0.774926"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.007632,0.008747,0.010997,0.015479,0.024431,0.042317,0.078050", \ "0.007608,0.008737,0.010990,0.015479,0.024434,0.042313,0.078044", \ "0.013939,0.014816,0.016446,0.019411,0.025730,0.042320,0.078038", \ "0.025954,0.027221,0.029505,0.033464,0.040183,0.051449,0.079128", \ "0.042204,0.044023,0.047380,0.052714,0.061360,0.075277,0.097769", \ "0.062547,0.064975,0.069487,0.076798,0.087938,0.105186,0.131911", \ "0.086842,0.090026,0.095823,0.105340,0.119619,0.140897,0.172752"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.045914,0.052350,0.065334,0.091079,0.142542,0.244987,0.449201", \ "0.045910,0.052350,0.065309,0.091075,0.142451,0.244998,0.449199", \ "0.045738,0.052270,0.065267,0.091046,0.142441,0.244986,0.449200", \ "0.055325,0.059561,0.069280,0.091540,0.142374,0.244949,0.449207", \ "0.074572,0.079932,0.090197,0.107789,0.147164,0.244890,0.449208", \ "0.095821,0.102287,0.114560,0.136319,0.172946,0.251804,0.449265", \ "0.119710,0.127308,0.141651,0.167203,0.210235,0.282131,0.452513"); } } timing () { related_pin : "A2"; when : "!A1 & !B1 & B2"; sdf_cond : "(A1 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.026071,0.028125,0.032122,0.039835,0.054671,0.083390,0.139601", \ "0.030407,0.032456,0.036464,0.044183,0.059031,0.087761,0.143983", \ "0.047543,0.049592,0.053468,0.060892,0.075609,0.104275,0.160462", \ "0.069441,0.072595,0.078448,0.089197,0.107346,0.136892,0.192683", \ "0.087504,0.092008,0.100037,0.115155,0.140726,0.181510,0.244146", \ "0.099501,0.105425,0.116257,0.135967,0.169769,0.223604,0.305242", \ "0.104175,0.111601,0.125338,0.150250,0.192763,0.260695,0.363372"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.082153,0.089452,0.103892,0.132543,0.189377,0.302499,0.527838", \ "0.085940,0.093354,0.108087,0.137094,0.194475,0.308039,0.533775", \ "0.102592,0.109904,0.124481,0.153500,0.211116,0.325255,0.551656", \ "0.132614,0.139915,0.154191,0.182834,0.240079,0.354065,0.580704", \ "0.168465,0.177524,0.194860,0.226651,0.284697,0.398260,0.624659", \ "0.208604,0.219515,0.240107,0.277688,0.344299,0.461379,0.687332", \ "0.254284,0.267249,0.291464,0.334960,0.410973,0.541533,0.770606"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.018174,0.019702,0.022719,0.028752,0.040794,0.064889,0.113167", \ "0.018089,0.019622,0.022661,0.028723,0.040782,0.064890,0.113181", \ "0.019383,0.020568,0.023084,0.028544,0.040612,0.064875,0.113171", \ "0.031213,0.032673,0.035418,0.040176,0.048630,0.066993,0.113157", \ "0.047006,0.049026,0.052986,0.059422,0.070115,0.087710,0.121013", \ "0.066360,0.069101,0.074364,0.083101,0.096912,0.118553,0.152557", \ "0.089011,0.092626,0.099384,0.110689,0.128255,0.154986,0.195102"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.057468,0.064741,0.079130,0.107550,0.163273,0.270387,0.477331", \ "0.057470,0.064695,0.079099,0.107516,0.163228,0.270375,0.477327", \ "0.057456,0.064713,0.079077,0.107531,0.163249,0.270388,0.477329", \ "0.060300,0.066764,0.079940,0.107525,0.163155,0.270343,0.477331", \ "0.076048,0.081880,0.093558,0.115599,0.165404,0.270306,0.477285", \ "0.097195,0.102825,0.114487,0.137300,0.180966,0.274558,0.477284", \ "0.124431,0.129744,0.140879,0.163484,0.208031,0.293289,0.479949"); } } timing () { related_pin : "A2"; when : "!A1 & B1 & !B2"; sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.020842,0.022826,0.026698,0.034187,0.048671,0.076927,0.132658", \ "0.025207,0.027186,0.031053,0.038540,0.053031,0.081297,0.137040", \ "0.041283,0.043567,0.047829,0.055305,0.069625,0.097828,0.153528", \ "0.058368,0.062007,0.068619,0.080424,0.099871,0.130517,0.185798", \ "0.070729,0.075918,0.085149,0.102002,0.129649,0.172633,0.237131", \ "0.076560,0.083411,0.095818,0.117781,0.154543,0.211512,0.295953", \ "0.074887,0.083440,0.099107,0.126867,0.173117,0.245090,0.351530"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.079589,0.086862,0.101318,0.129870,0.186606,0.299459,0.524367", \ "0.083343,0.090769,0.105476,0.134457,0.191715,0.305039,0.530303", \ "0.100016,0.107307,0.121895,0.150844,0.208343,0.322245,0.548186", \ "0.129980,0.137365,0.151614,0.180221,0.237325,0.351083,0.577245", \ "0.165124,0.174297,0.191752,0.223801,0.282003,0.395287,0.621183", \ "0.204441,0.215536,0.236383,0.274284,0.341209,0.458464,0.683885", \ "0.249204,0.262423,0.286923,0.330882,0.407368,0.538266,0.767180"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.014763,0.016251,0.019242,0.025209,0.037157,0.061186,0.109381", \ "0.014551,0.016087,0.019130,0.025141,0.037139,0.061176,0.109370", \ "0.018091,0.019162,0.021194,0.025926,0.037063,0.061180,0.109379", \ "0.030381,0.031820,0.034568,0.039334,0.047703,0.064690,0.109399", \ "0.046510,0.048604,0.052622,0.059010,0.069663,0.087072,0.119005", \ "0.066098,0.068933,0.074312,0.083130,0.096880,0.118286,0.151932", \ "0.088810,0.092560,0.099499,0.110980,0.128579,0.155063,0.194791"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.045907,0.052343,0.065359,0.091057,0.142453,0.244974,0.449176", \ "0.045901,0.052342,0.065339,0.091063,0.142454,0.244975,0.449176", \ "0.045908,0.052343,0.065299,0.091055,0.142461,0.244972,0.449165", \ "0.049039,0.054640,0.066276,0.091039,0.142417,0.244987,0.449178", \ "0.061345,0.067058,0.078363,0.099368,0.144628,0.244872,0.449186", \ "0.077187,0.083101,0.095110,0.117611,0.160158,0.249060,0.449219", \ "0.097062,0.103258,0.115859,0.139220,0.183708,0.267841,0.451908"); } } timing () { related_pin : "A2"; when : "!A1 & B1 & B2"; sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.015421,0.016901,0.019781,0.025343,0.036057,0.056852,0.097736", \ "0.020339,0.021787,0.024636,0.030160,0.040850,0.061645,0.102525", \ "0.036082,0.038052,0.041719,0.048070,0.058784,0.079415,0.120227", \ "0.051331,0.054549,0.060424,0.070730,0.087520,0.113545,0.154804", \ "0.062017,0.066692,0.075031,0.090007,0.114353,0.151656,0.206630", \ "0.066112,0.072387,0.083725,0.103638,0.136536,0.186763,0.259912", \ "0.062509,0.070431,0.084925,0.110316,0.152274,0.216641,0.310125"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.079360,0.086633,0.101067,0.129645,0.186383,0.299257,0.524178", \ "0.082826,0.090218,0.104879,0.133841,0.191099,0.304432,0.529719", \ "0.099795,0.107047,0.121578,0.150387,0.207743,0.321535,0.547461", \ "0.129904,0.137291,0.151527,0.180059,0.237015,0.350580,0.576595", \ "0.165089,0.174250,0.191763,0.223765,0.281850,0.395000,0.620684", \ "0.204517,0.215599,0.236388,0.274296,0.341169,0.458365,0.683743", \ "0.249635,0.262816,0.287239,0.331134,0.407526,0.538323,0.767115"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.010775,0.011917,0.014182,0.018648,0.027510,0.045267,0.080934", \ "0.010454,0.011647,0.013978,0.018514,0.027449,0.045253,0.080936", \ "0.015740,0.016570,0.018116,0.021027,0.028040,0.045227,0.080939", \ "0.027501,0.028721,0.030960,0.034833,0.041461,0.052831,0.081691", \ "0.043062,0.044831,0.048202,0.053564,0.062334,0.076310,0.098901", \ "0.062165,0.064636,0.069291,0.076804,0.088300,0.105904,0.132842", \ "0.084541,0.087867,0.093953,0.104026,0.118999,0.141020,0.173427"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.045911,0.052346,0.065314,0.091070,0.142461,0.244998,0.449201", \ "0.045901,0.052346,0.065312,0.091059,0.142507,0.244991,0.449200", \ "0.045911,0.052348,0.065322,0.091045,0.142472,0.244985,0.449199", \ "0.049068,0.054662,0.066287,0.091041,0.142418,0.244989,0.449199", \ "0.061364,0.067083,0.078399,0.099435,0.144651,0.244891,0.449212", \ "0.077167,0.083086,0.095040,0.117576,0.160178,0.249069,0.449328", \ "0.096904,0.103113,0.115598,0.139141,0.183668,0.267843,0.451936"); } } timing () { related_pin : "B1"; when : "!A1 & A2 & !B2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.027069,0.029040,0.032890,0.040360,0.054843,0.083128,0.138900", \ "0.032648,0.034640,0.038524,0.046042,0.060580,0.088913,0.144711", \ "0.048551,0.050664,0.054664,0.062130,0.076679,0.105086,0.160971", \ "0.069239,0.072065,0.077311,0.086974,0.104030,0.133909,0.189955", \ "0.089058,0.093101,0.100498,0.113613,0.135946,0.172683,0.234294", \ "0.103822,0.109508,0.119591,0.137775,0.167801,0.214707,0.287979", \ "0.111205,0.118682,0.132201,0.155942,0.195540,0.255914,0.345307"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.102320,0.109702,0.124483,0.153663,0.211453,0.325034,0.551235", \ "0.103743,0.111284,0.126247,0.155700,0.213592,0.327591,0.553994", \ "0.116955,0.124400,0.139344,0.168780,0.226859,0.341327,0.568168", \ "0.149601,0.156860,0.171184,0.199941,0.257334,0.371223,0.597711", \ "0.199584,0.208050,0.224042,0.253102,0.308925,0.421375,0.646428", \ "0.254188,0.264669,0.284554,0.320816,0.384217,0.495266,0.717933", \ "0.313346,0.325800,0.349486,0.392837,0.468580,0.594841,0.815116"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.014308,0.015847,0.018912,0.024989,0.037064,0.061166,0.109374", \ "0.014318,0.015859,0.018923,0.024999,0.037067,0.061161,0.109370", \ "0.015271,0.016597,0.019334,0.025008,0.036958,0.061140,0.109373", \ "0.021163,0.022579,0.025391,0.030833,0.041340,0.062515,0.109326", \ "0.031780,0.033378,0.036412,0.042065,0.052634,0.073091,0.113946", \ "0.046803,0.048800,0.052588,0.059055,0.070150,0.090438,0.130175", \ "0.065933,0.068422,0.073041,0.081103,0.093770,0.114860,0.153885"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.077186,0.084462,0.099019,0.127901,0.184027,0.291228,0.498989", \ "0.077135,0.084473,0.099055,0.127935,0.184013,0.291238,0.498978", \ "0.077139,0.084455,0.099042,0.127762,0.183777,0.291201,0.498987", \ "0.078002,0.084851,0.098813,0.127697,0.183783,0.291198,0.498990", \ "0.095943,0.100687,0.111152,0.134352,0.184489,0.291184,0.499001", \ "0.124397,0.130388,0.141875,0.162845,0.202302,0.293948,0.498985", \ "0.157444,0.164199,0.177050,0.200725,0.241902,0.317918,0.500122"); } } timing () { related_pin : "B1"; when : "A1 & !A2 & !B2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.023059,0.024825,0.028333,0.035305,0.049178,0.076823,0.132014", \ "0.028545,0.030350,0.033914,0.040955,0.054897,0.082606,0.137832", \ "0.043201,0.045330,0.049382,0.056838,0.070927,0.098769,0.154094", \ "0.060272,0.063423,0.069184,0.079446,0.097050,0.127418,0.183076", \ "0.074169,0.078982,0.087555,0.102326,0.126476,0.164616,0.227086", \ "0.081182,0.088099,0.100319,0.121271,0.154592,0.204346,0.279490", \ "0.079694,0.088991,0.105442,0.133179,0.177579,0.242438,0.335070"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.099572,0.106987,0.121679,0.150816,0.208338,0.321942,0.547697", \ "0.100995,0.108507,0.123389,0.152734,0.210525,0.324477,0.550454", \ "0.114198,0.121700,0.136514,0.165888,0.223935,0.338206,0.564592", \ "0.146934,0.154175,0.168465,0.197178,0.254380,0.368119,0.594175", \ "0.196318,0.204907,0.221047,0.250431,0.306167,0.418324,0.642881", \ "0.249992,0.260631,0.280727,0.317295,0.381152,0.492262,0.714397", \ "0.308167,0.320814,0.344747,0.388445,0.464798,0.591635,0.811680"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.010498,0.012006,0.015040,0.021100,0.033191,0.057327,0.105526", \ "0.010497,0.012007,0.015040,0.021101,0.033202,0.057333,0.105531", \ "0.012350,0.013714,0.016312,0.021694,0.033221,0.057342,0.105530", \ "0.018988,0.020387,0.023132,0.028430,0.038789,0.059446,0.105522", \ "0.030674,0.032306,0.035347,0.040906,0.050989,0.070911,0.111066", \ "0.046991,0.048977,0.052704,0.059053,0.069697,0.089149,0.128029", \ "0.067678,0.070064,0.074516,0.082262,0.094395,0.114646,0.152436"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.062912,0.069539,0.082823,0.109269,0.161631,0.264978,0.470547", \ "0.062906,0.069536,0.082816,0.109201,0.161578,0.265022,0.470549", \ "0.062911,0.069542,0.082812,0.109155,0.161438,0.264969,0.470545", \ "0.063967,0.070062,0.082645,0.109027,0.161260,0.264969,0.470546", \ "0.080558,0.085936,0.095463,0.116081,0.162200,0.264951,0.470553", \ "0.102322,0.108741,0.120909,0.142508,0.180295,0.267768,0.470551", \ "0.126375,0.134053,0.148501,0.174037,0.216982,0.291901,0.471872"); } } timing () { related_pin : "B1"; when : "A1 & A2 & !B2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.019872,0.021248,0.023983,0.029416,0.040226,0.061771,0.104773", \ "0.025427,0.026833,0.029613,0.035101,0.045963,0.067556,0.110579", \ "0.041171,0.042981,0.046367,0.052526,0.063609,0.085317,0.128432", \ "0.058784,0.061762,0.067145,0.076538,0.092132,0.117626,0.161508", \ "0.072446,0.077082,0.085416,0.099602,0.122536,0.157361,0.210752", \ "0.079042,0.085767,0.097593,0.117954,0.150272,0.197907,0.266539", \ "0.076986,0.085986,0.102040,0.129027,0.172315,0.235425,0.323697"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.108042,0.115415,0.130054,0.159062,0.216367,0.329839,0.555466", \ "0.109547,0.116995,0.131785,0.161072,0.218716,0.332568,0.558457", \ "0.122679,0.130067,0.144818,0.174100,0.231893,0.346111,0.572488", \ "0.155250,0.162446,0.176730,0.205292,0.262416,0.375952,0.601907", \ "0.206176,0.214399,0.229990,0.258432,0.314140,0.426181,0.650611", \ "0.262186,0.272383,0.291732,0.327186,0.389634,0.500167,0.722185", \ "0.322709,0.334841,0.357914,0.400369,0.475007,0.599968,0.819584"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.008582,0.009748,0.012091,0.016754,0.026050,0.044577,0.081539", \ "0.008579,0.009748,0.012094,0.016754,0.026049,0.044569,0.081549", \ "0.011020,0.012037,0.014004,0.017818,0.026231,0.044573,0.081541", \ "0.018899,0.020032,0.022219,0.026261,0.033807,0.048327,0.081735", \ "0.031481,0.032895,0.035498,0.040266,0.048281,0.062930,0.091023", \ "0.048795,0.050472,0.053679,0.059311,0.068580,0.084179,0.112428", \ "0.070698,0.072653,0.076410,0.083221,0.094138,0.111756,0.141215"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.067213,0.073871,0.087263,0.113806,0.166195,0.269783,0.475467", \ "0.067201,0.073874,0.087233,0.113729,0.166015,0.269757,0.475461", \ "0.067203,0.073877,0.087214,0.113720,0.165979,0.269782,0.475469", \ "0.067632,0.073936,0.087180,0.113614,0.165976,0.269757,0.475465", \ "0.083104,0.087922,0.097861,0.119267,0.166476,0.269751,0.475468", \ "0.105318,0.111605,0.123473,0.144878,0.183103,0.271975,0.475466", \ "0.130011,0.137515,0.151632,0.176816,0.219378,0.294839,0.476493"); } } timing () { related_pin : "B2"; when : "!A1 & A2 & !B1"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.031930,0.033978,0.037969,0.045682,0.060519,0.089243,0.145477", \ "0.037134,0.039185,0.043181,0.050898,0.065741,0.094472,0.150711", \ "0.053048,0.055107,0.059077,0.066750,0.081598,0.110359,0.166633", \ "0.076111,0.078739,0.083669,0.092911,0.109531,0.139169,0.195601", \ "0.100035,0.103616,0.110240,0.122311,0.143418,0.179180,0.240096", \ "0.120383,0.125274,0.134210,0.150481,0.178309,0.223088,0.294926", \ "0.134211,0.140753,0.152590,0.173777,0.210047,0.267014,0.353792"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.115860,0.123237,0.138023,0.167108,0.224643,0.338460,0.564605", \ "0.119977,0.127432,0.142254,0.171485,0.229400,0.343082,0.569343", \ "0.137319,0.144767,0.159675,0.188965,0.246868,0.361052,0.587584", \ "0.167498,0.174913,0.189588,0.218740,0.276549,0.390794,0.617498", \ "0.210101,0.218271,0.234215,0.263894,0.321315,0.435625,0.662179", \ "0.258494,0.268022,0.286419,0.320925,0.383946,0.498787,0.725248", \ "0.313357,0.324387,0.345482,0.384819,0.455755,0.581374,0.808719"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.018033,0.019579,0.022634,0.028694,0.040765,0.064879,0.113167", \ "0.018003,0.019554,0.022612,0.028682,0.040756,0.064878,0.113176", \ "0.018349,0.019792,0.022702,0.028614,0.040711,0.064884,0.113172", \ "0.023714,0.025191,0.028056,0.033605,0.044167,0.065906,0.113173", \ "0.033570,0.035176,0.038284,0.044079,0.054964,0.075739,0.117181", \ "0.047905,0.049873,0.053640,0.060235,0.071609,0.092483,0.132772", \ "0.066104,0.068605,0.073236,0.081375,0.094374,0.116072,0.156019"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.077176,0.084478,0.099071,0.127950,0.183807,0.291238,0.499011", \ "0.077138,0.084473,0.099031,0.127885,0.184019,0.291186,0.498990", \ "0.077123,0.084462,0.099055,0.127826,0.183837,0.291238,0.499000", \ "0.077148,0.084463,0.098997,0.127719,0.183838,0.291233,0.498986", \ "0.086891,0.092747,0.105128,0.130946,0.183956,0.291215,0.498988", \ "0.105474,0.111798,0.124335,0.148337,0.194626,0.293012,0.498990", \ "0.128456,0.135030,0.147876,0.172742,0.219275,0.307926,0.500271"); } } timing () { related_pin : "B2"; when : "A1 & !A2 & !B1"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.026777,0.028743,0.032586,0.040046,0.054519,0.082790,0.138544", \ "0.031996,0.033961,0.037803,0.045263,0.059739,0.088011,0.143779", \ "0.047414,0.049511,0.053528,0.061047,0.075560,0.103880,0.159680", \ "0.067881,0.070710,0.075890,0.085582,0.102640,0.132592,0.188620", \ "0.087259,0.091351,0.098823,0.112033,0.134438,0.171237,0.232903", \ "0.101394,0.107155,0.117445,0.135792,0.166063,0.213143,0.286525", \ "0.108016,0.115683,0.129531,0.153549,0.193526,0.254199,0.343772"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.113103,0.120484,0.135178,0.164252,0.221733,0.335274,0.561073", \ "0.117227,0.124691,0.139451,0.168613,0.226215,0.339975,0.565798", \ "0.134561,0.142030,0.156832,0.186121,0.243940,0.357934,0.584053", \ "0.164765,0.172178,0.186834,0.216003,0.273586,0.387694,0.613955", \ "0.207016,0.215258,0.231315,0.261165,0.318499,0.432441,0.658624", \ "0.254840,0.264463,0.282926,0.317643,0.380892,0.495784,0.721709", \ "0.309038,0.320193,0.341422,0.380992,0.452249,0.578113,0.805232"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.014461,0.016002,0.019048,0.025086,0.037116,0.061181,0.109391", \ "0.014397,0.015943,0.018999,0.025055,0.037100,0.061178,0.109385", \ "0.015584,0.016938,0.019675,0.025325,0.037109,0.061170,0.109372", \ "0.021607,0.023036,0.025863,0.031249,0.041679,0.062803,0.109403", \ "0.032432,0.034028,0.037083,0.042705,0.053176,0.073504,0.114239", \ "0.047558,0.049564,0.053336,0.059829,0.070869,0.091023,0.130576", \ "0.066564,0.069056,0.073706,0.081817,0.094527,0.115580,0.154440"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.062924,0.069533,0.082827,0.109216,0.161460,0.264952,0.470551", \ "0.062913,0.069544,0.082838,0.109172,0.161566,0.264967,0.470537", \ "0.062902,0.069538,0.082836,0.109240,0.161532,0.265020,0.470544", \ "0.062978,0.069549,0.082801,0.109199,0.161376,0.264980,0.470542", \ "0.072101,0.077828,0.089160,0.112486,0.161588,0.264935,0.470551", \ "0.086208,0.092516,0.105007,0.128529,0.172428,0.266856,0.470540", \ "0.103615,0.110411,0.123836,0.148832,0.194924,0.281635,0.471953"); } } timing () { related_pin : "B2"; when : "A1 & A2 & !B1"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.022315,0.023858,0.026876,0.032735,0.044082,0.066187,0.109684", \ "0.027675,0.029216,0.032230,0.038082,0.049424,0.071527,0.115035", \ "0.044485,0.046221,0.049519,0.055589,0.066934,0.089073,0.132620", \ "0.065831,0.068446,0.073259,0.081935,0.096795,0.121706,0.165681", \ "0.085032,0.088964,0.096194,0.108782,0.129871,0.163100,0.215434", \ "0.098557,0.104122,0.114199,0.131924,0.161309,0.206113,0.272626", \ "0.104412,0.111902,0.125428,0.148796,0.187830,0.246768,0.331685"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.121640,0.128969,0.143572,0.172494,0.229792,0.343247,0.568822", \ "0.125822,0.133250,0.147950,0.177003,0.234460,0.348133,0.573871", \ "0.143047,0.150468,0.165167,0.194350,0.251960,0.365980,0.592002", \ "0.173196,0.180556,0.195125,0.224103,0.281600,0.395554,0.621732", \ "0.216407,0.224425,0.240043,0.269338,0.326496,0.440237,0.666234", \ "0.265821,0.275122,0.293059,0.327057,0.389352,0.503614,0.729348", \ "0.321856,0.332591,0.353190,0.391684,0.461748,0.586359,0.812883"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.011610,0.012820,0.015183,0.019864,0.029119,0.047561,0.084500", \ "0.011536,0.012744,0.015131,0.019816,0.029086,0.047551,0.084502", \ "0.013390,0.014388,0.016333,0.020385,0.029114,0.047553,0.084499", \ "0.020914,0.022020,0.024169,0.028176,0.035782,0.050550,0.084620", \ "0.032851,0.034218,0.036815,0.041526,0.049678,0.064554,0.092998", \ "0.048878,0.050633,0.053969,0.059786,0.069261,0.085248,0.113979", \ "0.068909,0.071082,0.075188,0.082491,0.093957,0.112169,0.142308"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.067204,0.073873,0.087221,0.113711,0.166127,0.269793,0.475462", \ "0.067212,0.073864,0.087252,0.113696,0.166036,0.269756,0.475469", \ "0.067201,0.073869,0.087221,0.113734,0.165995,0.269789,0.475460", \ "0.067229,0.073878,0.087252,0.113613,0.166020,0.269759,0.475459", \ "0.075279,0.080919,0.092492,0.116369,0.166081,0.269770,0.475462", \ "0.089633,0.095929,0.108319,0.131944,0.176056,0.271313,0.475454", \ "0.107125,0.113881,0.127182,0.152248,0.198315,0.285436,0.476627"); } } internal_power () { related_pin : "A1"; when : "!A2 & !B1 & B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.523137,0.532190,0.543909,0.560017,0.586995,0.609027,0.635469", \ "0.527182,0.535658,0.551571,0.570261,0.605176,0.636327,0.661455", \ "0.520136,0.529189,0.542525,0.562214,0.594931,0.632972,0.671337", \ "0.597498,0.594176,0.594449,0.588514,0.596995,0.634919,0.670244", \ "0.723154,0.717131,0.710425,0.692910,0.679339,0.663023,0.683557", \ "0.919882,0.913378,0.894376,0.873439,0.828783,0.781356,0.735259", \ "1.202810,1.191971,1.172485,1.132159,1.062133,0.974216,0.874201"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("2.369848,2.375950,2.386639,2.400495,2.396355,2.407254,2.412199", \ "2.295397,2.305556,2.327846,2.344002,2.375944,2.399657,2.414754", \ "2.244514,2.249183,2.261277,2.271747,2.293248,2.327030,2.387688", \ "2.306247,2.304004,2.303805,2.297412,2.280973,2.300904,2.325784", \ "2.464339,2.456435,2.439373,2.421284,2.373291,2.365323,2.334680", \ "2.691180,2.695099,2.684046,2.632224,2.559602,2.487473,2.424867", \ "2.963400,2.954528,2.952372,2.934864,2.875128,2.755703,2.622066"); } } internal_power () { related_pin : "A1"; when : "!A2 & B1 & !B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.223764,0.228204,0.223786,0.231755,0.232933,0.233370,0.235926", \ "0.214428,0.220066,0.226714,0.236311,0.248954,0.258047,0.260586", \ "0.191404,0.193220,0.202843,0.218670,0.238741,0.260167,0.272694", \ "0.267854,0.262689,0.253579,0.237776,0.231709,0.253267,0.270539", \ "0.399882,0.388481,0.372818,0.349385,0.314388,0.277285,0.283813", \ "0.614903,0.600784,0.572222,0.531040,0.471178,0.399909,0.335089", \ "0.914793,0.896269,0.861359,0.803690,0.712582,0.598149,0.476742"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("1.903475,1.913622,1.922091,1.936299,1.924259,1.925671,1.953388", \ "1.828193,1.841179,1.862649,1.878712,1.903511,1.917864,1.955154", \ "1.778936,1.786228,1.793878,1.802970,1.819035,1.876554,1.896311", \ "1.846452,1.842952,1.831325,1.824124,1.838128,1.849942,1.865916", \ "2.004185,1.999586,1.983782,1.938637,1.900897,1.885069,1.875997", \ "2.137622,2.150316,2.170612,2.175873,2.114739,2.037294,1.965986", \ "2.432767,2.429291,2.428522,2.428289,2.405379,2.273052,2.165546"); } } internal_power () { related_pin : "A1"; when : "!A2 & B1 & B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.234020,0.234061,0.232085,0.230123,0.230693,0.234036,0.238423", \ "0.221495,0.227138,0.229819,0.239678,0.250964,0.258979,0.260155", \ "0.212928,0.214037,0.212102,0.225814,0.240404,0.256457,0.272012", \ "0.283002,0.277833,0.270635,0.264689,0.244873,0.252157,0.270913", \ "0.412779,0.402129,0.385557,0.369217,0.337159,0.300984,0.288998", \ "0.629518,0.612481,0.589463,0.548140,0.489870,0.427980,0.356486", \ "0.928243,0.911063,0.879529,0.824479,0.738177,0.626930,0.511812"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("1.897938,1.907310,1.915781,1.929797,1.919741,1.920403,1.948223", \ "1.816196,1.827784,1.839590,1.855408,1.889507,1.904671,1.942139", \ "1.772886,1.779230,1.779104,1.801269,1.805070,1.860247,1.879617", \ "1.845163,1.841351,1.833818,1.818153,1.801318,1.838605,1.863255", \ "2.010784,2.001838,1.979008,1.954881,1.900109,1.881217,1.866341", \ "2.148317,2.156244,2.172138,2.184107,2.119385,2.039612,1.964404", \ "2.447219,2.443843,2.444637,2.438483,2.414824,2.281213,2.171171"); } } internal_power () { related_pin : "A2"; when : "!A1 & !B1 & B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.825117,0.836130,0.847596,0.878004,0.911243,0.950151,0.992086", \ "0.784099,0.795688,0.811758,0.842668,0.876214,0.918991,0.959639", \ "0.753537,0.763521,0.773832,0.801291,0.844929,0.894050,0.934030", \ "0.824824,0.824483,0.819399,0.820703,0.852343,0.891713,0.932812", \ "0.967109,0.960483,0.952865,0.943544,0.930451,0.922037,0.958996", \ "1.166344,1.162006,1.146975,1.132132,1.100495,1.052308,1.016476", \ "1.450521,1.442204,1.426342,1.395503,1.342435,1.261560,1.162245"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("2.948638,2.952646,2.961732,2.966176,2.970859,2.980409,2.985174", \ "2.900103,2.906952,2.918983,2.936124,2.949244,2.967371,2.977012", \ "2.834957,2.840085,2.852981,2.872986,2.880333,2.909732,2.931532", \ "2.814773,2.817162,2.820788,2.826020,2.837776,2.864796,2.893667", \ "2.842240,2.847147,2.841069,2.844988,2.824450,2.839195,2.859208", \ "2.904190,2.919463,2.929111,2.906442,2.896390,2.888129,2.891358", \ "3.010741,3.014235,3.024017,3.033803,3.028717,2.988326,2.954570"); } } internal_power () { related_pin : "A2"; when : "!A1 & B1 & !B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.494873,0.503126,0.516278,0.529029,0.548449,0.570455,0.592874", \ "0.452899,0.467252,0.477880,0.495701,0.512633,0.537408,0.560461", \ "0.417072,0.425732,0.434672,0.460249,0.480331,0.513604,0.538555", \ "0.501171,0.496954,0.488773,0.472850,0.485728,0.511149,0.533812", \ "0.641191,0.634693,0.620283,0.601692,0.574107,0.542581,0.557228", \ "0.852648,0.843265,0.820465,0.792314,0.742034,0.674789,0.616577", \ "1.150039,1.136196,1.112223,1.066579,0.987116,0.886507,0.767072"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("2.487448,2.489613,2.492830,2.490756,2.498576,2.498885,2.493477", \ "2.438099,2.443474,2.452950,2.459432,2.476485,2.485234,2.519047", \ "2.368802,2.376940,2.387807,2.394456,2.406640,2.458626,2.473091", \ "2.353586,2.354442,2.351685,2.362672,2.365382,2.414924,2.433605", \ "2.382433,2.384314,2.374729,2.373765,2.380246,2.388864,2.400501", \ "2.353780,2.373651,2.413739,2.462040,2.421778,2.407063,2.433804", \ "2.484215,2.486798,2.497259,2.525722,2.583631,2.503422,2.465059"); } } internal_power () { related_pin : "A2"; when : "!A1 & B1 & B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.465917,0.475453,0.487811,0.504328,0.535519,0.560328,0.585534", \ "0.429681,0.440437,0.443722,0.469479,0.503373,0.526771,0.552261", \ "0.405035,0.410126,0.424764,0.448026,0.469627,0.500900,0.525030", \ "0.508292,0.501115,0.497281,0.491980,0.475948,0.498535,0.523370", \ "0.648288,0.644960,0.632397,0.616314,0.583662,0.551336,0.545988", \ "0.862618,0.854082,0.833870,0.804387,0.759490,0.695005,0.623247", \ "1.161745,1.148806,1.126148,1.078102,1.006617,0.907142,0.793774"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("2.477544,2.484473,2.485590,2.494897,2.493674,2.494083,2.488314", \ "2.422149,2.431795,2.437964,2.445775,2.463920,2.473012,2.506456", \ "2.363744,2.371160,2.378319,2.383891,2.394508,2.444026,2.457874", \ "2.351456,2.352579,2.353299,2.362955,2.358800,2.404700,2.420295", \ "2.381440,2.383244,2.382876,2.362001,2.376812,2.382384,2.389083", \ "2.354032,2.373745,2.410875,2.448509,2.420383,2.403230,2.429205", \ "2.487623,2.493948,2.503629,2.527741,2.584605,2.502712,2.461490"); } } internal_power () { related_pin : "B1"; when : "!A1 & A2 & !B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.526077,0.534818,0.541786,0.566725,0.590688,0.607453,0.632091", \ "0.529503,0.540345,0.551625,0.579390,0.604734,0.636301,0.661672", \ "0.500135,0.511881,0.526157,0.554294,0.597432,0.634598,0.668464", \ "0.518980,0.520590,0.528792,0.539636,0.561857,0.604503,0.656159", \ "0.564372,0.568166,0.569386,0.582597,0.588794,0.597979,0.642532", \ "0.662699,0.660231,0.659196,0.652607,0.655404,0.653689,0.646616", \ "0.822246,0.816981,0.810377,0.790428,0.770530,0.748134,0.721297"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("3.413432,3.416865,3.430003,3.437310,3.453029,3.493929,3.499483", \ "3.350449,3.361231,3.375741,3.388352,3.422421,3.457960,3.479574", \ "3.294824,3.299952,3.311846,3.332193,3.353038,3.418889,3.459958", \ "3.334254,3.336967,3.338371,3.340300,3.375210,3.405196,3.441355", \ "3.467097,3.464966,3.463749,3.453403,3.432550,3.445487,3.464033", \ "3.691197,3.688599,3.675988,3.657553,3.611992,3.599055,3.560959", \ "3.950280,3.952004,3.966577,3.964045,3.914273,3.837348,3.762297"); } } internal_power () { related_pin : "B1"; when : "A1 & !A2 & !B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.227994,0.230329,0.231773,0.231866,0.232648,0.236461,0.236362", \ "0.219151,0.224504,0.231912,0.237428,0.252023,0.259490,0.263665", \ "0.162194,0.172000,0.185758,0.208791,0.235773,0.256521,0.270188", \ "0.171919,0.172015,0.179551,0.184441,0.194115,0.231232,0.257333", \ "0.214050,0.213226,0.215912,0.216800,0.219083,0.211324,0.241057", \ "0.315815,0.310667,0.300331,0.289541,0.277574,0.265939,0.246578", \ "0.487383,0.477503,0.460782,0.433306,0.402132,0.362635,0.318555"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("2.914777,2.922255,2.934422,2.941868,2.971702,3.014776,3.036621", \ "2.853917,2.860735,2.878052,2.907380,2.935606,2.959589,3.017031", \ "2.793391,2.805127,2.818273,2.848158,2.866422,2.930671,2.997277", \ "2.833951,2.837145,2.846573,2.848377,2.888989,2.917241,2.948316", \ "2.969625,2.970036,2.968393,2.967036,2.947336,2.956891,3.001833", \ "3.142943,3.163662,3.193226,3.167933,3.126504,3.110725,3.098419", \ "3.393523,3.403543,3.417145,3.445200,3.432748,3.343519,3.269866"); } } internal_power () { related_pin : "B1"; when : "A1 & A2 & !B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.225989,0.230775,0.230518,0.229847,0.234874,0.234916,0.238525", \ "0.218833,0.227306,0.231066,0.240440,0.250524,0.257952,0.264268", \ "0.167019,0.176133,0.189849,0.207826,0.234340,0.256498,0.268954", \ "0.175931,0.179548,0.185794,0.192237,0.199401,0.232291,0.256956", \ "0.218620,0.217544,0.217898,0.219816,0.227588,0.223492,0.242374", \ "0.320594,0.317334,0.306306,0.296499,0.287221,0.276790,0.257462", \ "0.499457,0.491711,0.472659,0.447262,0.409803,0.373759,0.333825"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("3.154596,3.163781,3.179441,3.195882,3.228203,3.247374,3.268427", \ "3.096860,3.108305,3.125994,3.155011,3.168678,3.226971,3.268413", \ "3.040269,3.045744,3.064409,3.085386,3.127841,3.163467,3.231487", \ "3.074141,3.082284,3.091505,3.101605,3.121757,3.148240,3.212613", \ "3.203049,3.207441,3.204814,3.203868,3.210789,3.220656,3.233010", \ "3.395213,3.413203,3.427665,3.403886,3.390691,3.369327,3.331469", \ "3.636987,3.647270,3.665331,3.688824,3.663925,3.610759,3.538695"); } } internal_power () { related_pin : "B2"; when : "!A1 & A2 & !B1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.825688,0.830151,0.851818,0.868301,0.908386,0.954290,0.991201", \ "0.789328,0.800658,0.814293,0.846920,0.876683,0.918795,0.964655", \ "0.751595,0.763095,0.772676,0.811523,0.838377,0.886102,0.938854", \ "0.774852,0.775681,0.782359,0.796232,0.831877,0.871639,0.919747", \ "0.843001,0.849001,0.851499,0.857358,0.863053,0.874640,0.921335", \ "0.944033,0.945125,0.944081,0.937816,0.941333,0.937169,0.938329", \ "1.096118,1.096037,1.089421,1.075653,1.067861,1.047934,1.018358"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("3.973752,3.981868,3.986713,4.009465,4.020189,4.044005,4.070192", \ "3.938388,3.943132,3.953587,3.962197,3.990565,4.011708,4.041345", \ "3.889319,3.899020,3.913574,3.923163,3.940052,4.000429,4.033761", \ "3.874504,3.879440,3.884167,3.898173,3.912421,3.972820,4.012415", \ "3.890084,3.892586,3.900988,3.908711,3.928057,3.960133,3.993834", \ "3.959843,3.960691,3.962808,3.979757,3.966002,3.977917,4.033908", \ "4.035663,4.046702,4.066797,4.109374,4.084063,4.081381,4.089541"); } } internal_power () { related_pin : "B2"; when : "A1 & !A2 & !B1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.498336,0.502370,0.511268,0.527918,0.548463,0.575407,0.595317", \ "0.459396,0.468119,0.482693,0.497124,0.516387,0.536992,0.564889", \ "0.415081,0.424906,0.441972,0.461398,0.484010,0.510786,0.538753", \ "0.444749,0.442784,0.441833,0.442014,0.464518,0.494084,0.525056", \ "0.501900,0.503378,0.503459,0.499675,0.498867,0.489042,0.521456", \ "0.599387,0.595743,0.589800,0.586518,0.573462,0.556613,0.534157", \ "0.756577,0.750160,0.740908,0.721720,0.700695,0.665387,0.617607"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("3.478120,3.482211,3.497980,3.505692,3.534703,3.566945,3.607748", \ "3.437887,3.448192,3.455782,3.474232,3.502711,3.523170,3.578780", \ "3.393113,3.398886,3.411421,3.431174,3.484014,3.512006,3.540068", \ "3.373915,3.379311,3.391436,3.417758,3.424187,3.485704,3.517455", \ "3.387742,3.396527,3.407153,3.415346,3.443464,3.470286,3.531019", \ "3.402211,3.428037,3.472174,3.491953,3.480062,3.522412,3.540016", \ "3.475917,3.493111,3.521837,3.573783,3.630562,3.612714,3.607009"); } } internal_power () { related_pin : "B2"; when : "A1 & A2 & !B1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.484322,0.491818,0.504727,0.515564,0.538751,0.562392,0.586014", \ "0.443930,0.452083,0.467558,0.485839,0.504325,0.533425,0.552558", \ "0.406704,0.414971,0.428026,0.447166,0.475556,0.500983,0.524139", \ "0.444575,0.447122,0.447078,0.445381,0.451423,0.488608,0.518124", \ "0.504867,0.504905,0.502817,0.506622,0.499552,0.487535,0.513677", \ "0.604653,0.603626,0.596394,0.588581,0.580937,0.561123,0.532514", \ "0.766684,0.762429,0.751813,0.732197,0.708382,0.671119,0.628759"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("3.720871,3.729743,3.741143,3.747597,3.767784,3.818695,3.839662", \ "3.681685,3.691868,3.710107,3.727134,3.736934,3.792066,3.815929", \ "3.634821,3.645197,3.660655,3.671921,3.715383,3.746007,3.808182", \ "3.616741,3.624702,3.631732,3.653664,3.686485,3.716641,3.782711", \ "3.629566,3.635175,3.649046,3.658588,3.674052,3.731392,3.759087", \ "3.659405,3.681116,3.713753,3.723669,3.740397,3.752397,3.801673", \ "3.724692,3.738195,3.770935,3.823135,3.859932,3.841713,3.835662"); } } } } /****************************************************************************************** Module : OAI22_X2 Cell Description : Combinational cell (OAI22_X2) with drive strength X2 *******************************************************************************************/ cell (OAI22_X2) { drive_strength : 2; area : 2.394000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 38.431356; leakage_power () { when : "!A1 & !A2 & !B1 & !B2"; value : 12.706440; } leakage_power () { when : "!A1 & !A2 & !B1 & B2"; value : 59.507050; } leakage_power () { when : "!A1 & !A2 & B1 & !B2"; value : 59.506955; } leakage_power () { when : "!A1 & !A2 & B1 & B2"; value : 69.665780; } leakage_power () { when : "!A1 & A2 & !B1 & !B2"; value : 31.497062; } leakage_power () { when : "!A1 & A2 & !B1 & B2"; value : 29.052140; } leakage_power () { when : "!A1 & A2 & B1 & !B2"; value : 31.785005; } leakage_power () { when : "!A1 & A2 & B1 & B2"; value : 36.017017; } leakage_power () { when : "A1 & !A2 & !B1 & !B2"; value : 31.497067; } leakage_power () { when : "A1 & !A2 & !B1 & B2"; value : 31.785100; } leakage_power () { when : "A1 & !A2 & B1 & !B2"; value : 34.517870; } leakage_power () { when : "A1 & !A2 & B1 & B2"; value : 38.750091; } leakage_power () { when : "A1 & A2 & !B1 & !B2"; value : 30.871207; } leakage_power () { when : "A1 & A2 & !B1 & B2"; value : 36.014823; } leakage_power () { when : "A1 & A2 & B1 & !B2"; value : 38.747564; } leakage_power () { when : "A1 & A2 & B1 & B2"; value : 42.980527; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.029563; fall_capacitance : 2.617914; rise_capacitance : 3.029563; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.318555; fall_capacitance : 3.218819; rise_capacitance : 3.318555; } pin (B1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.030614; fall_capacitance : 2.582107; rise_capacitance : 3.030614; } pin (B2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.236538; fall_capacitance : 3.084892; rise_capacitance : 3.236538; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 46.272570; function : "!((A1 | A2) & (B1 | B2))"; timing () { related_pin : "A1"; when : "!A2 & !B1 & B2"; sdf_cond : "(A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.020642,0.023581,0.027412,0.034852,0.049297,0.077538,0.133285", \ "0.025383,0.028342,0.032197,0.039677,0.054174,0.082463,0.138241", \ "0.042021,0.045388,0.049492,0.056791,0.071065,0.099290,0.155061", \ "0.059389,0.064689,0.071178,0.082645,0.101737,0.132054,0.187347", \ "0.071799,0.079376,0.088501,0.104903,0.132058,0.174606,0.238764", \ "0.077396,0.087433,0.099502,0.121254,0.157427,0.213852,0.297902", \ "0.074980,0.087600,0.102956,0.130276,0.176056,0.247580,0.353640"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.065668,0.076644,0.091177,0.119741,0.176462,0.289308,0.514383", \ "0.066605,0.077825,0.092669,0.121810,0.179228,0.292722,0.518273", \ "0.080376,0.091177,0.105618,0.134417,0.191822,0.305829,0.532140", \ "0.113103,0.124715,0.138632,0.166252,0.222287,0.335170,0.561083", \ "0.151282,0.166470,0.185088,0.218367,0.274700,0.385367,0.609351", \ "0.193759,0.212419,0.235465,0.276481,0.345920,0.459618,0.680578", \ "0.241336,0.263291,0.290569,0.339184,0.422086,0.556367,0.777863"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.013830,0.016132,0.019191,0.025251,0.037297,0.061364,0.109562", \ "0.013757,0.016092,0.019171,0.025244,0.037300,0.061370,0.109563", \ "0.017093,0.018684,0.020774,0.025649,0.037026,0.061329,0.109564", \ "0.028841,0.031059,0.033758,0.038594,0.047086,0.064349,0.109517", \ "0.044640,0.047761,0.051563,0.058004,0.068752,0.086325,0.118610", \ "0.064231,0.068467,0.073677,0.082136,0.095853,0.117354,0.151215", \ "0.087694,0.093141,0.099780,0.110784,0.127985,0.154227,0.193971"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.055253,0.065924,0.080266,0.108538,0.163989,0.270738,0.477197", \ "0.055242,0.065970,0.080264,0.108545,0.163958,0.270747,0.477191", \ "0.055067,0.065875,0.080220,0.108521,0.163980,0.270757,0.477200", \ "0.064688,0.072216,0.083608,0.108804,0.163955,0.270748,0.477200", \ "0.090080,0.097467,0.107061,0.124436,0.168418,0.270714,0.477201", \ "0.120142,0.128102,0.138644,0.158433,0.194013,0.277509,0.477189", \ "0.156007,0.164160,0.175343,0.197250,0.236937,0.307812,0.480354"); } } timing () { related_pin : "A1"; when : "!A2 & B1 & !B2"; sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.016705,0.019339,0.022843,0.029806,0.043669,0.071316,0.126558", \ "0.021306,0.024000,0.027555,0.034589,0.048523,0.076230,0.131512", \ "0.035111,0.038959,0.043548,0.051473,0.065366,0.093052,0.148335", \ "0.046437,0.052765,0.060307,0.073202,0.093931,0.125767,0.180647", \ "0.051814,0.060961,0.071847,0.090497,0.120328,0.165449,0.231740", \ "0.049953,0.062094,0.076429,0.101361,0.141219,0.201364,0.288581", \ "0.039841,0.055067,0.073183,0.104454,0.154992,0.231376,0.341701"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.063080,0.074080,0.088577,0.117149,0.173769,0.286371,0.510970", \ "0.063988,0.075203,0.090039,0.119197,0.176506,0.289804,0.514886", \ "0.077871,0.088648,0.103047,0.131796,0.189104,0.302881,0.528732", \ "0.110084,0.121976,0.136140,0.163692,0.219591,0.332237,0.557651", \ "0.147234,0.162750,0.181683,0.215336,0.272071,0.382452,0.605934", \ "0.188651,0.207695,0.231029,0.272600,0.342685,0.456736,0.677265", \ "0.235098,0.257512,0.285102,0.334352,0.417984,0.553030,0.774545"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.010072,0.012328,0.015352,0.021401,0.033478,0.057604,0.105845", \ "0.010022,0.012308,0.015349,0.021398,0.033472,0.057605,0.105851", \ "0.015502,0.017126,0.019214,0.023297,0.033629,0.057612,0.105846", \ "0.027917,0.030157,0.032885,0.037719,0.046138,0.062243,0.105833", \ "0.044560,0.047684,0.051494,0.057851,0.068444,0.085758,0.116856", \ "0.065057,0.069237,0.074427,0.082758,0.096161,0.117276,0.150730", \ "0.089439,0.094825,0.101361,0.112105,0.128884,0.154627,0.193866"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.043980,0.053505,0.066289,0.091836,0.142953,0.245051,0.449033", \ "0.043972,0.053513,0.066290,0.091855,0.142950,0.245090,0.449046", \ "0.043776,0.053444,0.066259,0.091835,0.142930,0.245040,0.449025", \ "0.054125,0.060348,0.070068,0.092242,0.142902,0.245046,0.449026", \ "0.072997,0.080940,0.090984,0.108255,0.147646,0.245007,0.449037", \ "0.094145,0.103738,0.115797,0.137013,0.173394,0.251999,0.449093", \ "0.118005,0.129258,0.143399,0.168411,0.210978,0.282533,0.452365"); } } timing () { related_pin : "A1"; when : "!A2 & B1 & B2"; sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.013025,0.014957,0.017524,0.022626,0.032781,0.053037,0.093504", \ "0.018019,0.019984,0.022581,0.027728,0.037928,0.058223,0.098717", \ "0.031011,0.034346,0.038296,0.045047,0.056005,0.076211,0.116651", \ "0.041076,0.046717,0.053382,0.064671,0.082599,0.109705,0.151264", \ "0.045231,0.053534,0.063389,0.080043,0.106400,0.145636,0.202207", \ "0.041989,0.053201,0.066400,0.089033,0.124880,0.178037,0.253714", \ "0.030234,0.044462,0.061327,0.090159,0.136235,0.204704,0.301732"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.062839,0.073824,0.088330,0.116923,0.173539,0.286155,0.510781", \ "0.063410,0.074587,0.089366,0.118480,0.175813,0.289126,0.514263", \ "0.077634,0.088329,0.102658,0.131273,0.188407,0.302078,0.527931", \ "0.110076,0.121926,0.136091,0.163552,0.219296,0.331722,0.556944", \ "0.147453,0.162935,0.181819,0.215454,0.272103,0.382310,0.605555", \ "0.189235,0.208229,0.231536,0.273048,0.343009,0.456931,0.677296", \ "0.236253,0.258578,0.286074,0.335217,0.418706,0.553563,0.774923"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.007330,0.008995,0.011236,0.015709,0.024659,0.042557,0.078344", \ "0.007297,0.008984,0.011231,0.015711,0.024659,0.042549,0.078345", \ "0.013686,0.014983,0.016602,0.019536,0.025906,0.042557,0.078338", \ "0.025552,0.027435,0.029656,0.033601,0.040300,0.051573,0.079397", \ "0.041700,0.044379,0.047552,0.052816,0.061478,0.075383,0.097906", \ "0.061838,0.065462,0.069874,0.076918,0.088041,0.105296,0.132029", \ "0.085905,0.090659,0.096316,0.105652,0.119760,0.141008,0.172901"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.043983,0.053519,0.066307,0.091870,0.142966,0.245060,0.449057", \ "0.043981,0.053509,0.066296,0.091854,0.142952,0.245067,0.449101", \ "0.043770,0.053445,0.066257,0.091836,0.142938,0.245056,0.449073", \ "0.054159,0.060373,0.070098,0.092264,0.142914,0.245059,0.449076", \ "0.072879,0.080870,0.090869,0.108204,0.147653,0.245003,0.449063", \ "0.093815,0.103454,0.115477,0.136797,0.173236,0.252006,0.449134", \ "0.117381,0.128674,0.142784,0.167974,0.210603,0.282314,0.452351"); } } timing () { related_pin : "A2"; when : "!A1 & !B1 & B2"; sdf_cond : "(A1 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.025434,0.028482,0.032446,0.040105,0.054881,0.083505,0.139619", \ "0.029771,0.032826,0.036795,0.044462,0.059242,0.087878,0.143998", \ "0.046889,0.049977,0.053805,0.061189,0.075829,0.104415,0.160488", \ "0.068448,0.073178,0.079087,0.089663,0.107630,0.137038,0.192751", \ "0.086111,0.092807,0.100900,0.115866,0.141198,0.181755,0.244172", \ "0.097658,0.106478,0.117200,0.136837,0.170395,0.223913,0.305357", \ "0.101878,0.112923,0.126502,0.151131,0.193377,0.261032,0.363495"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.079967,0.090748,0.105067,0.133489,0.190035,0.302771,0.527782", \ "0.083716,0.094709,0.109293,0.138133,0.195162,0.308378,0.533709", \ "0.100397,0.111232,0.125702,0.154515,0.211803,0.325586,0.551624", \ "0.130400,0.141213,0.155380,0.183860,0.240805,0.354402,0.580647", \ "0.165675,0.179132,0.196070,0.227670,0.285419,0.398555,0.624549", \ "0.205194,0.221440,0.241728,0.278785,0.344961,0.461625,0.687162", \ "0.250269,0.269550,0.293375,0.336335,0.411854,0.541978,0.770552"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.017736,0.019983,0.022989,0.028992,0.040977,0.064997,0.113181", \ "0.017646,0.019914,0.022935,0.028955,0.040959,0.064995,0.113189", \ "0.019070,0.020792,0.023307,0.028764,0.040798,0.064987,0.113194", \ "0.030775,0.032912,0.035531,0.040293,0.048708,0.067070,0.113182", \ "0.046394,0.049398,0.053162,0.059500,0.070164,0.087702,0.120945", \ "0.065496,0.069634,0.074711,0.083208,0.096928,0.118483,0.152485", \ "0.087922,0.093275,0.099891,0.110952,0.128395,0.154998,0.195021"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.055247,0.065947,0.080225,0.108537,0.163958,0.270761,0.477196", \ "0.055243,0.065920,0.080230,0.108546,0.163975,0.270771,0.477202", \ "0.055239,0.065923,0.080234,0.108535,0.163960,0.270761,0.477199", \ "0.058439,0.067902,0.081066,0.108521,0.163962,0.270755,0.477192", \ "0.074206,0.082810,0.094218,0.116523,0.166136,0.270694,0.477200", \ "0.095428,0.103770,0.115265,0.137884,0.181439,0.274934,0.477197", \ "0.122829,0.130681,0.141767,0.164277,0.208571,0.293605,0.479853"); } } timing () { related_pin : "A2"; when : "!A1 & B1 & !B2"; sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.020254,0.023208,0.027051,0.034499,0.048935,0.077142,0.132848", \ "0.024630,0.027574,0.031412,0.038856,0.053297,0.081512,0.137222", \ "0.040613,0.044042,0.048235,0.055630,0.069907,0.098055,0.153717", \ "0.057266,0.062719,0.069336,0.080963,0.100239,0.130759,0.185998", \ "0.069159,0.076887,0.086170,0.102798,0.130201,0.172992,0.237377", \ "0.074491,0.084689,0.096914,0.118885,0.155328,0.211991,0.296293", \ "0.072302,0.085037,0.100484,0.127958,0.173943,0.245638,0.351895"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.077413,0.088197,0.102504,0.130898,0.187342,0.299846,0.524377", \ "0.081138,0.092134,0.106701,0.135508,0.192478,0.305431,0.530311", \ "0.097842,0.108668,0.123122,0.151894,0.209102,0.322655,0.548209", \ "0.127679,0.138665,0.152833,0.181265,0.238067,0.351448,0.577252", \ "0.162290,0.175934,0.193045,0.224828,0.282726,0.395622,0.621134", \ "0.200980,0.217499,0.238065,0.275429,0.341892,0.458706,0.683840", \ "0.245090,0.264755,0.288879,0.332357,0.408326,0.538748,0.767182"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.014336,0.016554,0.019531,0.025469,0.037382,0.061360,0.109529", \ "0.014111,0.016395,0.019416,0.025402,0.037364,0.061359,0.109522", \ "0.017765,0.019342,0.021384,0.026129,0.037286,0.061365,0.109528", \ "0.029929,0.032083,0.034718,0.039458,0.047794,0.064825,0.109555", \ "0.045862,0.048997,0.052774,0.059122,0.069746,0.087115,0.119109", \ "0.065218,0.069494,0.074753,0.083232,0.096929,0.118303,0.151959", \ "0.087664,0.093268,0.100114,0.111324,0.128777,0.155131,0.194815"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.043972,0.053497,0.066271,0.091859,0.142948,0.245085,0.449050", \ "0.043980,0.053499,0.066270,0.091864,0.142947,0.245068,0.449074", \ "0.043979,0.053514,0.066296,0.091847,0.142943,0.245093,0.449047", \ "0.047384,0.055663,0.067225,0.091878,0.142910,0.245044,0.449047", \ "0.059616,0.068071,0.079128,0.100085,0.145203,0.244986,0.449051", \ "0.075391,0.084176,0.095822,0.118023,0.160517,0.249280,0.449109", \ "0.095183,0.104371,0.116602,0.139748,0.184036,0.268073,0.451781"); } } timing () { related_pin : "A2"; when : "!A1 & B1 & B2"; sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.014981,0.017183,0.020043,0.025575,0.036251,0.057004,0.097863", \ "0.019914,0.022072,0.024898,0.030393,0.041044,0.061796,0.102652", \ "0.035506,0.038469,0.042056,0.048340,0.058986,0.079574,0.120352", \ "0.050353,0.055206,0.061038,0.071187,0.087825,0.113733,0.154940", \ "0.060586,0.067550,0.075926,0.090702,0.114831,0.151957,0.206801", \ "0.064194,0.073535,0.084715,0.104573,0.137163,0.187130,0.260177", \ "0.060080,0.071883,0.086168,0.111279,0.152980,0.217112,0.310419"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.077190,0.087971,0.102279,0.130671,0.187116,0.299627,0.524180", \ "0.080621,0.091580,0.106116,0.134887,0.191831,0.304831,0.529726", \ "0.097628,0.108399,0.122788,0.151439,0.208505,0.321944,0.547478", \ "0.127636,0.138574,0.152717,0.181086,0.237774,0.350956,0.576580", \ "0.162258,0.175890,0.193041,0.224731,0.282586,0.395364,0.620671", \ "0.201062,0.217558,0.238084,0.275442,0.341859,0.458607,0.683571", \ "0.245536,0.265140,0.289232,0.332621,0.408478,0.538807,0.767137"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.010447,0.012152,0.014400,0.018843,0.027677,0.045399,0.081044", \ "0.010112,0.011887,0.014194,0.018712,0.027616,0.045380,0.081038", \ "0.015482,0.016703,0.018237,0.021147,0.028175,0.045358,0.081045", \ "0.027133,0.028909,0.031076,0.034929,0.041537,0.052889,0.081762", \ "0.042537,0.045167,0.048336,0.053643,0.062378,0.076327,0.098920", \ "0.061391,0.065112,0.069658,0.076879,0.088303,0.105873,0.132845", \ "0.083512,0.088466,0.094451,0.104240,0.119038,0.141007,0.173385"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.043981,0.053501,0.066298,0.091852,0.142956,0.245066,0.449060", \ "0.043983,0.053499,0.066276,0.091853,0.142952,0.245105,0.449098", \ "0.043981,0.053515,0.066295,0.091853,0.142955,0.245106,0.449072", \ "0.047440,0.055682,0.067247,0.091885,0.142920,0.245055,0.449075", \ "0.059631,0.068058,0.079118,0.100108,0.145226,0.244999,0.449076", \ "0.075371,0.084162,0.095773,0.118049,0.160536,0.249304,0.449110", \ "0.095020,0.104229,0.116443,0.139694,0.183999,0.268076,0.451825"); } } timing () { related_pin : "B1"; when : "!A1 & A2 & !B2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.026581,0.029514,0.033336,0.040767,0.055203,0.083437,0.139173", \ "0.032153,0.035117,0.038973,0.046452,0.060940,0.089220,0.144986", \ "0.048020,0.051149,0.055094,0.062525,0.077036,0.105401,0.161249", \ "0.068532,0.072729,0.077913,0.087439,0.104366,0.134193,0.190221", \ "0.087978,0.094033,0.101350,0.114326,0.136434,0.173010,0.234540", \ "0.102281,0.110677,0.120768,0.138754,0.168503,0.215108,0.288227", \ "0.109005,0.120203,0.133568,0.157295,0.196519,0.256514,0.345618"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.100266,0.111257,0.125875,0.154806,0.212125,0.325662,0.551449", \ "0.101737,0.112865,0.127632,0.156787,0.214371,0.328222,0.554201", \ "0.114947,0.126006,0.140822,0.169993,0.227809,0.342002,0.568396", \ "0.147627,0.158366,0.172627,0.201294,0.258314,0.371986,0.598052", \ "0.197209,0.209795,0.225605,0.254416,0.310128,0.422100,0.646821", \ "0.251251,0.266822,0.286432,0.322329,0.385239,0.495919,0.718157", \ "0.309838,0.328358,0.351739,0.394633,0.469879,0.595504,0.815312"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.013868,0.016158,0.019203,0.025252,0.037288,0.061346,0.109527", \ "0.013877,0.016171,0.019216,0.025260,0.037288,0.061337,0.109520", \ "0.014897,0.016870,0.019594,0.025259,0.037191,0.061329,0.109518", \ "0.020723,0.022836,0.025615,0.031026,0.041522,0.062679,0.109490", \ "0.031278,0.033622,0.036618,0.042224,0.052739,0.073197,0.114073", \ "0.046191,0.049126,0.052807,0.059182,0.070210,0.090452,0.130234", \ "0.065161,0.068828,0.073399,0.081201,0.093804,0.114837,0.153849"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.075046,0.085794,0.100268,0.128892,0.184637,0.291777,0.499045", \ "0.075065,0.085815,0.100321,0.128910,0.184642,0.291783,0.499048", \ "0.075031,0.085838,0.100319,0.128877,0.184648,0.291786,0.499049", \ "0.076049,0.086096,0.100133,0.128795,0.184572,0.291781,0.499050", \ "0.094632,0.101561,0.112181,0.135224,0.185416,0.291723,0.499048", \ "0.122633,0.131469,0.142697,0.163548,0.202987,0.294454,0.499045", \ "0.155448,0.165401,0.178104,0.201540,0.242491,0.318388,0.500177"); } } timing () { related_pin : "B1"; when : "A1 & !A2 & !B2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.022678,0.025300,0.028795,0.035746,0.049601,0.077248,0.132500", \ "0.028145,0.030830,0.034378,0.041400,0.055325,0.083031,0.138317", \ "0.042691,0.045860,0.049863,0.057262,0.071342,0.099177,0.154562", \ "0.059453,0.064184,0.069840,0.079968,0.097481,0.127782,0.183488", \ "0.072821,0.080040,0.088541,0.103149,0.127027,0.164976,0.227443", \ "0.079188,0.089541,0.101637,0.122396,0.155341,0.204813,0.279830", \ "0.077020,0.090854,0.107013,0.134731,0.178608,0.243069,0.335449"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.097529,0.108536,0.123126,0.152021,0.209206,0.322570,0.547949", \ "0.098940,0.110103,0.124864,0.154014,0.211504,0.325121,0.550701", \ "0.112162,0.123281,0.138048,0.167232,0.224881,0.338890,0.564896", \ "0.144955,0.155689,0.169956,0.198578,0.255495,0.368877,0.594548", \ "0.193907,0.206663,0.222602,0.251762,0.307374,0.419081,0.643326", \ "0.247000,0.262804,0.282619,0.318817,0.382236,0.492950,0.714668", \ "0.304607,0.323396,0.347013,0.390265,0.466068,0.592301,0.811860"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.010080,0.012328,0.015352,0.021398,0.033474,0.057601,0.105854", \ "0.010081,0.012330,0.015353,0.021401,0.033474,0.057607,0.105848", \ "0.011960,0.013991,0.016573,0.021960,0.033496,0.057613,0.105855", \ "0.018562,0.020627,0.023355,0.028626,0.038997,0.059692,0.105843", \ "0.030143,0.032537,0.035576,0.041026,0.051121,0.071076,0.111350", \ "0.046351,0.049294,0.052925,0.059171,0.069777,0.089215,0.128201", \ "0.066922,0.070450,0.074834,0.082309,0.094434,0.114635,0.152503"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.061026,0.070756,0.083927,0.110051,0.161947,0.265233,0.470521", \ "0.061017,0.070776,0.083918,0.110048,0.161988,0.265237,0.470515", \ "0.061004,0.070759,0.083906,0.110031,0.161942,0.265212,0.470537", \ "0.062230,0.071205,0.083697,0.109967,0.161916,0.265226,0.470520", \ "0.078989,0.086847,0.096273,0.116761,0.162892,0.265176,0.470538", \ "0.100406,0.109929,0.121755,0.143067,0.180858,0.267992,0.470532", \ "0.124039,0.135487,0.149667,0.174776,0.217449,0.292188,0.471748"); } } timing () { related_pin : "B1"; when : "A1 & A2 & !B2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.019578,0.021624,0.024347,0.029764,0.040554,0.062085,0.105109", \ "0.025120,0.027213,0.029977,0.035446,0.046290,0.067864,0.110914", \ "0.040729,0.043422,0.046765,0.052865,0.063924,0.085615,0.128753", \ "0.057998,0.062452,0.067747,0.077026,0.092498,0.117903,0.161789", \ "0.071168,0.078108,0.086329,0.100359,0.123060,0.157692,0.210998", \ "0.077165,0.087125,0.098858,0.119021,0.151003,0.198373,0.266811", \ "0.074327,0.087762,0.103535,0.130487,0.173309,0.236046,0.324056"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.106067,0.116992,0.131515,0.160281,0.217304,0.330498,0.555721", \ "0.107506,0.118609,0.133274,0.162327,0.219700,0.333229,0.558712", \ "0.120690,0.131685,0.146371,0.175421,0.232910,0.346818,0.572792", \ "0.153293,0.164001,0.178192,0.206748,0.263504,0.376691,0.602276", \ "0.203891,0.216103,0.231496,0.259787,0.315341,0.426941,0.651032", \ "0.259348,0.274500,0.293612,0.328693,0.390712,0.500853,0.722488", \ "0.319331,0.337350,0.360127,0.402130,0.476247,0.600642,0.819773"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.008258,0.009999,0.012328,0.016981,0.026255,0.044769,0.081761", \ "0.008266,0.010001,0.012329,0.016979,0.026252,0.044770,0.081761", \ "0.010730,0.012227,0.014182,0.018006,0.026424,0.044770,0.081756", \ "0.018546,0.020231,0.022376,0.026387,0.033927,0.048475,0.081958", \ "0.031048,0.033107,0.035728,0.040379,0.048376,0.063002,0.091171", \ "0.048261,0.050760,0.053911,0.059424,0.068645,0.084206,0.112483", \ "0.070121,0.072994,0.076712,0.083317,0.094171,0.111740,0.141213"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.065298,0.075128,0.088335,0.114547,0.166623,0.269997,0.475469", \ "0.065306,0.075124,0.088326,0.114563,0.166605,0.269991,0.475510", \ "0.065299,0.075123,0.088335,0.114547,0.166614,0.270002,0.475471", \ "0.065843,0.075122,0.088260,0.114523,0.166591,0.270005,0.475470", \ "0.081564,0.088811,0.098712,0.119984,0.167127,0.269952,0.475471", \ "0.103431,0.112765,0.124385,0.145507,0.183653,0.272192,0.475503", \ "0.127761,0.138912,0.152782,0.177538,0.219853,0.295175,0.476403"); } } timing () { related_pin : "B2"; when : "!A1 & A2 & !B1"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.031341,0.034383,0.038344,0.046004,0.060774,0.089408,0.145543", \ "0.036546,0.039592,0.043556,0.051220,0.066000,0.094639,0.150776", \ "0.052459,0.055526,0.059445,0.067076,0.081859,0.110534,0.166718", \ "0.075356,0.079264,0.084147,0.093304,0.109799,0.139335,0.195672", \ "0.098989,0.104396,0.111000,0.122862,0.143827,0.179394,0.240178", \ "0.118950,0.126295,0.135165,0.151376,0.178944,0.223419,0.295029", \ "0.132338,0.142002,0.153744,0.174893,0.210876,0.267514,0.354007"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.113817,0.124781,0.139348,0.168203,0.225518,0.339046,0.564792", \ "0.117955,0.128976,0.143624,0.172609,0.230012,0.343661,0.569562", \ "0.135293,0.146329,0.161049,0.190101,0.247686,0.361639,0.587789", \ "0.165407,0.176426,0.190968,0.219908,0.277438,0.391449,0.617708", \ "0.207800,0.219938,0.235749,0.264976,0.322212,0.436117,0.662315", \ "0.255821,0.269945,0.288104,0.322382,0.384878,0.499291,0.725359", \ "0.310208,0.326605,0.347470,0.386383,0.456897,0.582034,0.808926"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.017593,0.019872,0.022903,0.028928,0.040948,0.064987,0.113186", \ "0.017565,0.019845,0.022886,0.028920,0.040941,0.064994,0.113185", \ "0.017945,0.020071,0.022963,0.028845,0.040894,0.064989,0.113203", \ "0.023282,0.025434,0.028276,0.033780,0.044304,0.066004,0.113201", \ "0.033087,0.035429,0.038465,0.044239,0.055035,0.075769,0.117184", \ "0.047292,0.050214,0.053893,0.060349,0.071648,0.092451,0.132730", \ "0.065351,0.069016,0.073593,0.081502,0.094391,0.116038,0.155891"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.075033,0.085829,0.100320,0.128884,0.184639,0.291787,0.499049", \ "0.075039,0.085815,0.100315,0.128880,0.184645,0.291773,0.499057", \ "0.075063,0.085819,0.100328,0.128877,0.184614,0.291783,0.499051", \ "0.075088,0.085800,0.100297,0.128835,0.184615,0.291803,0.499048", \ "0.085221,0.093847,0.106298,0.132030,0.184847,0.291772,0.499049", \ "0.103636,0.112924,0.125322,0.149255,0.195401,0.293607,0.499057", \ "0.126573,0.136201,0.148932,0.173709,0.219981,0.308470,0.500313"); } } timing () { related_pin : "B2"; when : "A1 & !A2 & !B1"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.026263,0.029188,0.033004,0.040429,0.054860,0.083088,0.138825", \ "0.031484,0.034407,0.038224,0.045649,0.060081,0.088313,0.144064", \ "0.046873,0.049996,0.053962,0.061439,0.075912,0.104196,0.159983", \ "0.067088,0.071317,0.076518,0.086063,0.103019,0.132891,0.188910", \ "0.086090,0.092262,0.099646,0.112671,0.134892,0.171556,0.233154", \ "0.099723,0.108304,0.118569,0.136766,0.166731,0.213531,0.286744", \ "0.105746,0.117187,0.130822,0.154869,0.194441,0.254751,0.344043"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.111039,0.122028,0.136607,0.165458,0.222599,0.335932,0.561292", \ "0.115184,0.126235,0.140876,0.169849,0.227127,0.340620,0.566048", \ "0.132512,0.143585,0.158272,0.187343,0.244802,0.358565,0.584294", \ "0.162660,0.173691,0.188213,0.217153,0.274532,0.388298,0.614197", \ "0.204691,0.216938,0.232845,0.262256,0.319389,0.433050,0.658796", \ "0.252129,0.266412,0.284684,0.319166,0.381864,0.496286,0.721841", \ "0.305849,0.322430,0.343447,0.382585,0.453426,0.578760,0.805463"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.014046,0.016320,0.019352,0.025369,0.037362,0.061387,0.109575", \ "0.013978,0.016262,0.019306,0.025332,0.037340,0.061380,0.109576", \ "0.015208,0.017219,0.019948,0.025586,0.037356,0.061385,0.109565", \ "0.021191,0.023301,0.026065,0.031424,0.041855,0.062990,0.109594", \ "0.031940,0.034265,0.037261,0.042852,0.053291,0.073608,0.114402", \ "0.046939,0.049882,0.053572,0.059939,0.070939,0.091061,0.130662", \ "0.065765,0.069470,0.074074,0.081916,0.094565,0.115568,0.154427"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.061028,0.070753,0.083949,0.110050,0.161937,0.265212,0.470517", \ "0.061005,0.070775,0.083944,0.110046,0.161976,0.265254,0.470524", \ "0.061008,0.070775,0.083897,0.110051,0.161954,0.265223,0.470535", \ "0.061089,0.070762,0.083872,0.110009,0.161956,0.265210,0.470539", \ "0.070404,0.078879,0.090154,0.113370,0.162271,0.265253,0.470518", \ "0.084363,0.093723,0.105911,0.129220,0.172999,0.267072,0.470537", \ "0.101611,0.111693,0.124789,0.149527,0.195381,0.281993,0.471870"); } } timing () { related_pin : "B2"; when : "A1 & A2 & !B1"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.021898,0.024193,0.027189,0.033017,0.044323,0.066383,0.109842", \ "0.027260,0.029551,0.032544,0.038366,0.049668,0.071726,0.115199", \ "0.043999,0.046592,0.049848,0.055860,0.067168,0.089266,0.132774", \ "0.065082,0.068997,0.073766,0.082336,0.097053,0.121885,0.165820", \ "0.083904,0.089836,0.096976,0.109447,0.130299,0.163359,0.215584", \ "0.096920,0.105233,0.115288,0.132941,0.161955,0.206484,0.272801", \ "0.102228,0.113319,0.126624,0.150075,0.188705,0.247285,0.331946"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.119638,0.130524,0.145014,0.173745,0.230727,0.343896,0.569068", \ "0.123824,0.134788,0.149366,0.178250,0.235420,0.348753,0.574114", \ "0.141033,0.152021,0.166632,0.195580,0.252920,0.366633,0.592262", \ "0.171127,0.182069,0.196525,0.225315,0.282561,0.396232,0.621990", \ "0.214168,0.226070,0.241538,0.270451,0.327426,0.440805,0.666468", \ "0.263203,0.277038,0.294800,0.328485,0.390339,0.504108,0.729497", \ "0.318838,0.334772,0.355131,0.393354,0.462956,0.587030,0.813123"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.011285,0.013060,0.015420,0.020069,0.029291,0.047703,0.084604", \ "0.011201,0.012993,0.015356,0.020027,0.029262,0.047694,0.084613", \ "0.013103,0.014588,0.016515,0.020570,0.029288,0.047695,0.084603", \ "0.020588,0.022217,0.024326,0.028318,0.035880,0.050661,0.084738", \ "0.032450,0.034443,0.036979,0.041622,0.049762,0.064592,0.093056", \ "0.048353,0.050934,0.054186,0.059851,0.069310,0.085252,0.113983", \ "0.068230,0.071465,0.075498,0.082581,0.093983,0.112149,0.142243"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.065303,0.075123,0.088328,0.114578,0.166603,0.270033,0.475503", \ "0.065299,0.075132,0.088331,0.114546,0.166593,0.269976,0.475503", \ "0.065300,0.075130,0.088339,0.114551,0.166626,0.270079,0.475505", \ "0.065336,0.075127,0.088319,0.114535,0.166617,0.270082,0.475505", \ "0.073655,0.082048,0.093541,0.117273,0.166720,0.269964,0.475513", \ "0.087790,0.097131,0.109284,0.132570,0.176675,0.271562,0.475507", \ "0.105125,0.115172,0.128199,0.153005,0.198855,0.285819,0.476592"); } } internal_power () { related_pin : "A1"; when : "!A2 & !B1 & B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("1.041373,1.069113,1.092858,1.133814,1.175612,1.226081,1.270322", \ "1.044669,1.077308,1.108955,1.155605,1.209982,1.267999,1.324092", \ "1.034509,1.064266,1.086503,1.137266,1.199648,1.276716,1.340357", \ "1.189073,1.193737,1.184663,1.177264,1.196535,1.268286,1.335220", \ "1.449519,1.436238,1.419136,1.391016,1.353636,1.319072,1.369586", \ "1.852061,1.823835,1.789983,1.730571,1.658065,1.557942,1.474980", \ "2.407769,2.376939,2.334271,2.254049,2.121860,1.946825,1.746718"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("4.851453,4.872207,4.885450,4.893774,4.941132,4.912285,4.933541", \ "4.700803,4.732887,4.769853,4.820938,4.859112,4.898127,4.937707", \ "4.603629,4.620102,4.634568,4.675500,4.735870,4.814609,4.885136", \ "4.729342,4.727430,4.732883,4.723750,4.715736,4.702653,4.764349", \ "5.049638,5.027047,5.002561,4.963897,4.901844,4.836214,4.785965", \ "5.493617,5.517291,5.477653,5.371192,5.268366,5.141002,4.961869", \ "6.051241,6.027575,6.015749,5.982115,5.841708,5.613386,5.355885"); } } internal_power () { related_pin : "A1"; when : "!A2 & B1 & !B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.449067,0.451400,0.459377,0.457088,0.465707,0.473975,0.472438", \ "0.425687,0.443372,0.461193,0.479791,0.501831,0.513034,0.527404", \ "0.381026,0.392479,0.409619,0.443423,0.484745,0.518153,0.543534", \ "0.535528,0.520093,0.505502,0.478004,0.469474,0.500834,0.536191", \ "0.809116,0.774722,0.741074,0.687175,0.629761,0.554661,0.562560", \ "1.239772,1.194426,1.142518,1.049896,0.934875,0.798234,0.671982", \ "1.839101,1.781257,1.721556,1.605870,1.421346,1.193666,0.953291"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("3.919485,3.944950,3.955932,3.992185,3.999828,4.011726,4.019239", \ "3.766936,3.803541,3.838126,3.871897,3.897660,3.998086,4.023471", \ "3.682000,3.693615,3.718177,3.750713,3.792505,3.851716,3.906969", \ "3.810531,3.803086,3.788680,3.759515,3.772482,3.800342,3.847954", \ "4.106962,4.110486,4.079317,4.020999,3.960484,3.872252,3.869878", \ "4.393845,4.421302,4.457855,4.439973,4.325091,4.178499,4.048424", \ "4.988929,4.981526,4.970409,4.965856,4.898777,4.649201,4.444206"); } } internal_power () { related_pin : "A1"; when : "!A2 & B1 & B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.468315,0.462707,0.458945,0.466676,0.470614,0.470166,0.474505", \ "0.441918,0.450889,0.466078,0.480960,0.498738,0.514350,0.527892", \ "0.433963,0.426412,0.423756,0.445197,0.484190,0.520133,0.547454", \ "0.570410,0.551679,0.547961,0.528525,0.491289,0.509040,0.539504", \ "0.832058,0.804942,0.772477,0.730938,0.666836,0.602078,0.572199", \ "1.265803,1.220055,1.170141,1.095199,0.982271,0.850597,0.711077", \ "1.862555,1.812713,1.754062,1.643748,1.468040,1.248841,1.020363"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("3.908470,3.934351,3.947652,3.966027,3.989889,4.001767,4.008981", \ "3.741760,3.776416,3.813174,3.854388,3.870214,3.906765,3.998183", \ "3.661500,3.679268,3.690772,3.715645,3.763840,3.819074,3.873689", \ "3.809165,3.799991,3.798532,3.776914,3.758438,3.777801,3.817354", \ "4.123065,4.118768,4.071797,4.004822,3.959705,3.863946,3.851099", \ "4.405894,4.433046,4.462203,4.490871,4.334258,4.183604,4.044924", \ "5.017858,5.001828,5.003767,4.985754,4.953293,4.728969,4.454307"); } } internal_power () { related_pin : "A2"; when : "!A1 & !B1 & B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("1.627496,1.663081,1.696251,1.752092,1.819592,1.899269,1.988715", \ "1.563950,1.599890,1.637127,1.681947,1.753735,1.835221,1.918315", \ "1.502320,1.536328,1.559063,1.620040,1.695639,1.792641,1.878148", \ "1.643912,1.646747,1.639048,1.637249,1.699758,1.779259,1.865905", \ "1.924676,1.917560,1.908504,1.895019,1.858369,1.837691,1.912740", \ "2.333206,2.316014,2.296905,2.251904,2.192922,2.097718,2.031123", \ "2.909564,2.881399,2.842552,2.777828,2.679059,2.519157,2.322470"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("6.010398,6.024285,6.030139,6.027353,6.032829,6.058506,6.078563", \ "5.911894,5.935199,5.954170,6.006759,6.046337,6.033656,6.065311", \ "5.783773,5.801113,5.825468,5.840526,5.910039,5.978710,5.976073", \ "5.754497,5.754487,5.759464,5.780554,5.826324,5.871237,5.897292", \ "5.808112,5.810960,5.805461,5.770648,5.799104,5.836352,5.829231", \ "5.919116,5.966362,5.972883,5.916199,5.883570,5.875505,5.891043", \ "6.144942,6.144259,6.159599,6.184803,6.150566,6.078789,6.022681"); } } internal_power () { related_pin : "A2"; when : "!A1 & B1 & !B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.986331,0.996921,1.032830,1.067463,1.102878,1.139988,1.189544", \ "0.914566,0.931949,0.955392,0.985758,1.040485,1.081802,1.125847", \ "0.831722,0.859846,0.889572,0.931685,0.977167,1.021740,1.079825", \ "0.999846,0.987509,0.978227,0.953737,0.965580,1.023124,1.070124", \ "1.283176,1.262811,1.242991,1.193463,1.143789,1.088347,1.113242", \ "1.710877,1.679892,1.640116,1.581246,1.475046,1.346769,1.231165", \ "2.305533,2.265427,2.219504,2.123571,1.977512,1.769026,1.533073"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("5.088791,5.097527,5.109030,5.108638,5.087630,5.137553,5.099553", \ "4.979427,5.007040,5.030033,5.046203,5.105162,5.131896,5.151770", \ "4.852334,4.874267,4.897618,4.914042,4.967117,5.037085,5.059831", \ "4.822921,4.827468,4.821406,4.846118,4.883553,4.928094,4.982282", \ "4.879491,4.887244,4.872284,4.859396,4.855621,4.874850,4.913354", \ "4.822101,4.868218,4.937237,5.016951,4.996007,4.911608,4.979894", \ "5.077445,5.096649,5.119047,5.163989,5.257097,5.159732,5.045677"); } } internal_power () { related_pin : "A2"; when : "!A1 & B1 & B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.918761,0.942627,0.969537,1.019256,1.067472,1.124143,1.170092", \ "0.849419,0.872336,0.914332,0.957903,1.000106,1.050321,1.106942", \ "0.811673,0.815521,0.844214,0.888926,0.941650,1.005204,1.056034", \ "1.017897,1.003282,0.991596,0.976903,0.947072,1.002764,1.050819", \ "1.299507,1.285082,1.257917,1.223131,1.174382,1.097771,1.100617", \ "1.727858,1.698866,1.669424,1.606283,1.508568,1.383975,1.244315", \ "2.325827,2.292593,2.248014,2.155811,2.017216,1.818973,1.585942"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("5.069166,5.087313,5.101083,5.096641,5.077466,5.084809,5.088814", \ "4.957193,4.983443,5.006118,5.030092,5.079295,5.110492,5.126579", \ "4.842933,4.862535,4.878008,4.904266,4.943057,4.987267,5.029209", \ "4.819285,4.827301,4.827887,4.819959,4.870671,4.907331,4.953744", \ "4.877437,4.884473,4.871808,4.844350,4.848233,4.862636,4.891941", \ "4.822738,4.868371,4.943762,5.000388,4.992859,4.904754,4.901149", \ "5.093269,5.102849,5.115405,5.168632,5.259041,5.111063,5.039559"); } } internal_power () { related_pin : "B1"; when : "!A1 & A2 & !B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("1.046691,1.074411,1.099176,1.125763,1.168313,1.223144,1.261717", \ "1.052416,1.070842,1.103743,1.160290,1.214543,1.269074,1.321452", \ "0.992939,1.029309,1.066476,1.120695,1.190703,1.269504,1.336643", \ "1.027855,1.045381,1.062295,1.076496,1.136576,1.225609,1.313477", \ "1.134935,1.135849,1.147852,1.159686,1.174682,1.192253,1.283888", \ "1.325216,1.321295,1.314145,1.313354,1.305154,1.303578,1.290277", \ "1.642390,1.629991,1.614474,1.583990,1.544242,1.497999,1.439382"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("6.946572,6.958823,6.981570,6.985668,7.050810,7.110437,7.112387", \ "6.828795,6.848443,6.873014,6.918661,6.933998,7.002971,7.073836", \ "6.709255,6.735885,6.760433,6.808453,6.860542,6.946436,7.035429", \ "6.789695,6.802779,6.807510,6.825476,6.849026,6.922360,7.005005", \ "7.058240,7.053387,7.048886,7.043463,7.028885,7.000543,7.049201", \ "7.517689,7.499060,7.472339,7.434394,7.389689,7.305442,7.236561", \ "8.021869,8.034082,8.052291,8.066768,7.927984,7.773785,7.638099"); } } internal_power () { related_pin : "B1"; when : "A1 & !A2 & !B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.451331,0.455275,0.458770,0.465110,0.471398,0.468627,0.476144", \ "0.432149,0.450959,0.464635,0.485263,0.505781,0.515423,0.525312", \ "0.317107,0.347585,0.379199,0.422115,0.469110,0.510593,0.540337", \ "0.342461,0.351929,0.361569,0.364730,0.396407,0.459683,0.511432", \ "0.424281,0.426336,0.424347,0.426320,0.434861,0.421173,0.479930", \ "0.628824,0.613065,0.596746,0.582344,0.558105,0.530211,0.491188", \ "0.977598,0.953759,0.916520,0.872775,0.797339,0.721297,0.637042"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("5.944713,5.969622,5.991909,6.032661,6.081935,6.132813,6.188338", \ "5.825981,5.858264,5.879429,5.930752,6.000319,6.085744,6.149275", \ "5.715980,5.736484,5.775663,5.826344,5.897107,5.965733,6.111216", \ "5.793487,5.809355,5.809407,5.849298,5.880638,5.942279,6.081154", \ "6.065536,6.063663,6.060052,6.042880,6.062782,6.085554,6.125558", \ "6.409006,6.463267,6.495793,6.464348,6.414696,6.327037,6.313504", \ "6.908530,6.933926,6.959856,7.008878,6.999753,6.857460,6.651591"); } } internal_power () { related_pin : "B1"; when : "A1 & A2 & !B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.460712,0.457313,0.457458,0.466416,0.468315,0.467984,0.477618", \ "0.429639,0.452879,0.464543,0.486806,0.505157,0.520931,0.529398", \ "0.327671,0.357540,0.383876,0.426050,0.470934,0.515145,0.543234", \ "0.349819,0.361150,0.373777,0.389511,0.393786,0.460405,0.515555", \ "0.433499,0.433233,0.437773,0.446280,0.450801,0.449383,0.488616", \ "0.642521,0.629567,0.613933,0.585004,0.573909,0.550593,0.512680", \ "1.008060,0.972092,0.936097,0.883882,0.821840,0.746928,0.668501"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("6.439275,6.453226,6.474909,6.501119,6.547332,6.596809,6.717897", \ "6.312480,6.343436,6.383873,6.421800,6.494621,6.556623,6.624105", \ "6.200456,6.229177,6.259893,6.315233,6.353270,6.494596,6.580409", \ "6.278911,6.292945,6.306068,6.316409,6.344088,6.466123,6.544019", \ "6.539469,6.539469,6.545255,6.544485,6.527720,6.548494,6.587726", \ "6.901889,6.963661,6.984842,6.928620,6.901863,6.859037,6.780754", \ "7.398731,7.422820,7.457046,7.526438,7.485509,7.329232,7.190057"); } } internal_power () { related_pin : "B2"; when : "!A1 & A2 & !B1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("1.643344,1.667675,1.709666,1.758306,1.816459,1.895280,1.986746", \ "1.574076,1.608371,1.633640,1.685366,1.755767,1.835252,1.927652", \ "1.498046,1.533784,1.568640,1.622916,1.698496,1.774656,1.876804", \ "1.548559,1.554469,1.556505,1.590480,1.659069,1.757155,1.851699", \ "1.689525,1.696770,1.701807,1.708746,1.719476,1.735501,1.842955", \ "1.884925,1.889803,1.885024,1.889151,1.877071,1.871536,1.869433", \ "2.195931,2.191248,2.171741,2.159921,2.129582,2.094223,2.034550"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("8.077375,8.089654,8.111311,8.111995,8.135334,8.191769,8.254218", \ "7.997238,8.012069,8.029935,8.051426,8.125130,8.130529,8.208189", \ "7.908078,7.924312,7.947036,7.972225,8.030017,8.105467,8.184089", \ "7.867989,7.887502,7.897407,7.919080,7.977893,8.057049,8.141627", \ "7.902418,7.910909,7.927508,7.932655,7.954649,8.023719,8.101887", \ "8.047431,8.045667,8.049240,8.076114,8.095528,8.123729,8.181826", \ "8.193757,8.219953,8.271657,8.333770,8.326786,8.314137,8.254121"); } } internal_power () { related_pin : "B2"; when : "A1 & !A2 & !B1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.992446,1.001990,1.019417,1.059900,1.100943,1.147354,1.184779", \ "0.918850,0.942942,0.963998,1.000185,1.038701,1.086875,1.128402", \ "0.825089,0.855561,0.879166,0.926801,0.965936,1.028580,1.076508", \ "0.878795,0.886942,0.885687,0.885642,0.928763,0.985839,1.052079", \ "0.999034,1.003997,1.002340,0.992303,0.992957,0.967058,1.041178", \ "1.199934,1.192092,1.175137,1.164732,1.141704,1.112519,1.065596", \ "1.512274,1.496861,1.475620,1.446044,1.394584,1.326269,1.234473"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("7.076254,7.100863,7.119020,7.141041,7.209101,7.274532,7.330983", \ "7.001019,7.022543,7.050487,7.077882,7.157277,7.214630,7.272905", \ "6.905682,6.934883,6.958934,7.004823,7.060567,7.126650,7.261165", \ "6.865317,6.893607,6.912192,6.958017,7.007639,7.075616,7.217587", \ "6.896411,6.919609,6.946186,6.949030,7.019327,7.108356,7.177140", \ "6.917211,6.999151,7.071106,7.095437,7.124823,7.146993,7.193409", \ "7.076632,7.121096,7.170587,7.269563,7.357967,7.334962,7.331161"); } } internal_power () { related_pin : "B2"; when : "A1 & A2 & !B1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.959839,0.985416,1.007915,1.037386,1.085735,1.126328,1.172443", \ "0.879844,0.913285,0.940062,0.972916,1.009649,1.067676,1.110957", \ "0.804198,0.832666,0.853189,0.894695,0.947741,1.005971,1.061660", \ "0.887920,0.896653,0.898199,0.884109,0.912149,0.974238,1.027768", \ "1.012752,1.014784,1.004796,1.001249,0.999047,0.973501,1.028133", \ "1.208590,1.201452,1.190238,1.169069,1.156490,1.126233,1.066738", \ "1.538608,1.522321,1.499780,1.466393,1.415422,1.338121,1.253968"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("7.562452,7.585365,7.607796,7.632976,7.691624,7.740755,7.795891", \ "7.493533,7.510141,7.544760,7.592412,7.631596,7.686979,7.748386", \ "7.389859,7.417770,7.443826,7.477558,7.527626,7.660157,7.733369", \ "7.347681,7.374437,7.393542,7.438564,7.471634,7.604012,7.682416", \ "7.384900,7.397732,7.422709,7.441775,7.509604,7.565631,7.677805", \ "7.432588,7.494192,7.553127,7.588720,7.582973,7.606443,7.717630", \ "7.573897,7.612272,7.666355,7.769615,7.818213,7.794288,7.854270"); } } } } /****************************************************************************************** Module : OAI22_X4 Cell Description : Combinational cell (OAI22_X4) with drive strength X4 *******************************************************************************************/ cell (OAI22_X4) { drive_strength : 4; area : 4.522000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 76.862513; leakage_power () { when : "!A1 & !A2 & !B1 & !B2"; value : 25.412880; } leakage_power () { when : "!A1 & !A2 & !B1 & B2"; value : 119.013245; } leakage_power () { when : "!A1 & !A2 & B1 & !B2"; value : 119.013245; } leakage_power () { when : "!A1 & !A2 & B1 & B2"; value : 139.330515; } leakage_power () { when : "!A1 & A2 & !B1 & !B2"; value : 62.994030; } leakage_power () { when : "!A1 & A2 & !B1 & B2"; value : 58.104280; } leakage_power () { when : "!A1 & A2 & B1 & !B2"; value : 63.570105; } leakage_power () { when : "!A1 & A2 & B1 & B2"; value : 72.034130; } leakage_power () { when : "A1 & !A2 & !B1 & !B2"; value : 62.994126; } leakage_power () { when : "A1 & !A2 & !B1 & B2"; value : 63.570105; } leakage_power () { when : "A1 & !A2 & B1 & !B2"; value : 69.035645; } leakage_power () { when : "A1 & !A2 & B1 & B2"; value : 77.500145; } leakage_power () { when : "A1 & A2 & !B1 & !B2"; value : 61.742313; } leakage_power () { when : "A1 & A2 & !B1 & B2"; value : 72.029570; } leakage_power () { when : "A1 & A2 & B1 & !B2"; value : 77.495015; } leakage_power () { when : "A1 & A2 & B1 & B2"; value : 85.960864; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 6.254623; fall_capacitance : 5.429065; rise_capacitance : 6.254623; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 6.383833; fall_capacitance : 6.203602; rise_capacitance : 6.383833; } pin (B1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 6.263253; fall_capacitance : 5.372997; rise_capacitance : 6.263253; } pin (B2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 6.310709; fall_capacitance : 5.996426; rise_capacitance : 6.310709; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 92.354630; function : "!((A1 | A2) & (B1 | B2))"; timing () { related_pin : "A1"; when : "!A2 & !B1 & B2"; sdf_cond : "(A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.020384,0.023843,0.027686,0.035151,0.049646,0.077986,0.133926", \ "0.025122,0.028606,0.032473,0.039977,0.054521,0.082908,0.138882", \ "0.041719,0.045683,0.049787,0.057081,0.071406,0.099729,0.155698", \ "0.058890,0.065187,0.071664,0.083096,0.102159,0.132478,0.187977", \ "0.071065,0.079956,0.089189,0.105526,0.132642,0.175190,0.239397", \ "0.076401,0.088176,0.100325,0.122050,0.158164,0.214590,0.298734", \ "0.073714,0.088515,0.103822,0.131183,0.176927,0.248466,0.354651"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.064414,0.077172,0.091628,0.120197,0.176806,0.289479,0.514268", \ "0.065306,0.078369,0.093152,0.122265,0.179583,0.292910,0.518179", \ "0.079108,0.091767,0.106151,0.134901,0.192200,0.306042,0.532066", \ "0.111652,0.125279,0.139086,0.166725,0.222683,0.335388,0.561024", \ "0.149398,0.167168,0.185776,0.218748,0.275087,0.385619,0.609319", \ "0.191433,0.213291,0.236213,0.277131,0.346410,0.459923,0.680592", \ "0.238590,0.264296,0.291405,0.339937,0.422609,0.556633,0.777804"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.013651,0.016346,0.019410,0.025493,0.037584,0.061740,0.110121", \ "0.013570,0.016308,0.019394,0.025489,0.037583,0.061741,0.110122", \ "0.016960,0.018805,0.020918,0.025846,0.037305,0.061702,0.110120", \ "0.028645,0.031190,0.033892,0.038737,0.047249,0.064625,0.110074", \ "0.044353,0.047990,0.051701,0.058165,0.068931,0.086530,0.119026", \ "0.063816,0.068779,0.073834,0.082278,0.096035,0.117564,0.151552", \ "0.087143,0.093532,0.100113,0.110923,0.128148,0.154482,0.194335"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.053996,0.066425,0.080706,0.108985,0.164347,0.270972,0.477132", \ "0.053947,0.066419,0.080711,0.108983,0.164342,0.270982,0.477127", \ "0.053757,0.066380,0.080700,0.108984,0.164336,0.270979,0.477132", \ "0.063869,0.072624,0.083981,0.109226,0.164334,0.270959,0.477133", \ "0.089184,0.097666,0.107252,0.124658,0.168709,0.270952,0.477129", \ "0.119191,0.128413,0.138898,0.158619,0.194185,0.277708,0.477127", \ "0.155024,0.164554,0.175828,0.197529,0.237147,0.307972,0.480298"); } } timing () { related_pin : "A1"; when : "!A2 & B1 & !B2"; sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.016475,0.019571,0.023088,0.030078,0.043988,0.071738,0.127181", \ "0.021066,0.024233,0.027802,0.034862,0.048844,0.076653,0.132135", \ "0.034731,0.039271,0.043845,0.051756,0.065681,0.093468,0.148950", \ "0.045761,0.053269,0.060779,0.073637,0.094329,0.126176,0.181256", \ "0.050829,0.061601,0.072507,0.091072,0.120863,0.166010,0.232374", \ "0.048621,0.062880,0.077289,0.102118,0.141916,0.202075,0.289394", \ "0.038162,0.056039,0.074105,0.105424,0.155883,0.232253,0.342693"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.061790,0.074615,0.089073,0.117597,0.174123,0.286566,0.510920", \ "0.062661,0.075774,0.090554,0.119649,0.176884,0.289997,0.514833", \ "0.076598,0.089226,0.103582,0.132287,0.189498,0.303124,0.528716", \ "0.108599,0.122573,0.136625,0.164170,0.220001,0.332482,0.557677", \ "0.145297,0.163478,0.182413,0.215814,0.272452,0.382708,0.605974", \ "0.186270,0.208575,0.231879,0.273342,0.343218,0.457065,0.677250", \ "0.232292,0.258537,0.286005,0.335166,0.418587,0.553325,0.774494"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.009856,0.012502,0.015542,0.021612,0.033734,0.057958,0.106396", \ "0.009803,0.012484,0.015536,0.021609,0.033734,0.057962,0.106394", \ "0.015335,0.017237,0.019334,0.023459,0.033868,0.057963,0.106397", \ "0.027688,0.030293,0.033009,0.037866,0.046284,0.062506,0.106386", \ "0.044207,0.047888,0.051621,0.057983,0.068593,0.085950,0.117252", \ "0.064620,0.069537,0.074551,0.082876,0.096329,0.117490,0.151069", \ "0.088848,0.095177,0.101656,0.112263,0.129071,0.154873,0.194220"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.042857,0.053907,0.066661,0.092211,0.143192,0.245109,0.448804", \ "0.042851,0.053907,0.066676,0.092200,0.143196,0.245119,0.448816", \ "0.042626,0.053850,0.066655,0.092192,0.143191,0.245118,0.448804", \ "0.053474,0.060650,0.070353,0.092574,0.143186,0.245096,0.448815", \ "0.072035,0.081268,0.091139,0.108451,0.147835,0.245070,0.448808", \ "0.092983,0.104201,0.116068,0.137154,0.173510,0.252086,0.448800", \ "0.116624,0.129812,0.143822,0.168640,0.211094,0.282639,0.452100"); } } timing () { related_pin : "A1"; when : "!A2 & B1 & B2"; sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.012887,0.015163,0.017748,0.022883,0.033102,0.053486,0.094210", \ "0.017870,0.020188,0.022804,0.027982,0.038246,0.058668,0.099416", \ "0.030716,0.034654,0.038588,0.045336,0.056308,0.076641,0.117341", \ "0.040512,0.047193,0.053842,0.065106,0.083028,0.110176,0.151925", \ "0.044362,0.054177,0.064014,0.080641,0.106973,0.146263,0.202954", \ "0.040784,0.053963,0.067216,0.089804,0.125585,0.178803,0.254641", \ "0.028693,0.045405,0.062217,0.091123,0.137116,0.205631,0.302841"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.061544,0.074378,0.088835,0.117373,0.173901,0.286356,0.510738", \ "0.062112,0.075151,0.089897,0.118960,0.176195,0.289345,0.514223", \ "0.076375,0.088916,0.103199,0.131779,0.188818,0.302322,0.527906", \ "0.108596,0.122531,0.136545,0.164019,0.219709,0.331968,0.556961", \ "0.145517,0.163652,0.182559,0.215914,0.272459,0.382587,0.605581", \ "0.186861,0.209105,0.232323,0.273752,0.343514,0.457255,0.677260", \ "0.233458,0.259599,0.286977,0.336048,0.419292,0.553848,0.774866"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.007188,0.009152,0.011403,0.015908,0.024918,0.042932,0.078957", \ "0.007155,0.009141,0.011402,0.015909,0.024918,0.042932,0.078954", \ "0.013565,0.015076,0.016702,0.019658,0.026117,0.042934,0.078960", \ "0.025372,0.027542,0.029774,0.033731,0.040459,0.051804,0.079958", \ "0.041446,0.044550,0.047664,0.052949,0.061668,0.075618,0.098295", \ "0.061473,0.065743,0.070022,0.077041,0.088217,0.105549,0.132442", \ "0.085396,0.090994,0.096637,0.105787,0.119968,0.141299,0.173330"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.042859,0.053908,0.066667,0.092199,0.143189,0.245134,0.448840", \ "0.042850,0.053909,0.066665,0.092208,0.143199,0.245132,0.448824", \ "0.042620,0.053849,0.066659,0.092193,0.143192,0.245133,0.448827", \ "0.053510,0.060671,0.070364,0.092596,0.143192,0.245128,0.448840", \ "0.071918,0.081176,0.091062,0.108403,0.147840,0.245079,0.448839", \ "0.092650,0.103905,0.115783,0.136962,0.173354,0.252054,0.448839", \ "0.115999,0.129225,0.143233,0.168174,0.210739,0.282411,0.452089"); } } timing () { related_pin : "A2"; when : "!A1 & !B1 & B2"; sdf_cond : "(A1 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.025240,0.028823,0.032799,0.040489,0.055312,0.084046,0.140375", \ "0.029568,0.033166,0.037147,0.044841,0.059670,0.088412,0.144744", \ "0.046676,0.050338,0.054148,0.061562,0.076254,0.104937,0.161223", \ "0.068067,0.073744,0.079630,0.090180,0.108131,0.137566,0.193476", \ "0.085590,0.093456,0.101679,0.116594,0.141879,0.182442,0.244898", \ "0.096932,0.107280,0.118025,0.137765,0.171243,0.224783,0.306287", \ "0.100943,0.113893,0.127447,0.152127,0.194400,0.262045,0.364619"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.078735,0.091306,0.105596,0.133982,0.190441,0.302994,0.527714", \ "0.082481,0.095293,0.109838,0.138631,0.195580,0.308615,0.533663", \ "0.099158,0.111798,0.126239,0.155028,0.212241,0.325826,0.551556", \ "0.129113,0.141757,0.155914,0.184381,0.241242,0.354648,0.580607", \ "0.164118,0.179811,0.196593,0.228138,0.285837,0.398776,0.624474", \ "0.203271,0.222225,0.242350,0.279228,0.345274,0.461873,0.687057", \ "0.247925,0.270485,0.294117,0.337003,0.412292,0.542134,0.770448"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.017605,0.020230,0.023247,0.029270,0.041299,0.065415,0.113808", \ "0.017502,0.020156,0.023190,0.029231,0.041282,0.065412,0.113806", \ "0.018958,0.020967,0.023501,0.029017,0.041130,0.065405,0.113805", \ "0.030619,0.033052,0.035684,0.040461,0.048892,0.067407,0.113799", \ "0.046139,0.049648,0.053300,0.059663,0.070358,0.087947,0.121412", \ "0.065122,0.069954,0.074967,0.083373,0.097136,0.118739,0.152832", \ "0.087409,0.093710,0.100233,0.111172,0.128625,0.155259,0.195422"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.053937,0.066406,0.080705,0.108979,0.164342,0.270980,0.477128", \ "0.053947,0.066427,0.080710,0.108969,0.164347,0.270978,0.477131", \ "0.053930,0.066420,0.080701,0.108976,0.164338,0.270980,0.477128", \ "0.057303,0.068370,0.081540,0.109010,0.164339,0.270969,0.477130", \ "0.073115,0.083123,0.094495,0.116888,0.166511,0.270954,0.477129", \ "0.094392,0.104090,0.115572,0.138206,0.181710,0.275173,0.477122", \ "0.121888,0.131006,0.142174,0.164533,0.208774,0.293755,0.479797"); } } timing () { related_pin : "A2"; when : "!A1 & B1 & !B2"; sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.020049,0.023523,0.027376,0.034850,0.049339,0.077657,0.133583", \ "0.024422,0.027887,0.031734,0.039203,0.053697,0.082021,0.137950", \ "0.040350,0.044411,0.048578,0.055971,0.070306,0.098553,0.154431", \ "0.056828,0.063280,0.069884,0.081478,0.100728,0.131250,0.186706", \ "0.068464,0.077539,0.086928,0.103495,0.130859,0.173656,0.238102", \ "0.073541,0.085508,0.097788,0.119771,0.156156,0.212842,0.297231", \ "0.071092,0.086031,0.101453,0.128960,0.174901,0.246653,0.353034"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.076190,0.088773,0.103052,0.131399,0.187753,0.300088,0.524367", \ "0.079897,0.092722,0.107262,0.136026,0.192882,0.305686,0.530313", \ "0.096620,0.109246,0.123675,0.152415,0.209528,0.322904,0.548207", \ "0.126377,0.139205,0.153370,0.181782,0.238543,0.351728,0.577248", \ "0.160691,0.176644,0.193581,0.225311,0.283134,0.395871,0.621118", \ "0.199006,0.218337,0.238714,0.275898,0.342220,0.458963,0.683704", \ "0.242691,0.265716,0.289719,0.333068,0.408778,0.538918,0.767103"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.014157,0.016762,0.019751,0.025713,0.037683,0.061760,0.110131", \ "0.013931,0.016609,0.019638,0.025651,0.037658,0.061755,0.110129", \ "0.017633,0.019466,0.021534,0.026335,0.037576,0.061763,0.110124", \ "0.029712,0.032200,0.034843,0.039599,0.047967,0.065125,0.110159", \ "0.045571,0.049219,0.052895,0.059273,0.069916,0.087339,0.119570", \ "0.064787,0.069801,0.074926,0.083381,0.097107,0.118533,0.152325", \ "0.087090,0.093671,0.100449,0.111486,0.128936,0.155410,0.195200"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.042849,0.053902,0.066668,0.092199,0.143184,0.245120,0.448801", \ "0.042853,0.053902,0.066668,0.092198,0.143193,0.245119,0.448804", \ "0.042845,0.053900,0.066668,0.092209,0.143189,0.245116,0.448817", \ "0.046447,0.056062,0.067626,0.092239,0.143180,0.245103,0.448805", \ "0.058615,0.068371,0.079426,0.100373,0.145487,0.245074,0.448817", \ "0.074348,0.084538,0.096046,0.118265,0.160743,0.249417,0.448807", \ "0.094091,0.104835,0.116874,0.139899,0.184099,0.268158,0.451558"); } } timing () { related_pin : "A2"; when : "!A1 & B1 & B2"; sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.014876,0.017473,0.020350,0.025916,0.036659,0.057552,0.098683", \ "0.019804,0.022352,0.025195,0.030726,0.041446,0.062333,0.103467", \ "0.035320,0.038835,0.042405,0.048683,0.059368,0.080097,0.121147", \ "0.050017,0.055759,0.061575,0.071705,0.088344,0.114288,0.155700", \ "0.060004,0.068200,0.076654,0.091403,0.115517,0.152688,0.207652", \ "0.063373,0.074339,0.085562,0.105441,0.138016,0.188040,0.261235", \ "0.059008,0.072862,0.087117,0.112332,0.154012,0.218166,0.311682"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.075965,0.088549,0.102826,0.131172,0.187534,0.299879,0.524187", \ "0.079393,0.092170,0.106679,0.135408,0.192259,0.305079,0.529737", \ "0.096400,0.108978,0.123332,0.151962,0.208935,0.322202,0.547482", \ "0.126331,0.139115,0.153260,0.181591,0.238208,0.351225,0.576604", \ "0.160662,0.176604,0.193567,0.225225,0.283000,0.395628,0.620638", \ "0.199089,0.218393,0.238784,0.275911,0.342186,0.458852,0.683455", \ "0.243143,0.266099,0.290073,0.333349,0.408933,0.538972,0.767030"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.010345,0.012346,0.014608,0.019081,0.027976,0.045824,0.081721", \ "0.009996,0.012088,0.014409,0.018952,0.027914,0.045806,0.081719", \ "0.015394,0.016811,0.018357,0.021299,0.028434,0.045783,0.081722", \ "0.026972,0.029026,0.031203,0.035081,0.041711,0.053164,0.082399", \ "0.042309,0.045386,0.048467,0.053808,0.062581,0.076588,0.099358", \ "0.061055,0.065427,0.069803,0.077016,0.088517,0.106165,0.133269", \ "0.083009,0.088850,0.094795,0.104377,0.119243,0.141325,0.173886"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.042851,0.053903,0.066664,0.092207,0.143212,0.245132,0.448840", \ "0.042856,0.053897,0.066664,0.092196,0.143207,0.245132,0.448839", \ "0.042845,0.053901,0.066657,0.092210,0.143190,0.245129,0.448842", \ "0.046459,0.056083,0.067646,0.092246,0.143192,0.245116,0.448828", \ "0.058631,0.068378,0.079430,0.100393,0.145517,0.245088,0.448838", \ "0.074325,0.084524,0.096037,0.118270,0.160763,0.249444,0.448833", \ "0.093925,0.104694,0.116721,0.139810,0.184061,0.268159,0.451578"); } } timing () { related_pin : "B1"; when : "!A1 & A2 & !B2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.026353,0.029801,0.033638,0.041095,0.055583,0.083924,0.139880", \ "0.031921,0.035405,0.039275,0.046780,0.061322,0.089708,0.145692", \ "0.047734,0.051423,0.055360,0.062826,0.077393,0.105859,0.161930", \ "0.068078,0.073012,0.078208,0.087720,0.104664,0.134563,0.190823", \ "0.087282,0.094427,0.101720,0.114641,0.136714,0.173297,0.234977", \ "0.101270,0.111135,0.121282,0.139210,0.168850,0.215386,0.288546", \ "0.107647,0.120762,0.134169,0.157940,0.196991,0.256843,0.345875"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.099007,0.111808,0.126372,0.155248,0.212406,0.325912,0.551485", \ "0.100449,0.113423,0.128163,0.157256,0.214697,0.328469,0.554239", \ "0.113627,0.126644,0.141358,0.170507,0.228158,0.342261,0.568440", \ "0.146362,0.158903,0.173284,0.201895,0.258798,0.372245,0.598142", \ "0.195667,0.210400,0.226137,0.255028,0.310645,0.422448,0.646926", \ "0.249354,0.267587,0.287139,0.322984,0.385773,0.496299,0.718282", \ "0.307564,0.329246,0.352547,0.395328,0.470383,0.595791,0.815318"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.013689,0.016372,0.019430,0.025498,0.037582,0.061739,0.110126", \ "0.013697,0.016386,0.019441,0.025509,0.037585,0.061740,0.110129", \ "0.014746,0.017052,0.019796,0.025496,0.037489,0.061726,0.110127", \ "0.020515,0.022972,0.025766,0.031184,0.041756,0.063046,0.110096", \ "0.031021,0.033734,0.036728,0.042345,0.052897,0.073479,0.114624", \ "0.045851,0.049293,0.052914,0.059268,0.070320,0.090631,0.130665", \ "0.064738,0.069028,0.073556,0.081240,0.093865,0.114950,0.154127"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.073817,0.086423,0.100905,0.129445,0.185094,0.292165,0.499217", \ "0.073847,0.086424,0.100896,0.129437,0.185087,0.292167,0.499215", \ "0.073834,0.086427,0.100883,0.129411,0.185092,0.292168,0.499211", \ "0.074935,0.086718,0.100767,0.129416,0.185086,0.292143,0.499217", \ "0.093856,0.101952,0.112653,0.135712,0.185983,0.292110,0.499207", \ "0.121646,0.131878,0.143167,0.163880,0.203418,0.294833,0.499203", \ "0.154337,0.166002,0.178672,0.202005,0.242863,0.318736,0.500336"); } } timing () { related_pin : "B1"; when : "A1 & !A2 & !B2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.022448,0.025528,0.029036,0.036014,0.049919,0.077668,0.133119", \ "0.027904,0.031057,0.034621,0.041668,0.055642,0.083447,0.138933", \ "0.042368,0.046087,0.050099,0.057515,0.071638,0.099579,0.155161", \ "0.058912,0.064463,0.070133,0.080237,0.097751,0.128123,0.184017", \ "0.071943,0.080445,0.088920,0.103489,0.127302,0.165271,0.227839", \ "0.077896,0.090062,0.102198,0.122856,0.155687,0.205056,0.280100", \ "0.075284,0.091522,0.107671,0.135380,0.179065,0.243373,0.335680"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.096253,0.109103,0.123663,0.152501,0.209590,0.322818,0.548012", \ "0.097640,0.110682,0.125418,0.154502,0.211884,0.325393,0.550762", \ "0.110846,0.123916,0.138648,0.167774,0.225314,0.339171,0.564979", \ "0.143688,0.156243,0.170623,0.199161,0.255970,0.369175,0.594675", \ "0.192338,0.207272,0.223179,0.252346,0.307885,0.419435,0.643472", \ "0.245065,0.263564,0.283297,0.319504,0.382764,0.493338,0.714841", \ "0.302302,0.324287,0.347818,0.390978,0.466572,0.592614,0.811914"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.009866,0.012503,0.015542,0.021611,0.033730,0.057963,0.106400", \ "0.009861,0.012505,0.015541,0.021611,0.033734,0.057964,0.106393", \ "0.011751,0.014138,0.016734,0.022154,0.033750,0.057962,0.106393", \ "0.018315,0.020741,0.023467,0.028764,0.039192,0.060013,0.106392", \ "0.029858,0.032674,0.035672,0.041123,0.051241,0.071309,0.111829", \ "0.046010,0.049440,0.053025,0.059246,0.069860,0.089369,0.128583", \ "0.066520,0.070630,0.074967,0.082370,0.094492,0.114718,0.152729"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.059873,0.071200,0.084307,0.110406,0.162266,0.265349,0.470515", \ "0.059872,0.071202,0.084309,0.110405,0.162265,0.265372,0.470515", \ "0.059884,0.071202,0.084307,0.110412,0.162252,0.265352,0.470513", \ "0.061203,0.071611,0.084145,0.110385,0.162233,0.265341,0.470514", \ "0.078071,0.087148,0.096580,0.117055,0.163284,0.265310,0.470518", \ "0.099266,0.110343,0.122097,0.143272,0.181053,0.268189,0.470507", \ "0.122641,0.136054,0.150079,0.175078,0.217661,0.292411,0.471722"); } } timing () { related_pin : "B1"; when : "A1 & A2 & !B2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.019414,0.021818,0.024554,0.029996,0.040832,0.062454,0.105658", \ "0.024945,0.027405,0.030183,0.035678,0.046568,0.068233,0.111462", \ "0.040462,0.043622,0.046964,0.053075,0.064169,0.085954,0.129270", \ "0.057497,0.062728,0.068020,0.077275,0.092726,0.118171,0.162218", \ "0.070313,0.078527,0.086710,0.100688,0.123331,0.157929,0.211296", \ "0.075937,0.087641,0.099424,0.119482,0.151344,0.198617,0.267017", \ "0.072638,0.088406,0.104142,0.131128,0.173766,0.236362,0.324268"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.104758,0.117555,0.132044,0.160767,0.217699,0.330741,0.555770", \ "0.106222,0.119166,0.133839,0.162826,0.220093,0.333471,0.558774", \ "0.119380,0.132330,0.146980,0.175971,0.233368,0.347091,0.572866", \ "0.152026,0.164547,0.178838,0.207310,0.263997,0.377015,0.602403", \ "0.202403,0.216693,0.232020,0.260358,0.315840,0.427311,0.651183", \ "0.257503,0.275226,0.294255,0.329353,0.391218,0.501242,0.722642", \ "0.317133,0.338208,0.360909,0.402800,0.476746,0.600943,0.819810"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.008107,0.010146,0.012490,0.017163,0.026482,0.045085,0.082263", \ "0.008110,0.010147,0.012492,0.017160,0.026483,0.045090,0.082264", \ "0.010571,0.012342,0.014304,0.018157,0.026644,0.045089,0.082262", \ "0.018346,0.020323,0.022466,0.026485,0.034064,0.048734,0.082454", \ "0.030788,0.033244,0.035826,0.040452,0.048461,0.063151,0.091563", \ "0.047977,0.050896,0.053997,0.059492,0.068716,0.084310,0.112749", \ "0.069785,0.073166,0.076854,0.083366,0.094228,0.111807,0.141362"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.064166,0.075570,0.088757,0.114958,0.166941,0.270141,0.475456", \ "0.064169,0.075582,0.088755,0.114951,0.166929,0.270159,0.475452", \ "0.064169,0.075584,0.088749,0.114960,0.166927,0.270151,0.475465", \ "0.064795,0.075547,0.088699,0.114938,0.166933,0.270165,0.475463", \ "0.080646,0.089178,0.099072,0.120298,0.167493,0.270144,0.475465", \ "0.102320,0.113176,0.124724,0.145709,0.183867,0.272417,0.475470", \ "0.126438,0.139485,0.153194,0.177854,0.220092,0.295385,0.476349"); } } timing () { related_pin : "B2"; when : "!A1 & A2 & !B1"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.031153,0.034731,0.038702,0.046391,0.061215,0.089958,0.146303", \ "0.036351,0.039937,0.043913,0.051604,0.066435,0.095182,0.151532", \ "0.052232,0.055826,0.059761,0.067419,0.082266,0.111055,0.167436", \ "0.074990,0.079573,0.084475,0.093613,0.110133,0.139771,0.196332", \ "0.098436,0.104816,0.111383,0.123265,0.144181,0.179726,0.240687", \ "0.118117,0.126753,0.135702,0.151868,0.179330,0.223743,0.295393", \ "0.131232,0.142525,0.154282,0.175541,0.211386,0.267894,0.354290"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.112576,0.125350,0.139906,0.168710,0.225856,0.339321,0.564872", \ "0.116727,0.129570,0.144176,0.173106,0.230386,0.343972,0.569608", \ "0.134022,0.146928,0.161575,0.190620,0.248078,0.361955,0.587869", \ "0.164170,0.176993,0.191478,0.220424,0.277821,0.391690,0.617757", \ "0.206406,0.220595,0.236242,0.265480,0.322656,0.436315,0.662352", \ "0.254140,0.270684,0.288793,0.322901,0.385154,0.499528,0.725336", \ "0.308274,0.327432,0.348212,0.387010,0.457388,0.582247,0.808852"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.017457,0.020117,0.023161,0.029211,0.041270,0.065411,0.113806", \ "0.017420,0.020091,0.023139,0.029196,0.041264,0.065407,0.113802", \ "0.017811,0.020304,0.023211,0.029122,0.041223,0.065407,0.113801", \ "0.023099,0.025606,0.028457,0.033960,0.044566,0.066404,0.113817", \ "0.032848,0.035565,0.038605,0.044374,0.055218,0.076078,0.117746", \ "0.047005,0.050393,0.054020,0.060446,0.071791,0.092657,0.133172", \ "0.064974,0.069245,0.073791,0.081582,0.094475,0.116166,0.156183"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.073827,0.086423,0.100894,0.129419,0.185101,0.292166,0.499217", \ "0.073838,0.086426,0.100900,0.129419,0.185101,0.292165,0.499213", \ "0.073821,0.086422,0.100879,0.129421,0.185078,0.292175,0.499217", \ "0.073848,0.086421,0.100879,0.129409,0.185090,0.292158,0.499208", \ "0.084275,0.094345,0.106863,0.132626,0.185380,0.292124,0.499212", \ "0.102547,0.113411,0.125828,0.149686,0.195845,0.294008,0.499206", \ "0.125500,0.136732,0.149508,0.174170,0.220373,0.308819,0.500471"); } } timing () { related_pin : "B2"; when : "A1 & !A2 & !B1"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.026039,0.029477,0.033307,0.040758,0.055239,0.083564,0.139499", \ "0.031256,0.034692,0.038522,0.045974,0.060456,0.088785,0.144726", \ "0.046599,0.050263,0.054236,0.061736,0.076265,0.104647,0.160620", \ "0.066644,0.071616,0.076830,0.086361,0.103320,0.133260,0.189487", \ "0.085396,0.092674,0.100027,0.113054,0.135221,0.171874,0.233583", \ "0.098720,0.108793,0.119119,0.137247,0.167131,0.213814,0.287059", \ "0.104395,0.117775,0.131436,0.155528,0.194947,0.255097,0.344319"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.109814,0.122640,0.137185,0.165981,0.223035,0.336253,0.561403", \ "0.113942,0.126843,0.141464,0.170374,0.227562,0.340899,0.566151", \ "0.131248,0.144195,0.158862,0.187874,0.245248,0.358885,0.584397", \ "0.161438,0.174272,0.188755,0.217695,0.274976,0.388597,0.614302", \ "0.203276,0.217610,0.233346,0.262758,0.319833,0.433283,0.658893", \ "0.250435,0.267157,0.285400,0.319666,0.382179,0.496515,0.721878", \ "0.303885,0.323267,0.344204,0.383318,0.453966,0.579042,0.805419"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.013863,0.016536,0.019576,0.025607,0.037641,0.061756,0.110125", \ "0.013800,0.016478,0.019526,0.025574,0.037625,0.061748,0.110126", \ "0.015045,0.017405,0.020150,0.025820,0.037640,0.061755,0.110119", \ "0.020990,0.023435,0.026198,0.031582,0.042081,0.063335,0.110154", \ "0.031698,0.034394,0.037388,0.042961,0.053431,0.073869,0.114909", \ "0.046621,0.050068,0.053687,0.060034,0.071028,0.091219,0.131051", \ "0.065359,0.069687,0.074251,0.081982,0.094625,0.115662,0.154671"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.059872,0.071211,0.084310,0.110399,0.162263,0.265373,0.470518", \ "0.059870,0.071202,0.084313,0.110395,0.162264,0.265363,0.470528", \ "0.059894,0.071204,0.084313,0.110398,0.162257,0.265415,0.470520", \ "0.059987,0.071213,0.084302,0.110401,0.162243,0.265342,0.470520", \ "0.069402,0.079275,0.090520,0.113788,0.162607,0.265338,0.470528", \ "0.083257,0.094100,0.106270,0.129435,0.173294,0.267296,0.470512", \ "0.100426,0.112172,0.125155,0.149873,0.195628,0.282271,0.471822"); } } timing () { related_pin : "B2"; when : "A1 & A2 & !B1"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.021740,0.024441,0.027451,0.033304,0.044656,0.066803,0.110443", \ "0.027099,0.029793,0.032799,0.038647,0.049996,0.072142,0.115788", \ "0.043776,0.046822,0.050075,0.056101,0.067462,0.089650,0.133331", \ "0.064671,0.069284,0.074065,0.082614,0.097331,0.122184,0.166296", \ "0.083232,0.090245,0.097345,0.109768,0.130613,0.163625,0.215917", \ "0.095924,0.105757,0.115804,0.133406,0.162342,0.206773,0.273050", \ "0.100885,0.113904,0.127234,0.150728,0.189204,0.247645,0.332216"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.118406,0.131130,0.145603,0.174276,0.231158,0.344158,0.569170", \ "0.122580,0.135406,0.149973,0.178790,0.235857,0.349051,0.574198", \ "0.139781,0.152642,0.167221,0.196129,0.253382,0.366863,0.592359", \ "0.169912,0.182665,0.197096,0.225857,0.282999,0.396456,0.622079", \ "0.212797,0.226721,0.242107,0.270909,0.327844,0.441072,0.666558", \ "0.261607,0.277749,0.295473,0.329032,0.390647,0.504366,0.729516", \ "0.316951,0.335598,0.355887,0.393968,0.463493,0.587303,0.813098"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.011155,0.013248,0.015610,0.020282,0.029542,0.048039,0.085121", \ "0.011075,0.013176,0.015551,0.020237,0.029516,0.048026,0.085120", \ "0.012995,0.014729,0.016673,0.020763,0.029536,0.048030,0.085118", \ "0.020437,0.022329,0.024429,0.028432,0.036033,0.050949,0.085240", \ "0.032249,0.034560,0.037095,0.041728,0.049854,0.064773,0.093466", \ "0.048096,0.051119,0.054306,0.059931,0.069397,0.085369,0.114243", \ "0.067895,0.071671,0.075703,0.082648,0.094042,0.112226,0.142405"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.064170,0.075582,0.088745,0.114961,0.166926,0.270143,0.475454", \ "0.064166,0.075583,0.088752,0.114954,0.166926,0.270166,0.475455", \ "0.064176,0.075581,0.088754,0.114957,0.166942,0.270160,0.475463", \ "0.064217,0.075592,0.088757,0.114953,0.166922,0.270166,0.475458", \ "0.072705,0.082467,0.093937,0.117664,0.167086,0.270141,0.475456", \ "0.086707,0.097528,0.109658,0.132823,0.176969,0.271795,0.475457", \ "0.103954,0.115660,0.128598,0.153221,0.199085,0.286069,0.476549"); } } internal_power () { related_pin : "A1"; when : "!A2 & !B1 & B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("2.074916,2.140352,2.193964,2.261352,2.346945,2.444427,2.543403", \ "2.060402,2.151047,2.215208,2.310699,2.419733,2.538909,2.646116", \ "2.059482,2.108887,2.182760,2.280008,2.406989,2.543987,2.673953", \ "2.391860,2.370686,2.358572,2.341306,2.404621,2.539422,2.675311", \ "2.901643,2.870201,2.823938,2.786211,2.707419,2.626076,2.730610", \ "3.697697,3.625168,3.566868,3.470341,3.307290,3.104285,2.947441", \ "4.835730,4.744322,4.662092,4.507832,4.236272,3.880039,3.490838"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("9.781303,9.830549,9.867885,9.928383,9.957767,9.888957,9.942207", \ "9.477425,9.571179,9.639183,9.709268,9.861373,9.860870,9.949351", \ "9.286813,9.329775,9.372508,9.421536,9.534798,9.695633,9.848980", \ "9.560329,9.544202,9.533339,9.521740,9.501469,9.591376,9.606639", \ "10.188700,10.132600,10.082650,10.001990,9.866118,9.740940,9.651631", \ "11.074350,11.115330,11.004300,10.834630,10.602020,10.354240,10.008120", \ "12.187540,12.130070,12.088360,12.074300,11.743990,11.295360,10.791380"); } } internal_power () { related_pin : "A1"; when : "!A2 & B1 & !B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.902121,0.913835,0.912867,0.914809,0.929608,0.937761,0.952998", \ "0.858923,0.883532,0.913942,0.952942,1.001508,1.034401,1.050026", \ "0.759547,0.787144,0.826810,0.888942,0.958596,1.036470,1.091383", \ "1.071876,1.040855,1.003875,0.951049,0.930317,1.003705,1.078699", \ "1.611035,1.546099,1.481444,1.378475,1.246112,1.095372,1.119170", \ "2.484205,2.381405,2.263826,2.106647,1.868554,1.588727,1.340679", \ "3.691397,3.565127,3.426557,3.192979,2.835962,2.381977,1.899489"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("7.918010,7.981412,7.990579,8.055893,8.084827,8.085993,8.110435", \ "7.611087,7.710638,7.756931,7.827104,7.978489,8.057770,8.118766", \ "7.444010,7.471669,7.520355,7.587106,7.647282,7.768491,7.888188", \ "7.706607,7.690924,7.666991,7.611481,7.606483,7.666501,7.846789", \ "8.289921,8.306100,8.213907,8.141938,7.981926,7.938955,7.818694", \ "8.865643,8.919048,8.980624,9.005843,8.778214,8.491147,8.174262", \ "10.071470,10.034600,10.013550,9.986738,9.855434,9.496074,8.960721"); } } internal_power () { related_pin : "A1"; when : "!A2 & B1 & B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.938395,0.930283,0.922444,0.932204,0.937115,0.943142,0.950669", \ "0.881131,0.911788,0.923094,0.966142,1.001995,1.030484,1.051293", \ "0.858372,0.838873,0.840979,0.901475,0.970265,1.034856,1.090631", \ "1.135246,1.107033,1.082952,1.040544,0.975859,1.021067,1.078679", \ "1.671023,1.608298,1.550133,1.451216,1.340038,1.194585,1.145671", \ "2.530945,2.434128,2.327233,2.171844,1.947537,1.691293,1.414294", \ "3.733957,3.614895,3.487826,3.278128,2.938910,2.496416,2.035616"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("7.896179,7.950963,7.982773,7.986384,8.039051,8.066521,8.090216", \ "7.561416,7.637422,7.716936,7.765864,7.922571,8.004668,8.067173", \ "7.403983,7.443035,7.474425,7.492729,7.590704,7.703124,7.820592", \ "7.704178,7.685168,7.657263,7.619205,7.579764,7.621706,7.766842", \ "8.308077,8.314695,8.250849,8.157379,7.978648,7.923793,7.780157", \ "8.889832,8.942617,9.006176,9.064982,8.732995,8.439301,8.165917", \ "10.113180,10.093070,10.080260,10.081720,10.014300,9.528098,8.980438"); } } internal_power () { related_pin : "A2"; when : "!A1 & !B1 & B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("3.263025,3.349812,3.411705,3.523022,3.649306,3.813256,3.983911", \ "3.135447,3.211919,3.282350,3.375037,3.509681,3.684095,3.853849", \ "2.972064,3.056783,3.130977,3.247269,3.392571,3.584610,3.755593", \ "3.273894,3.279485,3.285254,3.275816,3.388831,3.561214,3.731665", \ "3.868837,3.829382,3.798153,3.775058,3.718892,3.689962,3.810380", \ "4.671236,4.625622,4.588009,4.515336,4.367294,4.194331,4.055597", \ "5.814169,5.752524,5.689110,5.562401,5.348476,5.019672,4.640241"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("12.098790,12.132710,12.147140,12.145740,12.198250,12.249020,12.228990", \ "11.900980,11.973770,11.997320,12.029170,12.153530,12.129860,12.230890", \ "11.645580,11.688560,11.741450,11.778600,11.883110,12.021530,12.097670", \ "11.587110,11.611410,11.614670,11.665270,11.728420,11.845400,11.869430", \ "11.698600,11.702890,11.684140,11.648330,11.658900,11.736190,11.730590", \ "11.922970,12.026960,12.021930,11.948550,11.931790,11.814650,11.853560", \ "12.379110,12.385310,12.408760,12.482540,12.470040,12.216950,12.117630"); } } internal_power () { related_pin : "A2"; when : "!A1 & B1 & !B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("1.963679,2.016636,2.055603,2.130061,2.205299,2.288672,2.384331", \ "1.821867,1.879392,1.919320,1.993858,2.070971,2.159077,2.255454", \ "1.672584,1.735793,1.788411,1.854167,1.960706,2.061068,2.153076", \ "1.993763,1.975933,1.954369,1.893125,1.948004,2.042248,2.129364", \ "2.569773,2.524289,2.479270,2.399142,2.271774,2.162632,2.218049", \ "3.437451,3.344320,3.266009,3.148149,2.951600,2.685867,2.463451", \ "4.615300,4.519626,4.417451,4.245245,3.936155,3.535984,3.063036"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("10.256730,10.291730,10.288680,10.289760,10.353580,10.376900,10.268600", \ "10.055300,10.115630,10.142470,10.167110,10.268780,10.325440,10.372190", \ "9.802423,9.829889,9.871158,9.957914,10.056080,10.095600,10.190910", \ "9.726617,9.749953,9.764301,9.779376,9.831472,9.921267,10.035860", \ "9.850450,9.853284,9.828510,9.809816,9.770621,9.811621,9.980159", \ "9.706211,9.817122,9.928473,10.129870,10.047370,10.012200,9.893067", \ "10.248570,10.270650,10.303160,10.382840,10.568600,10.414290,10.159600"); } } internal_power () { related_pin : "A2"; when : "!A1 & B1 & B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("1.851526,1.917116,1.968255,2.055454,2.152265,2.253563,2.344259", \ "1.704716,1.770574,1.821928,1.916531,2.017678,2.116654,2.216373", \ "1.609413,1.649982,1.703848,1.790199,1.898313,2.013268,2.117994", \ "2.036859,2.011611,1.989038,1.950208,1.891825,2.007548,2.106132", \ "2.597276,2.562441,2.508917,2.437185,2.339857,2.185482,2.197461", \ "3.464570,3.391079,3.320565,3.203787,3.016725,2.766089,2.482322", \ "4.668534,4.574514,4.467364,4.293465,4.015874,3.621352,3.167412"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("10.236510,10.255520,10.283850,10.266610,10.334720,10.357100,10.248250", \ "9.993600,10.049280,10.096960,10.149100,10.218500,10.275640,10.322160", \ "9.765835,9.806214,9.854771,9.902727,9.946439,10.057180,10.130930", \ "9.721354,9.726493,9.752485,9.741281,9.802958,9.879368,9.981210", \ "9.846682,9.848868,9.809000,9.802999,9.757513,9.788811,9.853745", \ "9.710047,9.817219,9.954398,10.122520,10.067940,9.999775,9.882535", \ "10.262880,10.302030,10.327670,10.391800,10.572100,10.411140,10.145280"); } } internal_power () { related_pin : "B1"; when : "!A1 & A2 & !B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("2.067028,2.133208,2.199436,2.265007,2.346617,2.450147,2.534887", \ "2.076981,2.163473,2.220415,2.313869,2.424474,2.534970,2.632896", \ "1.960740,2.044030,2.134087,2.238807,2.377853,2.531356,2.673160", \ "2.067509,2.099996,2.124772,2.150034,2.272497,2.441929,2.615162", \ "2.259877,2.278896,2.279799,2.321513,2.356962,2.376339,2.562605", \ "2.636318,2.628724,2.622145,2.612885,2.602874,2.602580,2.588320", \ "3.296631,3.246306,3.206294,3.157674,3.080101,2.989285,2.878059"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("13.985110,14.017090,14.037070,14.109770,14.145070,14.269630,14.403790", \ "13.731530,13.797170,13.821250,13.912630,14.025220,14.174020,14.199230", \ "13.509480,13.558670,13.600350,13.699330,13.767670,13.942360,14.123310", \ "13.672870,13.677610,13.710710,13.749310,13.754300,13.894250,14.066030", \ "14.213690,14.202410,14.189680,14.132230,14.118460,14.178180,14.178000", \ "15.118250,15.071920,15.023840,14.990560,14.822130,14.670250,14.534010", \ "16.130820,16.162850,16.198840,16.198900,15.907710,15.721540,15.329410"); } } internal_power () { related_pin : "B1"; when : "A1 & !A2 & !B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.907914,0.919680,0.924619,0.929903,0.938812,0.944427,0.951165", \ "0.846002,0.892857,0.932410,0.971825,0.999341,1.034876,1.046865", \ "0.637687,0.698685,0.758185,0.848270,0.934644,1.019043,1.083554", \ "0.677917,0.697265,0.718911,0.730295,0.785675,0.921064,1.017091", \ "0.849775,0.851638,0.857963,0.865918,0.860511,0.837420,0.957607", \ "1.266666,1.226622,1.190061,1.156827,1.117113,1.055901,0.978929", \ "1.959929,1.895301,1.832923,1.723883,1.604088,1.446862,1.268538"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("11.963720,12.015980,12.061160,12.110920,12.210780,12.311030,12.425650", \ "11.725300,11.794410,11.871860,11.978160,12.101450,12.218750,12.347860", \ "11.505230,11.575580,11.637900,11.750910,11.870060,12.107740,12.273540", \ "11.657350,11.695360,11.719860,11.764260,11.861130,12.060650,12.216510", \ "12.210260,12.203770,12.217300,12.233420,12.196750,12.225950,12.307360", \ "12.877360,13.002010,13.091450,13.014170,12.902420,12.841300,12.699830", \ "13.893120,13.959990,14.010090,14.124440,13.972600,13.776380,13.483980"); } } internal_power () { related_pin : "B1"; when : "A1 & A2 & !B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.921983,0.907525,0.917451,0.928725,0.934374,0.943259,0.950707", \ "0.869308,0.895342,0.932033,0.972205,1.008387,1.038684,1.048278", \ "0.648985,0.707069,0.758428,0.850540,0.941970,1.020036,1.083744", \ "0.688865,0.716562,0.746521,0.774795,0.800288,0.926563,1.025035", \ "0.865618,0.872932,0.876902,0.886499,0.907168,0.893216,0.971623", \ "1.291936,1.256236,1.215729,1.187016,1.149781,1.096153,1.019866", \ "2.018684,1.943296,1.880444,1.782903,1.640200,1.493270,1.331441"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("12.952330,13.002590,13.039610,13.128580,13.144390,13.367610,13.483400", \ "12.718060,12.784660,12.829650,12.906800,13.037060,13.159900,13.424260", \ "12.474160,12.539630,12.591950,12.700960,12.880360,13.037240,13.209960", \ "12.633190,12.655760,12.690870,12.776510,12.866370,12.984440,13.140980", \ "13.157820,13.155850,13.156110,13.180440,13.113780,13.153780,13.230810", \ "13.881720,14.003210,14.030280,13.956700,13.820350,13.798820,13.617800", \ "14.871100,14.938240,14.989210,15.100840,15.021810,14.713810,14.428190"); } } internal_power () { related_pin : "B2"; when : "!A1 & A2 & !B1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("3.284072,3.350560,3.399583,3.524016,3.642966,3.814960,3.978983", \ "3.116682,3.221044,3.276986,3.381118,3.532994,3.687194,3.851455", \ "2.966008,3.062215,3.127923,3.232389,3.407926,3.575717,3.751112", \ "3.086317,3.118031,3.132147,3.174980,3.320420,3.508472,3.697183", \ "3.374727,3.385502,3.405387,3.426014,3.443522,3.493723,3.680607", \ "3.769554,3.769555,3.754814,3.763493,3.771145,3.745751,3.736566", \ "4.399495,4.366838,4.353229,4.303707,4.250588,4.175283,4.064004"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("16.228280,16.258710,16.285920,16.354650,16.424300,16.550150,16.559140", \ "16.085050,16.122540,16.155250,16.204280,16.294840,16.428900,16.570680", \ "15.889290,15.929760,15.960230,16.046560,16.130290,16.260730,16.419760", \ "15.809930,15.863970,15.866690,15.949110,16.002930,16.159930,16.332730", \ "15.898060,15.921690,15.934460,15.987140,16.074230,16.092260,16.253090", \ "16.178180,16.186680,16.194920,16.214700,16.220580,16.293710,16.409150", \ "16.464570,16.536590,16.620480,16.757850,16.707610,16.678790,16.680870"); } } internal_power () { related_pin : "B2"; when : "A1 & !A2 & !B1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("1.970801,2.029485,2.063762,2.132923,2.214356,2.293892,2.381854", \ "1.837542,1.876037,1.924429,1.996926,2.081984,2.165567,2.249901", \ "1.624236,1.720824,1.758718,1.848027,1.949511,2.049671,2.147882", \ "1.770055,1.780435,1.775043,1.759237,1.858826,1.990518,2.099909", \ "2.013893,2.015030,2.009492,2.012166,1.985075,1.952842,2.077425", \ "2.402952,2.369969,2.358650,2.320533,2.295512,2.210593,2.137832", \ "3.036102,2.993011,2.954082,2.881861,2.794700,2.650386,2.463786"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("14.225210,14.277480,14.329020,14.339670,14.496330,14.596410,14.709420", \ "14.062520,14.134520,14.160470,14.214940,14.362880,14.473720,14.593730", \ "13.884640,13.946670,14.015140,14.044380,14.200360,14.429150,14.571000", \ "13.804570,13.861920,13.891830,13.989300,14.096560,14.302950,14.483630", \ "13.888640,13.918730,13.983410,13.989230,14.144670,14.260330,14.402950", \ "13.902150,14.077320,14.227270,14.273030,14.288470,14.355700,14.431830", \ "14.214570,14.318550,14.436680,14.655490,14.767990,14.724990,14.706080"); } } internal_power () { related_pin : "B2"; when : "A1 & A2 & !B1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("1.925346,1.968916,2.025140,2.088700,2.173563,2.263029,2.352653", \ "1.762976,1.836014,1.881214,1.956230,2.043532,2.124033,2.228167", \ "1.614670,1.668771,1.725155,1.810728,1.905558,2.013037,2.125086", \ "1.762925,1.781501,1.781107,1.772898,1.816509,1.940950,2.069353", \ "2.021113,2.024899,2.011978,2.001742,2.004871,1.950543,2.043417", \ "2.419810,2.402585,2.384861,2.359320,2.304761,2.245224,2.126424", \ "3.072576,3.031244,2.986535,2.929456,2.812098,2.683819,2.500772"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("15.217740,15.246030,15.307550,15.327870,15.428530,15.524270,15.636850", \ "15.059490,15.116510,15.151590,15.248200,15.309310,15.529860,15.672330", \ "14.852740,14.911400,14.983040,15.023120,15.226630,15.361790,15.512530", \ "14.789890,14.823790,14.888220,14.971980,14.990770,15.255170,15.459320", \ "14.845800,14.895180,14.923360,14.961320,15.068130,15.177330,15.321380", \ "14.931760,15.091600,15.192310,15.243170,15.209680,15.388720,15.498500", \ "15.207570,15.321860,15.436360,15.647840,15.686580,15.768190,15.752500"); } } } } /****************************************************************************************** Module : OAI33_X1 Cell Description : Combinational cell (OAI33_X1) with drive strength X1 *******************************************************************************************/ cell (OAI33_X1) { drive_strength : 1; area : 1.862000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 23.229187; leakage_power () { when : "!A1 & !A2 & !A3 & !B1 & !B2 & !B3"; value : 9.524225; } leakage_power () { when : "!A1 & !A2 & !A3 & !B1 & !B2 & B3"; value : 42.048539; } leakage_power () { when : "!A1 & !A2 & !A3 & !B1 & B2 & !B3"; value : 42.048634; } leakage_power () { when : "!A1 & !A2 & !A3 & !B1 & B2 & B3"; value : 47.139494; } leakage_power () { when : "!A1 & !A2 & !A3 & B1 & !B2 & !B3"; value : 42.048824; } leakage_power () { when : "!A1 & !A2 & !A3 & B1 & !B2 & B3"; value : 47.139494; } leakage_power () { when : "!A1 & !A2 & !A3 & B1 & B2 & !B3"; value : 47.139684; } leakage_power () { when : "!A1 & !A2 & !A3 & B1 & B2 & B3"; value : 52.216702; } leakage_power () { when : "!A1 & !A2 & A3 & !B1 & !B2 & !B3"; value : 23.517205; } leakage_power () { when : "!A1 & !A2 & A3 & !B1 & !B2 & B3"; value : 14.279174; } leakage_power () { when : "!A1 & !A2 & A3 & !B1 & B2 & !B3"; value : 14.427583; } leakage_power () { when : "!A1 & !A2 & A3 & !B1 & B2 & B3"; value : 17.856950; } leakage_power () { when : "!A1 & !A2 & A3 & B1 & !B2 & !B3"; value : 15.792686; } leakage_power () { when : "!A1 & !A2 & A3 & B1 & !B2 & B3"; value : 17.898959; } leakage_power () { when : "!A1 & !A2 & A3 & B1 & B2 & !B3"; value : 17.910673; } leakage_power () { when : "!A1 & !A2 & A3 & B1 & B2 & B3"; value : 22.744121; } leakage_power () { when : "!A1 & A2 & !A3 & !B1 & !B2 & !B3"; value : 23.517205; } leakage_power () { when : "!A1 & A2 & !A3 & !B1 & !B2 & B3"; value : 14.427583; } leakage_power () { when : "!A1 & A2 & !A3 & !B1 & B2 & !B3"; value : 14.575954; } leakage_power () { when : "!A1 & A2 & !A3 & !B1 & B2 & B3"; value : 18.005264; } leakage_power () { when : "!A1 & A2 & !A3 & B1 & !B2 & !B3"; value : 15.941076; } leakage_power () { when : "!A1 & A2 & !A3 & B1 & !B2 & B3"; value : 18.047359; } leakage_power () { when : "!A1 & A2 & !A3 & B1 & B2 & !B3"; value : 18.059072; } leakage_power () { when : "!A1 & A2 & !A3 & B1 & B2 & B3"; value : 22.892520; } leakage_power () { when : "!A1 & A2 & A3 & !B1 & !B2 & !B3"; value : 23.544466; } leakage_power () { when : "!A1 & A2 & A3 & !B1 & !B2 & B3"; value : 17.855848; } leakage_power () { when : "!A1 & A2 & A3 & !B1 & B2 & !B3"; value : 18.004153; } leakage_power () { when : "!A1 & A2 & A3 & !B1 & B2 & B3"; value : 21.433748; } leakage_power () { when : "!A1 & A2 & A3 & B1 & !B2 & !B3"; value : 19.369179; } leakage_power () { when : "!A1 & A2 & A3 & B1 & !B2 & B3"; value : 21.475899; } leakage_power () { when : "!A1 & A2 & A3 & B1 & B2 & !B3"; value : 21.487623; } leakage_power () { when : "!A1 & A2 & A3 & B1 & B2 & B3"; value : 26.321171; } leakage_power () { when : "A1 & !A2 & !A3 & !B1 & !B2 & !B3"; value : 23.517300; } leakage_power () { when : "A1 & !A2 & !A3 & !B1 & !B2 & B3"; value : 15.792676; } leakage_power () { when : "A1 & !A2 & !A3 & !B1 & B2 & !B3"; value : 15.941076; } leakage_power () { when : "A1 & !A2 & !A3 & !B1 & B2 & B3"; value : 19.370433; } leakage_power () { when : "A1 & !A2 & !A3 & B1 & !B2 & !B3"; value : 17.306150; } leakage_power () { when : "A1 & !A2 & !A3 & B1 & !B2 & B3"; value : 19.412566; } leakage_power () { when : "A1 & !A2 & !A3 & B1 & B2 & !B3"; value : 19.424289; } leakage_power () { when : "A1 & !A2 & !A3 & B1 & B2 & B3"; value : 24.257746; } leakage_power () { when : "A1 & !A2 & A3 & !B1 & !B2 & !B3"; value : 23.544371; } leakage_power () { when : "A1 & !A2 & A3 & !B1 & !B2 & B3"; value : 17.897848; } leakage_power () { when : "A1 & !A2 & A3 & !B1 & B2 & !B3"; value : 18.046238; } leakage_power () { when : "A1 & !A2 & A3 & !B1 & B2 & B3"; value : 21.475899; } leakage_power () { when : "A1 & !A2 & A3 & B1 & !B2 & !B3"; value : 19.411302; } leakage_power () { when : "A1 & !A2 & A3 & B1 & !B2 & B3"; value : 21.518013; } leakage_power () { when : "A1 & !A2 & A3 & B1 & B2 & !B3"; value : 21.529736; } leakage_power () { when : "A1 & !A2 & A3 & B1 & B2 & B3"; value : 26.363280; } leakage_power () { when : "A1 & A2 & !A3 & !B1 & !B2 & !B3"; value : 23.544466; } leakage_power () { when : "A1 & A2 & !A3 & !B1 & !B2 & B3"; value : 17.909571; } leakage_power () { when : "A1 & A2 & !A3 & !B1 & B2 & !B3"; value : 18.057961; } leakage_power () { when : "A1 & A2 & !A3 & !B1 & B2 & B3"; value : 21.487613; } leakage_power () { when : "A1 & A2 & !A3 & B1 & !B2 & !B3"; value : 19.423025; } leakage_power () { when : "A1 & A2 & !A3 & B1 & !B2 & B3"; value : 21.529736; } leakage_power () { when : "A1 & A2 & !A3 & B1 & B2 & !B3"; value : 21.541459; } leakage_power () { when : "A1 & A2 & !A3 & B1 & B2 & B3"; value : 26.375003; } leakage_power () { when : "A1 & A2 & A3 & !B1 & !B2 & !B3"; value : 23.147944; } leakage_power () { when : "A1 & A2 & A3 & !B1 & !B2 & B3"; value : 22.741603; } leakage_power () { when : "A1 & A2 & A3 & !B1 & B2 & !B3"; value : 22.889984; } leakage_power () { when : "A1 & A2 & A3 & !B1 & B2 & B3"; value : 26.320278; } leakage_power () { when : "A1 & A2 & A3 & B1 & !B2 & !B3"; value : 24.254934; } leakage_power () { when : "A1 & A2 & A3 & B1 & !B2 & B3"; value : 26.362395; } leakage_power () { when : "A1 & A2 & A3 & B1 & B2 & !B3"; value : 26.374118; } leakage_power () { when : "A1 & A2 & A3 & B1 & B2 & B3"; value : 31.207852; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.611895; fall_capacitance : 1.372980; rise_capacitance : 1.611895; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.563241; fall_capacitance : 1.446584; rise_capacitance : 1.563241; } pin (A3) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.530969; fall_capacitance : 1.495599; rise_capacitance : 1.530969; } pin (B1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.580179; fall_capacitance : 1.352269; rise_capacitance : 1.580179; } pin (B2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.555800; fall_capacitance : 1.432965; rise_capacitance : 1.555800; } pin (B3) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.534983; fall_capacitance : 1.482902; rise_capacitance : 1.534983; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 11.474640; function : "!(((A1 | A2) | A3) & ((B1 | B2) | B3))"; timing () { related_pin : "A1"; when : "!A2 & !A3 & !B1 & !B2 & B3"; sdf_cond : "(A2 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (B3 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.023174,0.024797,0.027632,0.032560,0.041079,0.055743,0.081022", \ "0.027968,0.029601,0.032462,0.037432,0.045999,0.060719,0.086040", \ "0.046404,0.048041,0.050841,0.055416,0.063537,0.077960,0.103124", \ "0.069138,0.071561,0.075779,0.082855,0.093997,0.110982,0.136265", \ "0.088210,0.091597,0.097124,0.107143,0.122825,0.146608,0.181402", \ "0.100587,0.105158,0.112644,0.125646,0.146320,0.177817,0.223718", \ "0.104498,0.110323,0.120047,0.136474,0.162657,0.202511,0.260675"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.112482,0.121688,0.138009,0.166606,0.216964,0.305539,0.462404", \ "0.111895,0.121355,0.138005,0.167124,0.218316,0.307839,0.465479", \ "0.121718,0.130897,0.147260,0.176012,0.227002,0.317003,0.475466", \ "0.152173,0.160736,0.176175,0.204038,0.253775,0.342435,0.499893", \ "0.201789,0.211470,0.228040,0.254750,0.302356,0.389067,0.544284", \ "0.256758,0.268445,0.288382,0.321207,0.374482,0.459491,0.612085", \ "0.317729,0.331342,0.354654,0.393049,0.455232,0.552936,0.705289"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.017806,0.019139,0.021471,0.025540,0.032571,0.044747,0.065911", \ "0.017814,0.019169,0.021513,0.025586,0.032624,0.044785,0.065916", \ "0.019955,0.020820,0.022494,0.025732,0.032072,0.044346,0.065744", \ "0.032563,0.033694,0.035508,0.038467,0.043313,0.051198,0.067366", \ "0.049009,0.050591,0.053295,0.057199,0.063421,0.073103,0.087912", \ "0.069446,0.071493,0.075035,0.080384,0.088478,0.100674,0.118668", \ "0.093972,0.096601,0.101038,0.107840,0.118190,0.133432,0.155339"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.119127,0.128020,0.143997,0.171664,0.220025,0.304211,0.451888", \ "0.119071,0.128010,0.143972,0.171558,0.220052,0.304206,0.451862", \ "0.118780,0.127791,0.143944,0.171544,0.219966,0.304198,0.451845", \ "0.118297,0.126735,0.142143,0.171015,0.219842,0.304179,0.451838", \ "0.134117,0.140773,0.153185,0.176374,0.220153,0.304046,0.451841", \ "0.167171,0.173401,0.184827,0.202588,0.237818,0.309094,0.451734", \ "0.205108,0.211297,0.222671,0.242525,0.276867,0.335950,0.459563"); } } timing () { related_pin : "A1"; when : "!A2 & !A3 & !B1 & B2 & !B3"; sdf_cond : "(A2 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (B3 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.022575,0.024090,0.026742,0.031358,0.039383,0.053327,0.077693", \ "0.027417,0.028940,0.031613,0.036263,0.044327,0.058312,0.082717", \ "0.044744,0.046383,0.049171,0.053745,0.061492,0.075369,0.099730", \ "0.064218,0.066713,0.071117,0.078409,0.089817,0.107108,0.132573", \ "0.078788,0.082444,0.088392,0.098905,0.115283,0.139902,0.175578", \ "0.085893,0.090864,0.099006,0.112814,0.134725,0.167745,0.215172", \ "0.084038,0.090413,0.101012,0.118695,0.146590,0.188578,0.248970"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.112467,0.121691,0.138086,0.166573,0.216840,0.305585,0.462394", \ "0.111891,0.121349,0.138002,0.167107,0.218312,0.307829,0.465463", \ "0.121714,0.130892,0.147250,0.176012,0.226995,0.316992,0.475449", \ "0.152169,0.160745,0.176172,0.204030,0.253768,0.342425,0.499876", \ "0.201785,0.211420,0.227953,0.254749,0.302351,0.389057,0.544268", \ "0.256753,0.268430,0.288353,0.321200,0.374476,0.459482,0.612070", \ "0.317721,0.331333,0.354565,0.393040,0.455222,0.552926,0.705276"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.016106,0.017311,0.019421,0.023166,0.029797,0.041519,0.062357", \ "0.016054,0.017276,0.019410,0.023168,0.029797,0.041519,0.062350", \ "0.018533,0.019290,0.020783,0.023757,0.029640,0.041309,0.062313", \ "0.030762,0.031899,0.033776,0.036787,0.041721,0.049644,0.065064", \ "0.047291,0.048906,0.051720,0.055727,0.062112,0.071887,0.086807", \ "0.068194,0.070289,0.074053,0.079441,0.087652,0.099963,0.117978", \ "0.093513,0.096188,0.100864,0.107767,0.118118,0.133376,0.155221"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.117649,0.126371,0.141793,0.168915,0.215898,0.296919,0.437505", \ "0.117604,0.126272,0.141823,0.168906,0.215823,0.296942,0.437537", \ "0.117253,0.126125,0.141726,0.168811,0.215782,0.296939,0.437541", \ "0.116774,0.125014,0.140105,0.168247,0.215742,0.296925,0.437502", \ "0.132660,0.138978,0.151120,0.173632,0.216154,0.296716,0.437544", \ "0.164518,0.170634,0.181921,0.199831,0.233797,0.301876,0.437417", \ "0.200546,0.206729,0.217887,0.237619,0.271614,0.328757,0.445333"); } } timing () { related_pin : "A1"; when : "!A2 & !A3 & !B1 & B2 & B3"; sdf_cond : "(A2 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (B3 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.017095,0.018212,0.020166,0.023568,0.029484,0.039750,0.057650", \ "0.022267,0.023378,0.025332,0.028747,0.034676,0.044964,0.062891", \ "0.039307,0.040722,0.043104,0.047023,0.053191,0.063204,0.081003", \ "0.056700,0.058963,0.062789,0.069171,0.079064,0.093937,0.115501", \ "0.069433,0.072727,0.078098,0.087420,0.101927,0.123478,0.154384", \ "0.074779,0.079334,0.086749,0.099181,0.118987,0.148311,0.190071", \ "0.071063,0.076975,0.086773,0.102936,0.128358,0.166275,0.220165"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.112267,0.121472,0.137825,0.166392,0.216756,0.305474,0.462406", \ "0.111027,0.120420,0.137079,0.166274,0.217368,0.306977,0.464720", \ "0.121187,0.130304,0.146542,0.175245,0.226064,0.315935,0.474309", \ "0.152087,0.160655,0.176018,0.203846,0.253476,0.341944,0.499150", \ "0.202003,0.211630,0.228124,0.254916,0.302464,0.388980,0.544148", \ "0.257338,0.268988,0.288846,0.321777,0.374969,0.459876,0.612422", \ "0.318817,0.332364,0.355579,0.394046,0.456132,0.553736,0.706023"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.011570,0.012485,0.014086,0.016899,0.021851,0.030572,0.046012", \ "0.011480,0.012410,0.014033,0.016866,0.021833,0.030564,0.046006", \ "0.015970,0.016616,0.017700,0.019480,0.023060,0.030594,0.045917", \ "0.027712,0.028639,0.030190,0.032646,0.036620,0.042839,0.052729", \ "0.043573,0.044961,0.047322,0.050696,0.055997,0.064017,0.075961", \ "0.063904,0.065678,0.068932,0.073606,0.080526,0.090734,0.105570", \ "0.088674,0.091015,0.095064,0.101174,0.110115,0.122967,0.141087"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.120759,0.129543,0.145144,0.172579,0.219952,0.301279,0.442159", \ "0.120735,0.129561,0.145153,0.172514,0.219951,0.301317,0.442145", \ "0.120395,0.129321,0.145043,0.172462,0.219904,0.301318,0.442142", \ "0.119959,0.128249,0.143375,0.171898,0.219832,0.301340,0.442133", \ "0.135722,0.142264,0.154423,0.177193,0.220108,0.301076,0.442155", \ "0.168355,0.174469,0.185485,0.203258,0.237709,0.306157,0.442003", \ "0.205262,0.211390,0.222224,0.241772,0.275595,0.332887,0.449881"); } } timing () { related_pin : "A1"; when : "!A2 & !A3 & B1 & !B2 & !B3"; sdf_cond : "(A2 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (B3 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.018344,0.019718,0.022149,0.026449,0.034060,0.047522,0.071393", \ "0.023089,0.024485,0.026953,0.031305,0.038974,0.052495,0.076407", \ "0.038363,0.040202,0.043297,0.048292,0.056072,0.069526,0.093424", \ "0.052350,0.055307,0.060404,0.068600,0.081168,0.099680,0.126272", \ "0.060128,0.064538,0.071827,0.083772,0.102048,0.128758,0.166457", \ "0.059787,0.065792,0.075581,0.091643,0.116261,0.152268,0.202668", \ "0.050030,0.057713,0.070338,0.090820,0.122272,0.168265,0.232661"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.108208,0.117396,0.133576,0.162119,0.212309,0.300646,0.456987", \ "0.107576,0.116962,0.133521,0.162754,0.213528,0.302884,0.460065", \ "0.117472,0.126581,0.142824,0.171688,0.222480,0.312030,0.470022", \ "0.148189,0.156670,0.171946,0.199671,0.249256,0.337526,0.494468", \ "0.196974,0.206747,0.223355,0.250695,0.297967,0.384308,0.538913", \ "0.250765,0.262581,0.282590,0.315891,0.369610,0.454879,0.606752", \ "0.310504,0.324265,0.347677,0.386568,0.449380,0.547683,0.700159"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.012258,0.013445,0.015549,0.019280,0.025917,0.037659,0.058522", \ "0.012169,0.013379,0.015517,0.019270,0.025914,0.037671,0.058532", \ "0.016909,0.017738,0.019169,0.021617,0.026768,0.037584,0.058527", \ "0.029750,0.030953,0.032785,0.035799,0.040755,0.048635,0.062812", \ "0.047190,0.048809,0.051548,0.055527,0.061793,0.071445,0.086132", \ "0.069161,0.071212,0.074906,0.080182,0.088103,0.100113,0.117822", \ "0.095583,0.098203,0.102697,0.109420,0.119444,0.134264,0.155603"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.086007,0.094009,0.108385,0.133424,0.177702,0.255789,0.394054", \ "0.085965,0.093986,0.108369,0.133489,0.177546,0.255783,0.394045", \ "0.085586,0.093743,0.108235,0.133405,0.177661,0.255783,0.394043", \ "0.085430,0.092865,0.106627,0.132623,0.177333,0.255771,0.394052", \ "0.102462,0.107871,0.118390,0.138333,0.177828,0.255529,0.394043", \ "0.124910,0.131793,0.143927,0.163759,0.195782,0.260820,0.393890", \ "0.151025,0.158702,0.172093,0.193981,0.229939,0.287753,0.402112"); } } timing () { related_pin : "A1"; when : "!A2 & !A3 & B1 & !B2 & B3"; sdf_cond : "(A2 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (B3 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.014475,0.015481,0.017257,0.020404,0.025966,0.035826,0.053298", \ "0.019540,0.020553,0.022358,0.025537,0.031135,0.041026,0.058535", \ "0.034017,0.035604,0.038275,0.042559,0.049169,0.059207,0.076638", \ "0.046447,0.049115,0.053590,0.060805,0.071752,0.087726,0.110352", \ "0.052865,0.056878,0.063410,0.074134,0.090441,0.113932,0.146678", \ "0.051116,0.056662,0.065623,0.080251,0.102587,0.134793,0.179291", \ "0.039761,0.046940,0.058700,0.077585,0.106454,0.148204,0.205890"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.107958,0.117128,0.133360,0.161901,0.211990,0.300363,0.456818", \ "0.106660,0.116023,0.132587,0.161606,0.212604,0.301879,0.459159", \ "0.116921,0.125979,0.142128,0.170833,0.221476,0.310856,0.468752", \ "0.148078,0.156565,0.171764,0.199464,0.248885,0.336948,0.493559", \ "0.197146,0.206890,0.223493,0.250802,0.298012,0.384234,0.538647", \ "0.251285,0.263083,0.283131,0.316348,0.370003,0.455165,0.606979", \ "0.311509,0.325240,0.348619,0.387444,0.450188,0.548396,0.700788"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.008888,0.009762,0.011316,0.014075,0.018980,0.027676,0.043137", \ "0.008857,0.009732,0.011303,0.014071,0.018976,0.027679,0.043126", \ "0.014826,0.015474,0.016573,0.018448,0.021579,0.028415,0.043132", \ "0.027075,0.028014,0.029582,0.032057,0.036026,0.042221,0.051841", \ "0.043936,0.045244,0.047600,0.050907,0.056040,0.063927,0.075679", \ "0.065407,0.067172,0.070265,0.074859,0.081449,0.091345,0.105756", \ "0.091396,0.093684,0.097548,0.103420,0.112008,0.124369,0.141902"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.086016,0.093986,0.108300,0.133449,0.177563,0.255873,0.394093", \ "0.085964,0.093956,0.108289,0.133440,0.177605,0.255803,0.394086", \ "0.085570,0.093699,0.108158,0.133369,0.177671,0.255807,0.394078", \ "0.085448,0.092861,0.106606,0.132544,0.177355,0.255794,0.394081", \ "0.102391,0.107760,0.118295,0.138339,0.177815,0.255559,0.394081", \ "0.124680,0.131539,0.143682,0.163618,0.195689,0.260841,0.393926", \ "0.150542,0.158193,0.171525,0.193590,0.229618,0.287504,0.402039"); } } timing () { related_pin : "A1"; when : "!A2 & !A3 & B1 & B2 & !B3"; sdf_cond : "(A2 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (B3 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.014475,0.015481,0.017257,0.020404,0.025966,0.035826,0.053298", \ "0.019540,0.020553,0.022358,0.025537,0.031135,0.041026,0.058535", \ "0.034017,0.035604,0.038275,0.042559,0.049169,0.059207,0.076638", \ "0.046447,0.049115,0.053590,0.060805,0.071752,0.087726,0.110352", \ "0.052865,0.056878,0.063410,0.074134,0.090441,0.113932,0.146678", \ "0.051116,0.056662,0.065623,0.080251,0.102587,0.134793,0.179291", \ "0.039761,0.046940,0.058700,0.077585,0.106454,0.148204,0.205890"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.107958,0.117128,0.133360,0.161901,0.211990,0.300363,0.456818", \ "0.106660,0.116023,0.132587,0.161606,0.212604,0.301879,0.459159", \ "0.116921,0.125979,0.142128,0.170833,0.221476,0.310856,0.468752", \ "0.148078,0.156565,0.171764,0.199464,0.248885,0.336948,0.493559", \ "0.197146,0.206890,0.223493,0.250802,0.298012,0.384234,0.538647", \ "0.251285,0.263083,0.283131,0.316348,0.370003,0.455165,0.606979", \ "0.311509,0.325240,0.348619,0.387444,0.450188,0.548396,0.700788"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.008888,0.009762,0.011316,0.014075,0.018980,0.027676,0.043137", \ "0.008857,0.009732,0.011303,0.014071,0.018976,0.027679,0.043126", \ "0.014826,0.015474,0.016573,0.018448,0.021579,0.028415,0.043132", \ "0.027075,0.028014,0.029582,0.032057,0.036026,0.042221,0.051841", \ "0.043936,0.045244,0.047600,0.050907,0.056040,0.063927,0.075679", \ "0.065407,0.067172,0.070265,0.074859,0.081449,0.091345,0.105756", \ "0.091396,0.093684,0.097548,0.103420,0.112008,0.124369,0.141902"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.086016,0.093986,0.108300,0.133449,0.177563,0.255873,0.394093", \ "0.085964,0.093956,0.108289,0.133440,0.177605,0.255803,0.394086", \ "0.085570,0.093699,0.108158,0.133369,0.177671,0.255807,0.394078", \ "0.085448,0.092861,0.106606,0.132544,0.177355,0.255794,0.394081", \ "0.102391,0.107760,0.118295,0.138339,0.177815,0.255559,0.394081", \ "0.124680,0.131539,0.143682,0.163618,0.195689,0.260841,0.393926", \ "0.150542,0.158193,0.171525,0.193590,0.229618,0.287504,0.402039"); } } timing () { related_pin : "A1"; when : "!A2 & !A3 & B1 & B2 & B3"; sdf_cond : "(A2 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (B3 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.013130,0.014018,0.015591,0.018371,0.023288,0.031992,0.047432", \ "0.018322,0.019216,0.020809,0.023614,0.028556,0.037295,0.052757", \ "0.032399,0.033903,0.036427,0.040453,0.046662,0.055887,0.071264", \ "0.044296,0.046856,0.051118,0.057969,0.068355,0.083434,0.104695", \ "0.050274,0.054145,0.060487,0.070702,0.086261,0.108650,0.139624", \ "0.048060,0.053440,0.062153,0.076294,0.097733,0.128564,0.170999", \ "0.036177,0.043173,0.054620,0.072929,0.100876,0.141127,0.196415"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.107777,0.116952,0.133165,0.161745,0.211785,0.300188,0.456639", \ "0.106247,0.115599,0.132088,0.161227,0.212093,0.301428,0.458688", \ "0.116741,0.125772,0.141891,0.170479,0.221074,0.310399,0.468227", \ "0.148049,0.156530,0.171754,0.199396,0.248770,0.336724,0.493239", \ "0.197199,0.206947,0.223527,0.250837,0.298035,0.384188,0.538553", \ "0.251450,0.263241,0.283244,0.316487,0.370123,0.455267,0.607044", \ "0.311832,0.325552,0.348919,0.387728,0.450439,0.548622,0.700982"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.007832,0.008601,0.009980,0.012430,0.016778,0.024503,0.038223", \ "0.007815,0.008587,0.009972,0.012426,0.016781,0.024505,0.038220", \ "0.014109,0.014706,0.015730,0.017408,0.020140,0.025771,0.038215", \ "0.026134,0.026998,0.028451,0.030741,0.034405,0.040074,0.048779", \ "0.042768,0.044016,0.046210,0.049276,0.054047,0.061317,0.072104", \ "0.064069,0.065735,0.068689,0.072940,0.079106,0.088226,0.101508", \ "0.089941,0.092107,0.095764,0.101393,0.109376,0.120860,0.137068"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.086016,0.093978,0.108279,0.133502,0.177573,0.255879,0.394095", \ "0.085962,0.093947,0.108275,0.133464,0.177596,0.255806,0.394093", \ "0.085565,0.093686,0.108141,0.133350,0.177670,0.255808,0.394093", \ "0.085454,0.092859,0.106596,0.132636,0.177360,0.255815,0.394084", \ "0.102367,0.107743,0.118256,0.138334,0.177830,0.255615,0.394082", \ "0.124603,0.131432,0.143539,0.163565,0.195645,0.260845,0.393930", \ "0.150381,0.158026,0.171388,0.193453,0.229525,0.287425,0.402008"); } } timing () { related_pin : "A2"; when : "!A1 & !A3 & !B1 & !B2 & B3"; sdf_cond : "(A1 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (B3 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.029096,0.030749,0.033645,0.038661,0.047298,0.062126,0.087607", \ "0.033709,0.035373,0.038286,0.043323,0.051984,0.066830,0.092331", \ "0.051639,0.053146,0.055770,0.060552,0.069008,0.083700,0.109099", \ "0.077870,0.079950,0.083800,0.090394,0.100853,0.116996,0.141918", \ "0.101171,0.104229,0.109085,0.118311,0.132945,0.155423,0.188825", \ "0.118550,0.122640,0.129327,0.141263,0.160383,0.189963,0.233891", \ "0.128102,0.133307,0.141987,0.157005,0.181180,0.218568,0.273985"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.154352,0.163482,0.179712,0.208044,0.258096,0.346616,0.503381", \ "0.155836,0.165127,0.181665,0.210339,0.261076,0.350100,0.507381", \ "0.168265,0.177499,0.193966,0.222612,0.273507,0.363049,0.521211", \ "0.196470,0.205362,0.221365,0.249804,0.300215,0.389448,0.547431", \ "0.238105,0.247868,0.264356,0.292553,0.342526,0.431448,0.588838", \ "0.285522,0.296806,0.316211,0.348769,0.403325,0.492220,0.649343", \ "0.341509,0.354395,0.376512,0.413223,0.473834,0.572113,0.730976"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.022195,0.023457,0.025670,0.029563,0.036425,0.048446,0.069550", \ "0.022182,0.023454,0.025658,0.029579,0.036434,0.048465,0.069555", \ "0.022164,0.023256,0.025248,0.028910,0.035774,0.048196,0.069443", \ "0.034002,0.035158,0.036958,0.039872,0.044715,0.052943,0.070252", \ "0.050348,0.051847,0.054594,0.058448,0.064670,0.074321,0.089163", \ "0.070507,0.072480,0.076024,0.081307,0.089475,0.101711,0.119751", \ "0.094424,0.097017,0.101652,0.108335,0.118699,0.134080,0.156183"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.119114,0.128034,0.143960,0.171644,0.219951,0.304214,0.451842", \ "0.119137,0.127972,0.143954,0.171559,0.220019,0.304186,0.451844", \ "0.119154,0.127956,0.144025,0.171565,0.220012,0.304199,0.451931", \ "0.119306,0.128063,0.143899,0.171576,0.219958,0.304189,0.451865", \ "0.127885,0.135258,0.149238,0.174249,0.220098,0.304189,0.451842", \ "0.153551,0.160312,0.172499,0.192176,0.231577,0.307530,0.451911", \ "0.183708,0.190009,0.201916,0.222500,0.259852,0.325478,0.457466"); } } timing () { related_pin : "A2"; when : "!A1 & !A3 & !B1 & B2 & !B3"; sdf_cond : "(A1 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (B3 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.027761,0.029329,0.032075,0.036838,0.045081,0.059317,0.084029", \ "0.032428,0.034001,0.036754,0.041537,0.049788,0.064034,0.088754", \ "0.049812,0.051328,0.053903,0.058454,0.066596,0.080791,0.105481", \ "0.073183,0.075388,0.079337,0.086089,0.096776,0.113191,0.138076", \ "0.092615,0.095826,0.100979,0.110650,0.125797,0.148981,0.183139", \ "0.105410,0.109779,0.116908,0.129520,0.149605,0.180408,0.225623", \ "0.109956,0.115551,0.124913,0.140854,0.166358,0.205414,0.262787"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.154341,0.163452,0.179619,0.208125,0.258114,0.346632,0.503297", \ "0.155831,0.165120,0.181660,0.210339,0.261067,0.350090,0.507365", \ "0.168261,0.177498,0.193955,0.222615,0.273499,0.363038,0.521193", \ "0.196467,0.205362,0.221364,0.249798,0.300208,0.389438,0.547414", \ "0.238101,0.247896,0.264212,0.292552,0.342519,0.431437,0.588821", \ "0.285517,0.296767,0.316247,0.348762,0.403317,0.492211,0.649325", \ "0.341502,0.354364,0.376545,0.413214,0.473824,0.572102,0.730961"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.020061,0.021227,0.023306,0.026986,0.033560,0.045243,0.066073", \ "0.020007,0.021193,0.023273,0.026975,0.033545,0.045243,0.066073", \ "0.020533,0.021525,0.023371,0.026753,0.033159,0.045165,0.066073", \ "0.032488,0.033647,0.035460,0.038415,0.043288,0.051308,0.067830", \ "0.048912,0.050482,0.053285,0.057195,0.063469,0.073214,0.088122", \ "0.069386,0.071518,0.075124,0.080479,0.088699,0.101018,0.119087", \ "0.093812,0.096505,0.101095,0.107975,0.118489,0.133944,0.155998"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.117644,0.126277,0.141855,0.169025,0.215912,0.296894,0.437535", \ "0.117639,0.126342,0.141805,0.168870,0.215905,0.296889,0.437545", \ "0.117628,0.126345,0.141735,0.168848,0.215899,0.296925,0.437576", \ "0.117821,0.126446,0.141781,0.168842,0.215905,0.296906,0.437538", \ "0.126401,0.133665,0.147029,0.171567,0.216055,0.296850,0.437545", \ "0.151068,0.157682,0.169980,0.189310,0.227574,0.300304,0.437560", \ "0.179850,0.186029,0.197569,0.217993,0.254702,0.318273,0.443232"); } } timing () { related_pin : "A2"; when : "!A1 & !A3 & !B1 & B2 & B3"; sdf_cond : "(A1 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (B3 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.020376,0.021558,0.023617,0.027182,0.033317,0.043865,0.062081", \ "0.025419,0.026590,0.028645,0.032205,0.038337,0.048881,0.067099", \ "0.043450,0.044743,0.046959,0.050621,0.056535,0.066862,0.084986", \ "0.064309,0.066304,0.069760,0.075637,0.084893,0.098981,0.119746", \ "0.081517,0.084431,0.089049,0.097573,0.110969,0.131234,0.160779", \ "0.092202,0.096195,0.102707,0.113883,0.131934,0.159350,0.199071", \ "0.094585,0.099750,0.108321,0.122773,0.145939,0.181154,0.232205"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.154158,0.163272,0.179508,0.207934,0.257981,0.346539,0.503274", \ "0.155070,0.164293,0.180702,0.209523,0.260251,0.349305,0.506661", \ "0.167850,0.176982,0.193307,0.221985,0.272739,0.362126,0.520174", \ "0.196322,0.205289,0.221251,0.249581,0.299893,0.388991,0.546747", \ "0.238082,0.247816,0.264321,0.292446,0.342465,0.431215,0.588587", \ "0.285596,0.296830,0.316288,0.348848,0.403388,0.492215,0.649241", \ "0.341874,0.354708,0.376729,0.413512,0.474073,0.572299,0.731100"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.014751,0.015638,0.017193,0.019952,0.024829,0.033468,0.048847", \ "0.014529,0.015441,0.017041,0.019840,0.024753,0.033433,0.048835", \ "0.017373,0.018005,0.019049,0.021063,0.025101,0.033219,0.048770", \ "0.029064,0.029997,0.031506,0.033888,0.037787,0.043943,0.054192", \ "0.044716,0.046069,0.048443,0.051728,0.056946,0.064939,0.076864", \ "0.064520,0.066329,0.069467,0.074136,0.081059,0.091348,0.106212", \ "0.088341,0.090652,0.094846,0.100867,0.109851,0.122987,0.141413"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.120776,0.129571,0.145152,0.172611,0.219903,0.301309,0.442138", \ "0.120798,0.129503,0.145101,0.172556,0.219968,0.301277,0.442144", \ "0.120784,0.129531,0.145146,0.172526,0.219950,0.301310,0.442131", \ "0.120957,0.129686,0.145173,0.172421,0.219895,0.301319,0.442140", \ "0.129526,0.136881,0.150449,0.175142,0.220026,0.301261,0.442153", \ "0.154977,0.161610,0.173541,0.192894,0.231595,0.304698,0.442123", \ "0.184499,0.190659,0.201981,0.222315,0.258999,0.322672,0.447833"); } } timing () { related_pin : "A2"; when : "!A1 & !A3 & B1 & !B2 & !B3"; sdf_cond : "(A1 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (B3 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.022439,0.023961,0.026626,0.031258,0.039286,0.053226,0.077571", \ "0.027129,0.028649,0.031321,0.035959,0.043992,0.057942,0.082295", \ "0.043884,0.045537,0.048381,0.053025,0.060823,0.074713,0.099041", \ "0.062690,0.065212,0.069719,0.077104,0.088662,0.106090,0.131693", \ "0.076481,0.080207,0.086213,0.096974,0.113584,0.138435,0.174358", \ "0.083004,0.088071,0.096354,0.110401,0.132622,0.165918,0.213641", \ "0.080878,0.087353,0.098072,0.116026,0.144211,0.186502,0.247219"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.150126,0.159198,0.175245,0.203599,0.253577,0.341707,0.497940", \ "0.151537,0.160763,0.177124,0.205901,0.256269,0.345127,0.501969", \ "0.164014,0.173151,0.189445,0.218200,0.268733,0.358115,0.515729", \ "0.192235,0.201076,0.216947,0.245265,0.295549,0.384546,0.541998", \ "0.233389,0.243287,0.259883,0.288201,0.338015,0.426472,0.583402", \ "0.280032,0.291383,0.310856,0.343685,0.398415,0.487488,0.643888", \ "0.335000,0.347993,0.370185,0.407303,0.468253,0.566875,0.725754"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.016634,0.017782,0.019832,0.023482,0.029987,0.041608,0.062372", \ "0.016451,0.017635,0.019712,0.023406,0.029944,0.041592,0.062353", \ "0.019009,0.019794,0.021283,0.024204,0.030008,0.041503,0.062369", \ "0.031579,0.032739,0.034538,0.037491,0.042358,0.050172,0.065417", \ "0.048467,0.050070,0.052866,0.056772,0.063042,0.072705,0.087450", \ "0.069427,0.071584,0.075223,0.080644,0.088806,0.100987,0.118834", \ "0.094226,0.096947,0.101720,0.108573,0.119057,0.134325,0.156137"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.086031,0.094015,0.108393,0.133409,0.177712,0.255790,0.394057", \ "0.086035,0.094021,0.108395,0.133476,0.177557,0.255788,0.394046", \ "0.086039,0.094031,0.108391,0.133472,0.177532,0.255780,0.394060", \ "0.086242,0.094154,0.108426,0.133366,0.177488,0.255776,0.394047", \ "0.095282,0.101879,0.113941,0.136079,0.177651,0.255807,0.394057", \ "0.113138,0.120200,0.132845,0.153681,0.189242,0.259098,0.394039", \ "0.135160,0.142282,0.155102,0.176497,0.213638,0.277048,0.399885"); } } timing () { related_pin : "A2"; when : "!A1 & !A3 & B1 & !B2 & B3"; sdf_cond : "(A1 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (B3 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.016883,0.018017,0.019999,0.023439,0.029387,0.039676,0.057581", \ "0.021976,0.023094,0.025061,0.028483,0.034415,0.044695,0.062600", \ "0.038547,0.039974,0.042427,0.046389,0.052608,0.062699,0.080501", \ "0.055299,0.057608,0.061532,0.068010,0.078054,0.093055,0.114767", \ "0.067305,0.070682,0.076156,0.085674,0.100406,0.122236,0.153337", \ "0.072064,0.076717,0.084248,0.096929,0.116991,0.146690,0.188770", \ "0.068044,0.074053,0.083984,0.100368,0.126098,0.164367,0.218585"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.149895,0.158959,0.175008,0.203298,0.253292,0.341456,0.497736", \ "0.150744,0.159950,0.176268,0.204982,0.255413,0.344261,0.501124", \ "0.163573,0.172679,0.188856,0.217452,0.267915,0.357116,0.514605", \ "0.192088,0.200986,0.216757,0.245016,0.295186,0.383994,0.541196", \ "0.233328,0.243221,0.259954,0.288071,0.337869,0.426252,0.582992", \ "0.280059,0.291392,0.310900,0.343680,0.398417,0.487417,0.643710", \ "0.335307,0.348289,0.370455,0.407522,0.468420,0.566980,0.725782"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.012127,0.013006,0.014556,0.017294,0.022137,0.030732,0.046072", \ "0.011857,0.012761,0.014361,0.017145,0.022039,0.030684,0.046059", \ "0.016440,0.017064,0.018114,0.019891,0.023421,0.030872,0.046036", \ "0.028484,0.029403,0.030925,0.033332,0.037231,0.043344,0.053146", \ "0.044734,0.046047,0.048393,0.051689,0.056891,0.064780,0.076578", \ "0.065100,0.066916,0.070142,0.074799,0.081594,0.091703,0.106344", \ "0.089423,0.091813,0.095932,0.102126,0.111016,0.123896,0.141957"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.086039,0.093994,0.108320,0.133427,0.177599,0.255805,0.394084", \ "0.086044,0.093995,0.108289,0.133435,0.177548,0.255806,0.394079", \ "0.086049,0.094002,0.108294,0.133418,0.177550,0.255796,0.394089", \ "0.086251,0.094126,0.108363,0.133372,0.177500,0.255798,0.394081", \ "0.095305,0.101887,0.114006,0.136063,0.177634,0.255898,0.394090", \ "0.113141,0.120107,0.132780,0.153708,0.189283,0.259130,0.394073", \ "0.135059,0.142135,0.154911,0.176441,0.213609,0.277049,0.399918"); } } timing () { related_pin : "A2"; when : "!A1 & !A3 & B1 & B2 & !B3"; sdf_cond : "(A1 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (B3 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.016883,0.018017,0.019999,0.023439,0.029387,0.039676,0.057581", \ "0.021976,0.023094,0.025061,0.028483,0.034415,0.044695,0.062600", \ "0.038547,0.039974,0.042427,0.046389,0.052608,0.062699,0.080501", \ "0.055299,0.057608,0.061532,0.068010,0.078054,0.093055,0.114767", \ "0.067305,0.070682,0.076156,0.085674,0.100406,0.122236,0.153337", \ "0.072064,0.076717,0.084248,0.096929,0.116991,0.146690,0.188770", \ "0.068044,0.074053,0.083984,0.100368,0.126098,0.164367,0.218585"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.149895,0.158959,0.175008,0.203298,0.253292,0.341456,0.497736", \ "0.150744,0.159950,0.176268,0.204982,0.255413,0.344261,0.501124", \ "0.163573,0.172679,0.188856,0.217452,0.267915,0.357116,0.514605", \ "0.192088,0.200986,0.216757,0.245016,0.295186,0.383994,0.541196", \ "0.233328,0.243221,0.259954,0.288071,0.337869,0.426252,0.582992", \ "0.280059,0.291392,0.310900,0.343680,0.398417,0.487417,0.643710", \ "0.335307,0.348289,0.370455,0.407522,0.468420,0.566980,0.725782"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.012127,0.013006,0.014556,0.017294,0.022137,0.030732,0.046072", \ "0.011857,0.012761,0.014361,0.017145,0.022039,0.030684,0.046059", \ "0.016440,0.017064,0.018114,0.019891,0.023421,0.030872,0.046036", \ "0.028484,0.029403,0.030925,0.033332,0.037231,0.043344,0.053146", \ "0.044734,0.046047,0.048393,0.051689,0.056891,0.064780,0.076578", \ "0.065100,0.066916,0.070142,0.074799,0.081594,0.091703,0.106344", \ "0.089423,0.091813,0.095932,0.102126,0.111016,0.123896,0.141957"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.086039,0.093994,0.108320,0.133427,0.177599,0.255805,0.394084", \ "0.086044,0.093995,0.108289,0.133435,0.177548,0.255806,0.394079", \ "0.086049,0.094002,0.108294,0.133418,0.177550,0.255796,0.394089", \ "0.086251,0.094126,0.108363,0.133372,0.177500,0.255798,0.394081", \ "0.095305,0.101887,0.114006,0.136063,0.177634,0.255898,0.394090", \ "0.113141,0.120107,0.132780,0.153708,0.189283,0.259130,0.394073", \ "0.135059,0.142135,0.154911,0.176441,0.213609,0.277049,0.399918"); } } timing () { related_pin : "A2"; when : "!A1 & !A3 & B1 & B2 & B3"; sdf_cond : "(A1 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (B3 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.015039,0.016045,0.017805,0.020862,0.026142,0.035269,0.051126", \ "0.020297,0.021282,0.023021,0.026049,0.031301,0.040410,0.056259", \ "0.036593,0.037939,0.040245,0.043980,0.049817,0.058864,0.074586", \ "0.052641,0.054835,0.058579,0.064728,0.074242,0.088401,0.108801", \ "0.064030,0.067290,0.072549,0.081633,0.095699,0.116421,0.145880", \ "0.068199,0.072709,0.080007,0.092175,0.111431,0.139851,0.179879", \ "0.063552,0.069397,0.079025,0.094902,0.119758,0.156535,0.208460"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.149731,0.158779,0.174827,0.203136,0.253078,0.341325,0.497564", \ "0.150382,0.159566,0.175843,0.204509,0.255002,0.343815,0.500670", \ "0.163421,0.172501,0.188657,0.217171,0.267585,0.356715,0.514144", \ "0.192014,0.200937,0.216698,0.244920,0.295052,0.383785,0.540873", \ "0.233301,0.243201,0.259861,0.288038,0.337799,0.426113,0.582837", \ "0.280058,0.291384,0.310804,0.343690,0.398298,0.487386,0.643631", \ "0.335398,0.348368,0.370494,0.407581,0.468459,0.566996,0.725767"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.010681,0.011465,0.012860,0.015311,0.019629,0.027273,0.040881", \ "0.010384,0.011200,0.012635,0.015139,0.019513,0.027211,0.040860", \ "0.015592,0.016162,0.017123,0.018733,0.021594,0.027780,0.040812", \ "0.027405,0.028260,0.029657,0.031886,0.035477,0.041091,0.049741", \ "0.043403,0.044663,0.046828,0.049914,0.054710,0.062025,0.072880", \ "0.063603,0.065299,0.068307,0.072712,0.079074,0.088442,0.101995", \ "0.087757,0.090022,0.093980,0.099805,0.108208,0.120248,0.136957"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.086037,0.093981,0.108286,0.133417,0.177557,0.255807,0.394081", \ "0.086042,0.093981,0.108270,0.133420,0.177556,0.255806,0.394081", \ "0.086049,0.093992,0.108267,0.133415,0.177551,0.255799,0.394094", \ "0.086257,0.094118,0.108328,0.133368,0.177496,0.255799,0.394084", \ "0.095313,0.101887,0.113965,0.136088,0.177639,0.255827,0.394088", \ "0.113142,0.120101,0.132657,0.153745,0.189248,0.259136,0.394075", \ "0.135025,0.142085,0.154830,0.176422,0.213598,0.277047,0.399922"); } } timing () { related_pin : "A3"; when : "!A1 & !A2 & !B1 & !B2 & B3"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (B3 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.029692,0.031507,0.034668,0.040096,0.049311,0.064871,0.091193", \ "0.034225,0.036027,0.039167,0.044565,0.053754,0.069286,0.095585", \ "0.052618,0.054120,0.056838,0.061852,0.070675,0.085931,0.112050", \ "0.081126,0.083132,0.086946,0.093448,0.103763,0.119743,0.144843", \ "0.107472,0.110335,0.115043,0.123939,0.138264,0.160276,0.193144", \ "0.128487,0.132361,0.138713,0.150226,0.168700,0.197413,0.240328", \ "0.142323,0.147230,0.155451,0.169791,0.192974,0.229080,0.283023"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.166125,0.175253,0.191416,0.219797,0.269974,0.358388,0.515111", \ "0.169301,0.178591,0.195118,0.223813,0.274501,0.363617,0.520923", \ "0.184359,0.193590,0.209937,0.238768,0.289513,0.379143,0.537276", \ "0.212472,0.221450,0.237654,0.266104,0.316507,0.405786,0.563754", \ "0.251185,0.260309,0.275992,0.304447,0.354545,0.443517,0.600985", \ "0.293021,0.303424,0.321454,0.352473,0.405185,0.494013,0.651236", \ "0.338083,0.349709,0.370097,0.403957,0.461294,0.557035,0.716085"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.026013,0.027320,0.029591,0.033549,0.040456,0.052463,0.073431", \ "0.025554,0.026900,0.029212,0.033260,0.040231,0.052310,0.073356", \ "0.024259,0.025468,0.027637,0.031561,0.038828,0.051645,0.073068", \ "0.036005,0.037135,0.038896,0.041796,0.046598,0.055098,0.073074", \ "0.052537,0.054089,0.056766,0.060557,0.066611,0.076138,0.090839", \ "0.072828,0.074834,0.078344,0.083427,0.091403,0.103479,0.121329", \ "0.096836,0.099351,0.103696,0.110328,0.120479,0.135673,0.157587"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.119135,0.127930,0.144008,0.171610,0.220009,0.304192,0.451846", \ "0.119142,0.127932,0.144084,0.171571,0.219996,0.304196,0.451838", \ "0.119131,0.127994,0.144054,0.171665,0.219958,0.304202,0.451933", \ "0.119176,0.128096,0.144005,0.171621,0.219963,0.304200,0.451848", \ "0.123362,0.131329,0.146156,0.172580,0.219992,0.304199,0.451860", \ "0.141074,0.148561,0.162129,0.184769,0.227344,0.306360,0.451891", \ "0.164303,0.171449,0.184650,0.207727,0.248721,0.320319,0.456655"); } } timing () { related_pin : "A3"; when : "!A1 & !A2 & !B1 & B2 & !B3"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (B3 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.027947,0.029659,0.032657,0.037818,0.046648,0.061673,0.087314", \ "0.032529,0.034229,0.037204,0.042336,0.051125,0.066111,0.091721", \ "0.050566,0.052090,0.054701,0.059457,0.067949,0.082714,0.108180", \ "0.076368,0.078408,0.082334,0.089068,0.099603,0.115874,0.140854", \ "0.099128,0.102195,0.107093,0.116446,0.131253,0.153925,0.187525", \ "0.115981,0.120097,0.126838,0.138965,0.158269,0.188060,0.232226", \ "0.125294,0.130540,0.139249,0.154454,0.178792,0.216383,0.272086"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.166105,0.175223,0.191422,0.219898,0.269880,0.358419,0.515171", \ "0.169297,0.178591,0.195100,0.223807,0.274492,0.363606,0.520907", \ "0.184356,0.193583,0.209922,0.238766,0.289505,0.379133,0.537257", \ "0.212468,0.221447,0.237657,0.266098,0.316500,0.405776,0.563737", \ "0.251181,0.260320,0.276038,0.304441,0.354538,0.443506,0.600968", \ "0.293017,0.303442,0.321454,0.352467,0.405177,0.494004,0.651219", \ "0.338077,0.349698,0.370091,0.403950,0.461285,0.557025,0.716071"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.023280,0.024519,0.026708,0.030559,0.037303,0.049137,0.069969", \ "0.022861,0.024141,0.026365,0.030265,0.037081,0.048966,0.069888", \ "0.022450,0.023544,0.025540,0.029192,0.035994,0.048500,0.069692", \ "0.034645,0.035849,0.037646,0.040489,0.045313,0.053444,0.070590", \ "0.051402,0.052936,0.055665,0.059481,0.065609,0.075186,0.089874", \ "0.072081,0.074122,0.077633,0.082776,0.090811,0.102933,0.120769", \ "0.096527,0.099127,0.103584,0.110241,0.120438,0.135633,0.157487"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.117624,0.126262,0.141828,0.168864,0.215874,0.296886,0.437575", \ "0.117638,0.126328,0.141812,0.168856,0.215929,0.296931,0.437508", \ "0.117665,0.126341,0.141902,0.168914,0.215861,0.296934,0.437576", \ "0.117704,0.126341,0.141736,0.168914,0.215901,0.296928,0.437530", \ "0.121838,0.129730,0.144083,0.169817,0.215963,0.296840,0.437545", \ "0.138913,0.146258,0.159817,0.181906,0.223273,0.299132,0.437555", \ "0.161016,0.167951,0.180821,0.203664,0.243862,0.313096,0.442393"); } } timing () { related_pin : "A3"; when : "!A1 & !A2 & !B1 & B2 & B3"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (B3 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.019825,0.021102,0.023324,0.027157,0.033713,0.044860,0.063841", \ "0.024947,0.026192,0.028374,0.032154,0.038646,0.049731,0.068658", \ "0.043867,0.045177,0.047410,0.051123,0.057129,0.067771,0.086425", \ "0.066780,0.068635,0.072093,0.077924,0.087046,0.101010,0.121637", \ "0.086935,0.089679,0.094105,0.102371,0.115411,0.135235,0.164281", \ "0.101339,0.105104,0.111225,0.121986,0.139291,0.165782,0.204559", \ "0.108193,0.113027,0.121053,0.134732,0.156802,0.190609,0.240103"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.165919,0.175020,0.191196,0.219715,0.269823,0.358354,0.515051", \ "0.168527,0.177778,0.194231,0.223051,0.273654,0.362796,0.520153", \ "0.183947,0.193073,0.209380,0.238139,0.288737,0.378232,0.536263", \ "0.212362,0.221342,0.237476,0.265916,0.316216,0.405317,0.563126", \ "0.251163,0.260307,0.276114,0.304387,0.354456,0.443326,0.600714", \ "0.293048,0.303438,0.321547,0.352507,0.405199,0.493976,0.651198", \ "0.338204,0.349782,0.370067,0.404072,0.461390,0.557111,0.716131"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.016443,0.017429,0.019152,0.022136,0.027300,0.036239,0.051801", \ "0.015922,0.016950,0.018727,0.021782,0.027018,0.036027,0.051666", \ "0.018669,0.019274,0.020352,0.022499,0.026800,0.035298,0.051302", \ "0.030858,0.031810,0.033255,0.035594,0.039405,0.045453,0.055865", \ "0.046885,0.048206,0.050505,0.053690,0.058779,0.066555,0.078277", \ "0.066818,0.068596,0.071654,0.076106,0.082866,0.092943,0.107579", \ "0.090670,0.092883,0.096919,0.102681,0.111470,0.124356,0.142560"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.120764,0.129517,0.145105,0.172551,0.219948,0.301333,0.442139", \ "0.120748,0.129553,0.145158,0.172534,0.219875,0.301260,0.442143", \ "0.120801,0.129524,0.145135,0.172507,0.219833,0.301347,0.442136", \ "0.120827,0.129543,0.145149,0.172564,0.219898,0.301350,0.442169", \ "0.125008,0.132966,0.147452,0.173424,0.219967,0.301268,0.442135", \ "0.142564,0.149900,0.163320,0.185576,0.227403,0.303551,0.442166", \ "0.165464,0.172449,0.185090,0.207779,0.248050,0.317503,0.447009"); } } timing () { related_pin : "A3"; when : "!A1 & !A2 & B1 & !B2 & !B3"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (B3 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.021783,0.023478,0.026430,0.031528,0.040252,0.055137,0.080589", \ "0.026518,0.028178,0.031087,0.036125,0.044779,0.059598,0.084994", \ "0.044509,0.046184,0.049071,0.053761,0.061859,0.076323,0.101510", \ "0.066123,0.068504,0.072900,0.080208,0.091590,0.108840,0.134366", \ "0.083837,0.087340,0.092903,0.103310,0.119430,0.143647,0.178860", \ "0.095104,0.099805,0.107498,0.120855,0.141984,0.174017,0.220550", \ "0.098513,0.104470,0.114368,0.131187,0.157830,0.198249,0.257004"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.161876,0.170957,0.187004,0.215452,0.265236,0.353496,0.509709", \ "0.165001,0.174252,0.190617,0.219395,0.269847,0.358633,0.515485", \ "0.180085,0.189256,0.205502,0.234183,0.284940,0.374182,0.531790", \ "0.208232,0.217193,0.233213,0.261544,0.311868,0.400841,0.558331", \ "0.246833,0.256023,0.271787,0.300052,0.349958,0.438522,0.595551", \ "0.288050,0.298492,0.316537,0.347590,0.400411,0.489229,0.645779", \ "0.332413,0.344093,0.364366,0.398538,0.456041,0.551918,0.710874"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.019234,0.020549,0.022845,0.026821,0.033681,0.045585,0.066424", \ "0.018648,0.020021,0.022390,0.026437,0.033384,0.045376,0.066280", \ "0.020872,0.021673,0.023278,0.026456,0.032667,0.044751,0.066047", \ "0.034058,0.035197,0.036948,0.039807,0.044526,0.052243,0.068086", \ "0.051336,0.052826,0.055589,0.059309,0.065342,0.074751,0.089264", \ "0.072415,0.074425,0.077957,0.083144,0.091051,0.102937,0.120543", \ "0.097152,0.099776,0.104297,0.110885,0.121088,0.136037,0.157576"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.086033,0.094037,0.108415,0.133500,0.177528,0.255781,0.394042", \ "0.086036,0.094025,0.108418,0.133495,0.177615,0.255782,0.394050", \ "0.086039,0.094032,0.108412,0.133378,0.177691,0.255787,0.394062", \ "0.086093,0.094066,0.108428,0.133359,0.177541,0.255786,0.394046", \ "0.090548,0.097774,0.110816,0.134261,0.177461,0.255822,0.394051", \ "0.102925,0.110415,0.123887,0.146121,0.184913,0.257840,0.394038", \ "0.118928,0.126583,0.140319,0.163298,0.203153,0.271687,0.398980"); } } timing () { related_pin : "A3"; when : "!A1 & !A2 & B1 & !B2 & B3"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (B3 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.015967,0.017181,0.019309,0.023007,0.029400,0.040350,0.059115", \ "0.021221,0.022393,0.024466,0.028090,0.034389,0.045252,0.063948", \ "0.038877,0.040322,0.042798,0.046805,0.053097,0.063433,0.081776", \ "0.057985,0.060149,0.064047,0.070418,0.080302,0.095141,0.116686", \ "0.073497,0.076670,0.081737,0.090968,0.105211,0.126435,0.156970", \ "0.082645,0.086965,0.093932,0.105962,0.125027,0.153567,0.194479", \ "0.083855,0.089372,0.098504,0.113790,0.138057,0.174545,0.226938"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.161661,0.170723,0.186796,0.215087,0.265042,0.353230,0.509523", \ "0.164217,0.173423,0.189762,0.218454,0.268882,0.357764,0.514617", \ "0.179653,0.188762,0.204907,0.233513,0.284110,0.373188,0.530695", \ "0.208101,0.217038,0.233027,0.261306,0.311519,0.400279,0.557557", \ "0.246783,0.255965,0.271835,0.299953,0.349804,0.438284,0.595151", \ "0.288036,0.298449,0.316509,0.347455,0.400378,0.489126,0.645623", \ "0.332482,0.344132,0.364398,0.398582,0.456064,0.551913,0.710823"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.013229,0.014252,0.016037,0.019118,0.024395,0.033439,0.049070", \ "0.012763,0.013817,0.015642,0.018764,0.024087,0.033206,0.048912", \ "0.017874,0.018495,0.019524,0.021322,0.025022,0.032873,0.048531", \ "0.030595,0.031511,0.032929,0.035237,0.039004,0.044974,0.054797", \ "0.047179,0.048463,0.050735,0.053855,0.058853,0.066494,0.078052", \ "0.067695,0.069430,0.072445,0.076909,0.083555,0.093328,0.107720", \ "0.091946,0.094207,0.098096,0.104032,0.112645,0.125273,0.143117"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.086037,0.094002,0.108312,0.133448,0.177550,0.255866,0.394076", \ "0.086043,0.094001,0.108318,0.133446,0.177581,0.255800,0.394076", \ "0.086048,0.094007,0.108335,0.133404,0.177713,0.255804,0.394093", \ "0.086103,0.094040,0.108325,0.133372,0.177564,0.255807,0.394086", \ "0.090563,0.097772,0.110822,0.134260,0.177470,0.255845,0.394088", \ "0.102937,0.110381,0.123672,0.146114,0.184945,0.257866,0.394073", \ "0.118931,0.126531,0.140182,0.163306,0.203167,0.271712,0.399020"); } } timing () { related_pin : "A3"; when : "!A1 & !A2 & B1 & B2 & !B3"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (B3 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.015967,0.017181,0.019309,0.023007,0.029400,0.040350,0.059115", \ "0.021221,0.022393,0.024466,0.028090,0.034389,0.045252,0.063948", \ "0.038877,0.040322,0.042798,0.046805,0.053097,0.063433,0.081776", \ "0.057985,0.060149,0.064047,0.070418,0.080302,0.095141,0.116686", \ "0.073497,0.076670,0.081737,0.090968,0.105211,0.126435,0.156970", \ "0.082645,0.086965,0.093932,0.105962,0.125027,0.153567,0.194479", \ "0.083855,0.089372,0.098504,0.113790,0.138057,0.174545,0.226938"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.161661,0.170723,0.186796,0.215087,0.265042,0.353230,0.509523", \ "0.164217,0.173423,0.189762,0.218454,0.268882,0.357764,0.514617", \ "0.179653,0.188762,0.204907,0.233513,0.284110,0.373188,0.530695", \ "0.208101,0.217038,0.233027,0.261306,0.311519,0.400279,0.557557", \ "0.246783,0.255965,0.271835,0.299953,0.349804,0.438284,0.595151", \ "0.288036,0.298449,0.316509,0.347455,0.400378,0.489126,0.645623", \ "0.332482,0.344132,0.364398,0.398582,0.456064,0.551913,0.710823"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.013229,0.014252,0.016037,0.019118,0.024395,0.033439,0.049070", \ "0.012763,0.013817,0.015642,0.018764,0.024087,0.033206,0.048912", \ "0.017874,0.018495,0.019524,0.021322,0.025022,0.032873,0.048531", \ "0.030595,0.031511,0.032929,0.035237,0.039004,0.044974,0.054797", \ "0.047179,0.048463,0.050735,0.053855,0.058853,0.066494,0.078052", \ "0.067695,0.069430,0.072445,0.076909,0.083555,0.093328,0.107720", \ "0.091946,0.094207,0.098096,0.104032,0.112645,0.125273,0.143117"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.086037,0.094002,0.108312,0.133448,0.177550,0.255866,0.394076", \ "0.086043,0.094001,0.108318,0.133446,0.177581,0.255800,0.394076", \ "0.086048,0.094007,0.108335,0.133404,0.177713,0.255804,0.394093", \ "0.086103,0.094040,0.108325,0.133372,0.177564,0.255807,0.394086", \ "0.090563,0.097772,0.110822,0.134260,0.177470,0.255845,0.394088", \ "0.102937,0.110381,0.123672,0.146114,0.184945,0.257866,0.394073", \ "0.118931,0.126531,0.140182,0.163306,0.203167,0.271712,0.399020"); } } timing () { related_pin : "A3"; when : "!A1 & !A2 & B1 & B2 & B3"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (B3 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.014137,0.015197,0.017059,0.020310,0.025932,0.035612,0.052228", \ "0.019571,0.020590,0.022395,0.025567,0.031095,0.040680,0.057219", \ "0.036831,0.038197,0.040540,0.044300,0.050203,0.059393,0.075539", \ "0.055069,0.057161,0.060852,0.066900,0.076280,0.090275,0.110515", \ "0.069831,0.072889,0.077775,0.086567,0.100137,0.120328,0.149180", \ "0.078259,0.082435,0.089186,0.100704,0.119017,0.146356,0.185275", \ "0.078725,0.084089,0.092934,0.107721,0.131124,0.166156,0.216299"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.161485,0.170556,0.186635,0.214976,0.264842,0.353130,0.509360", \ "0.163851,0.173051,0.189352,0.218017,0.268480,0.357276,0.514166", \ "0.179497,0.188578,0.204705,0.233267,0.283801,0.372789,0.530223", \ "0.208051,0.216976,0.232942,0.261212,0.311347,0.400073,0.557247", \ "0.246759,0.255960,0.271760,0.299902,0.349733,0.438197,0.594996", \ "0.288022,0.298421,0.316483,0.347469,0.400285,0.489091,0.645540", \ "0.332494,0.344127,0.364351,0.398583,0.456057,0.551893,0.710781"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.011384,0.012305,0.013899,0.016673,0.021415,0.029530,0.043497", \ "0.010985,0.011911,0.013544,0.016343,0.021120,0.029286,0.043327", \ "0.016902,0.017456,0.018398,0.019993,0.022929,0.029399,0.042902", \ "0.029377,0.030231,0.031534,0.033680,0.037136,0.042586,0.051144", \ "0.045685,0.046898,0.049019,0.051934,0.056561,0.063637,0.074268", \ "0.066012,0.067659,0.070491,0.074711,0.080864,0.089991,0.103263", \ "0.090150,0.092276,0.095998,0.101545,0.109710,0.121452,0.138012"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.086040,0.093995,0.108288,0.133432,0.177584,0.255810,0.394086", \ "0.086044,0.093996,0.108289,0.133430,0.177553,0.255866,0.394077", \ "0.086049,0.093993,0.108309,0.133407,0.177718,0.255807,0.394095", \ "0.086104,0.094027,0.108297,0.133361,0.177538,0.255809,0.394089", \ "0.090567,0.097769,0.110818,0.134273,0.177470,0.255846,0.394091", \ "0.102940,0.110375,0.123665,0.146147,0.184926,0.257878,0.394076", \ "0.118931,0.126519,0.140131,0.163307,0.203170,0.271718,0.399026"); } } timing () { related_pin : "B1"; when : "!A1 & !A2 & A3 & !B2 & !B3"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (A3 == 1'b1) && (B2 == 1'b0) && (B3 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.031435,0.033064,0.035911,0.040854,0.049388,0.064067,0.089355", \ "0.037095,0.038743,0.041619,0.046606,0.055193,0.069933,0.095266", \ "0.054306,0.055918,0.058730,0.063612,0.072113,0.086805,0.112158", \ "0.078548,0.080601,0.084097,0.090113,0.099945,0.115867,0.141513", \ "0.104008,0.106782,0.111356,0.119151,0.131842,0.151649,0.182243", \ "0.126133,0.129951,0.135917,0.146503,0.163372,0.188988,0.227002", \ "0.141680,0.146668,0.154581,0.168256,0.190296,0.223597,0.271717"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.190345,0.199604,0.216095,0.245180,0.296245,0.385816,0.543894", \ "0.190471,0.199832,0.216446,0.245977,0.297145,0.387177,0.545364", \ "0.199941,0.209306,0.226008,0.255467,0.306967,0.397368,0.556135", \ "0.228014,0.237214,0.253343,0.282390,0.333678,0.423189,0.581508", \ "0.277422,0.286421,0.302302,0.330633,0.380465,0.469053,0.625923", \ "0.347963,0.357674,0.374443,0.402928,0.451143,0.538182,0.693064", \ "0.425320,0.436660,0.456241,0.489442,0.544365,0.633086,0.785272"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.017939,0.019258,0.021571,0.025609,0.032631,0.044776,0.065902", \ "0.018032,0.019349,0.021662,0.025694,0.032706,0.044832,0.065929", \ "0.018530,0.019706,0.021820,0.025595,0.032486,0.044688,0.065873", \ "0.024585,0.025715,0.027735,0.031162,0.037106,0.047315,0.066424", \ "0.034850,0.036028,0.038153,0.041696,0.047675,0.058003,0.075910", \ "0.049557,0.050965,0.053525,0.057491,0.063880,0.074410,0.092271", \ "0.068450,0.070179,0.073185,0.078163,0.085667,0.097243,0.115576"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.164012,0.172870,0.188603,0.216418,0.264962,0.349741,0.498647", \ "0.164007,0.172871,0.188621,0.216542,0.264927,0.349779,0.498682", \ "0.164017,0.172875,0.188639,0.216464,0.264999,0.349766,0.498645", \ "0.163970,0.172850,0.188560,0.216435,0.265033,0.349785,0.498620", \ "0.164844,0.173206,0.188229,0.215594,0.264658,0.349729,0.498676", \ "0.183223,0.190278,0.203031,0.226361,0.269142,0.349528,0.498640", \ "0.218434,0.225493,0.237915,0.259077,0.294915,0.364491,0.500183"); } } timing () { related_pin : "B1"; when : "!A1 & A2 & !A3 & !B2 & !B3"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (A3 == 1'b0) && (B2 == 1'b0) && (B3 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.030951,0.032461,0.035106,0.039716,0.047729,0.061676,0.086050", \ "0.036681,0.038207,0.040874,0.045518,0.053572,0.067557,0.091965", \ "0.053483,0.055016,0.057682,0.062297,0.070351,0.084366,0.108834", \ "0.075986,0.078033,0.081437,0.087355,0.097056,0.112701,0.137952", \ "0.098398,0.101270,0.105933,0.113888,0.126802,0.146808,0.177480", \ "0.115880,0.119969,0.126358,0.137513,0.155161,0.181669,0.220501", \ "0.125687,0.131089,0.139777,0.154469,0.177890,0.212858,0.262736"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.190342,0.199600,0.216110,0.245199,0.296237,0.385804,0.543877", \ "0.190482,0.199828,0.216436,0.245952,0.297135,0.387165,0.545349", \ "0.199930,0.209291,0.225990,0.255532,0.306956,0.397355,0.556123", \ "0.228010,0.237204,0.253343,0.282396,0.333666,0.423175,0.581491", \ "0.277418,0.286416,0.302316,0.330621,0.380459,0.469042,0.625906", \ "0.347958,0.357650,0.374482,0.402921,0.451136,0.538171,0.693047", \ "0.425312,0.436655,0.456219,0.489431,0.544354,0.633077,0.785256"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.016132,0.017321,0.019433,0.023180,0.029798,0.041527,0.062352", \ "0.016148,0.017341,0.019447,0.023188,0.029806,0.041525,0.062355", \ "0.016620,0.017702,0.019646,0.023180,0.029677,0.041467,0.062329", \ "0.022326,0.023422,0.025383,0.028755,0.034626,0.044738,0.063414", \ "0.032669,0.033875,0.036014,0.039576,0.045545,0.055783,0.073546", \ "0.047828,0.049286,0.051930,0.055984,0.062443,0.072936,0.090546", \ "0.067510,0.069316,0.072423,0.077507,0.085094,0.096657,0.114689"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.161420,0.170083,0.185374,0.212375,0.259309,0.340643,0.482351", \ "0.161442,0.170082,0.185323,0.212196,0.259332,0.340750,0.482365", \ "0.161432,0.170089,0.185383,0.212466,0.259368,0.340746,0.482362", \ "0.161380,0.170072,0.185316,0.212351,0.259383,0.340747,0.482423", \ "0.162238,0.170436,0.184995,0.211541,0.258988,0.340694,0.482375", \ "0.180615,0.187469,0.199750,0.222355,0.263611,0.340437,0.482349", \ "0.214542,0.221583,0.233624,0.254656,0.289381,0.355543,0.483923"); } } timing () { related_pin : "B1"; when : "!A1 & A2 & A3 & !B2 & !B3"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (A3 == 1'b1) && (B2 == 1'b0) && (B3 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.025868,0.027051,0.029123,0.032736,0.039015,0.049926,0.068969", \ "0.031591,0.032784,0.034876,0.038516,0.044825,0.055767,0.074836", \ "0.049495,0.050777,0.052979,0.056646,0.062927,0.073864,0.092971", \ "0.073126,0.074994,0.078047,0.083326,0.091830,0.105210,0.126010", \ "0.095397,0.098190,0.102653,0.110249,0.122471,0.140987,0.168399", \ "0.112330,0.116226,0.122471,0.133276,0.150359,0.175975,0.212684", \ "0.121165,0.126497,0.135025,0.149194,0.172170,0.206242,0.254615"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.204928,0.214126,0.230466,0.259400,0.310397,0.399643,0.557345", \ "0.205149,0.214439,0.230949,0.260082,0.311338,0.401175,0.559177", \ "0.214552,0.223832,0.240381,0.269537,0.320956,0.411070,0.569756", \ "0.242546,0.251678,0.267691,0.296450,0.347508,0.436777,0.594810", \ "0.291816,0.300745,0.316568,0.344806,0.394538,0.482839,0.639405", \ "0.363384,0.372764,0.389017,0.416530,0.464970,0.551898,0.706603", \ "0.443306,0.454253,0.473264,0.505576,0.559296,0.646547,0.798830"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.012940,0.013859,0.015511,0.018403,0.023526,0.032545,0.048541", \ "0.012950,0.013880,0.015525,0.018426,0.023536,0.032554,0.048540", \ "0.014043,0.014812,0.016199,0.018755,0.023511,0.032453,0.048508", \ "0.021203,0.022041,0.023556,0.026080,0.030395,0.037772,0.050816", \ "0.032903,0.033923,0.035774,0.038720,0.043468,0.051283,0.064262", \ "0.049080,0.050345,0.052694,0.056293,0.061940,0.070713,0.084495", \ "0.069935,0.071458,0.074237,0.078750,0.085514,0.095807,0.111209"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.173892,0.182671,0.198007,0.225213,0.272425,0.354098,0.495929", \ "0.173869,0.182693,0.197933,0.225227,0.272417,0.354136,0.495930", \ "0.173887,0.182659,0.197956,0.225227,0.272491,0.354085,0.495930", \ "0.173888,0.182675,0.198019,0.225230,0.272473,0.354104,0.495915", \ "0.173951,0.182427,0.197393,0.224665,0.272343,0.354095,0.495930", \ "0.190303,0.197521,0.210073,0.233358,0.275525,0.353630,0.495937", \ "0.224747,0.231653,0.243618,0.263944,0.299549,0.367072,0.496975"); } } timing () { related_pin : "B1"; when : "A1 & !A2 & !A3 & !B2 & !B3"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (A3 == 1'b0) && (B2 == 1'b0) && (B3 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.026708,0.028080,0.030507,0.034801,0.042398,0.055869,0.079750", \ "0.032361,0.033754,0.036221,0.040564,0.048216,0.061731,0.085655", \ "0.048307,0.049884,0.052576,0.057109,0.064878,0.078494,0.102509", \ "0.067750,0.069978,0.073653,0.079912,0.089966,0.105982,0.131521", \ "0.084868,0.088210,0.093624,0.102550,0.116702,0.137895,0.169543", \ "0.095298,0.100137,0.107850,0.120629,0.140502,0.169456,0.210355", \ "0.096651,0.103246,0.113798,0.130859,0.157611,0.196219,0.249549"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.185724,0.194977,0.211325,0.240232,0.291053,0.380537,0.538252", \ "0.185823,0.195185,0.211703,0.240722,0.292008,0.381688,0.539714", \ "0.195272,0.204637,0.221134,0.250328,0.301653,0.391894,0.550484", \ "0.223419,0.232592,0.248626,0.277355,0.328091,0.417707,0.575797", \ "0.272916,0.281879,0.297689,0.325695,0.375491,0.463820,0.620242", \ "0.342880,0.352673,0.369561,0.398146,0.446425,0.533075,0.687446", \ "0.419146,0.430591,0.450291,0.483673,0.539027,0.628208,0.779763"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.012281,0.013459,0.015554,0.019290,0.025911,0.037667,0.058529", \ "0.012286,0.013454,0.015550,0.019288,0.025908,0.037669,0.058530", \ "0.013637,0.014643,0.016471,0.019833,0.026045,0.037671,0.058530", \ "0.019886,0.020978,0.022925,0.026233,0.032026,0.042136,0.060258", \ "0.031231,0.032461,0.034585,0.038138,0.043968,0.053882,0.071278", \ "0.047570,0.049022,0.051529,0.055605,0.062031,0.072113,0.089143", \ "0.068715,0.070446,0.073527,0.078347,0.085742,0.096944,0.114312"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.123145,0.131376,0.146237,0.172325,0.217813,0.297439,0.437676", \ "0.123143,0.131376,0.146256,0.172345,0.217956,0.297392,0.437692", \ "0.123146,0.131377,0.146246,0.172375,0.217783,0.297464,0.437692", \ "0.123091,0.131351,0.146252,0.172334,0.217764,0.297378,0.437673", \ "0.124189,0.131892,0.146044,0.171496,0.217444,0.297426,0.437708", \ "0.143218,0.149620,0.161247,0.182562,0.222075,0.297272,0.437688", \ "0.169124,0.176747,0.190155,0.212376,0.247940,0.312440,0.439406"); } } timing () { related_pin : "B1"; when : "A1 & !A2 & A3 & !B2 & !B3"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (A3 == 1'b1) && (B2 == 1'b0) && (B3 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.022944,0.024012,0.025905,0.029255,0.035183,0.045675,0.064287", \ "0.028611,0.029698,0.031619,0.035009,0.040973,0.051510,0.070149", \ "0.045467,0.046783,0.049009,0.052760,0.058923,0.069547,0.088271", \ "0.065576,0.067659,0.071034,0.076780,0.085797,0.099686,0.120932", \ "0.082421,0.085690,0.090876,0.099432,0.112928,0.132862,0.161525", \ "0.092358,0.097032,0.104537,0.116867,0.136232,0.164239,0.203263", \ "0.092908,0.099339,0.109672,0.126257,0.152361,0.190050,0.241877"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.200272,0.209441,0.225692,0.254382,0.305025,0.394187,0.551509", \ "0.200441,0.209734,0.226126,0.255043,0.306053,0.395538,0.553308", \ "0.209864,0.219133,0.235516,0.264509,0.315606,0.405508,0.563870", \ "0.237895,0.247010,0.262932,0.291449,0.341898,0.431309,0.588981", \ "0.287243,0.296159,0.311872,0.339709,0.389380,0.477399,0.633556", \ "0.358406,0.367864,0.384205,0.411973,0.460142,0.546655,0.700818", \ "0.437237,0.448289,0.467388,0.499878,0.553897,0.641535,0.793155"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.010024,0.010933,0.012551,0.015429,0.020532,0.029570,0.045589", \ "0.010021,0.010937,0.012558,0.015430,0.020533,0.029562,0.045586", \ "0.011938,0.012713,0.014036,0.016434,0.020957,0.029595,0.045577", \ "0.019495,0.020363,0.021916,0.024451,0.028770,0.036085,0.048809", \ "0.031925,0.032976,0.034853,0.037838,0.042652,0.050319,0.063024", \ "0.049326,0.050589,0.052758,0.056318,0.061921,0.070533,0.083973", \ "0.071877,0.073282,0.075878,0.080011,0.086558,0.096492,0.111410"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.131243,0.139506,0.154402,0.180612,0.226351,0.306032,0.446440", \ "0.131238,0.139506,0.154403,0.180646,0.226427,0.306085,0.446427", \ "0.131245,0.139505,0.154418,0.180635,0.226400,0.306073,0.446418", \ "0.131214,0.139499,0.154411,0.180648,0.226259,0.306024,0.446435", \ "0.131490,0.139374,0.153843,0.180038,0.226042,0.306002,0.446424", \ "0.148436,0.154959,0.167005,0.189077,0.229466,0.305584,0.446446", \ "0.175527,0.183009,0.196180,0.218234,0.253469,0.319134,0.447583"); } } timing () { related_pin : "B1"; when : "A1 & A2 & !A3 & !B2 & !B3"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (A3 == 1'b0) && (B2 == 1'b0) && (B3 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.022944,0.024012,0.025905,0.029255,0.035183,0.045675,0.064287", \ "0.028611,0.029698,0.031619,0.035009,0.040973,0.051510,0.070149", \ "0.045467,0.046783,0.049009,0.052760,0.058923,0.069547,0.088271", \ "0.065576,0.067659,0.071034,0.076780,0.085797,0.099686,0.120932", \ "0.082421,0.085690,0.090876,0.099432,0.112928,0.132862,0.161525", \ "0.092358,0.097032,0.104537,0.116867,0.136232,0.164239,0.203263", \ "0.092908,0.099339,0.109672,0.126257,0.152361,0.190050,0.241877"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.200272,0.209441,0.225692,0.254382,0.305025,0.394187,0.551509", \ "0.200441,0.209734,0.226126,0.255043,0.306053,0.395538,0.553308", \ "0.209864,0.219133,0.235516,0.264509,0.315606,0.405508,0.563870", \ "0.237895,0.247010,0.262932,0.291449,0.341898,0.431309,0.588981", \ "0.287243,0.296159,0.311872,0.339709,0.389380,0.477399,0.633556", \ "0.358406,0.367864,0.384205,0.411973,0.460142,0.546655,0.700818", \ "0.437237,0.448289,0.467388,0.499878,0.553897,0.641535,0.793155"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.010024,0.010933,0.012551,0.015429,0.020532,0.029570,0.045589", \ "0.010021,0.010937,0.012558,0.015430,0.020533,0.029562,0.045586", \ "0.011938,0.012713,0.014036,0.016434,0.020957,0.029595,0.045577", \ "0.019495,0.020363,0.021916,0.024451,0.028770,0.036085,0.048809", \ "0.031925,0.032976,0.034853,0.037838,0.042652,0.050319,0.063024", \ "0.049326,0.050589,0.052758,0.056318,0.061921,0.070533,0.083973", \ "0.071877,0.073282,0.075878,0.080011,0.086558,0.096492,0.111410"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.131243,0.139506,0.154402,0.180612,0.226351,0.306032,0.446440", \ "0.131238,0.139506,0.154403,0.180646,0.226427,0.306085,0.446427", \ "0.131245,0.139505,0.154418,0.180635,0.226400,0.306073,0.446418", \ "0.131214,0.139499,0.154411,0.180648,0.226259,0.306024,0.446435", \ "0.131490,0.139374,0.153843,0.180038,0.226042,0.306002,0.446424", \ "0.148436,0.154959,0.167005,0.189077,0.229466,0.305584,0.446446", \ "0.175527,0.183009,0.196180,0.218234,0.253469,0.319134,0.447583"); } } timing () { related_pin : "B1"; when : "A1 & A2 & A3 & !B2 & !B3"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (A3 == 1'b1) && (B2 == 1'b0) && (B3 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.021884,0.022853,0.024573,0.027616,0.033001,0.042532,0.059433", \ "0.027556,0.028543,0.030288,0.033364,0.038786,0.048353,0.065282", \ "0.044832,0.046060,0.048140,0.051620,0.057293,0.066958,0.083965", \ "0.065171,0.067199,0.070467,0.076024,0.084740,0.098001,0.117954", \ "0.082006,0.085188,0.090294,0.098643,0.111879,0.131380,0.159223", \ "0.091884,0.096499,0.103785,0.115868,0.134927,0.162485,0.200853", \ "0.092350,0.098649,0.108717,0.125000,0.150662,0.187832,0.238987"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.212912,0.222045,0.238234,0.266789,0.317181,0.406302,0.563444", \ "0.213185,0.222418,0.238757,0.267547,0.318412,0.407749,0.565344", \ "0.222559,0.231781,0.248093,0.276921,0.327973,0.417676,0.575795", \ "0.250431,0.259502,0.275351,0.303712,0.354198,0.443302,0.600853", \ "0.299528,0.308416,0.324085,0.351890,0.401459,0.489319,0.645364", \ "0.371378,0.380590,0.396552,0.423649,0.472009,0.558433,0.712579", \ "0.452327,0.463082,0.481703,0.513475,0.566539,0.653122,0.804796"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.009510,0.010337,0.011803,0.014399,0.019017,0.027146,0.041584", \ "0.009517,0.010334,0.011799,0.014408,0.019012,0.027157,0.041590", \ "0.011675,0.012359,0.013521,0.015610,0.019579,0.027196,0.041581", \ "0.019885,0.020674,0.022092,0.024373,0.028215,0.034639,0.045565", \ "0.032936,0.033911,0.035621,0.038390,0.042815,0.049818,0.061155", \ "0.051130,0.052243,0.054198,0.057476,0.062661,0.070706,0.083151", \ "0.074622,0.075839,0.078139,0.081859,0.087864,0.097168,0.111244"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.138383,0.146660,0.161561,0.187889,0.233595,0.313479,0.453765", \ "0.138386,0.146659,0.161564,0.187921,0.233651,0.313412,0.453778", \ "0.138385,0.146661,0.161571,0.187891,0.233695,0.313391,0.453774", \ "0.138376,0.146660,0.161589,0.187907,0.233648,0.313419,0.453768", \ "0.138094,0.146121,0.160822,0.187524,0.233470,0.313410,0.453763", \ "0.153055,0.159827,0.172249,0.194704,0.235742,0.312866,0.453763", \ "0.180796,0.188171,0.201128,0.223035,0.258118,0.324932,0.454462"); } } timing () { related_pin : "B2"; when : "!A1 & !A2 & A3 & !B1 & !B3"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (A3 == 1'b1) && (B1 == 1'b0) && (B3 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.037315,0.038976,0.041872,0.046901,0.055560,0.070409,0.095911", \ "0.042848,0.044515,0.047423,0.052467,0.061147,0.076012,0.101528", \ "0.059640,0.061280,0.064146,0.069129,0.077761,0.092606,0.118131", \ "0.085595,0.087535,0.090790,0.096597,0.106181,0.121771,0.147318", \ "0.114087,0.116619,0.120751,0.127972,0.139996,0.159110,0.188961", \ "0.140640,0.143979,0.149306,0.158924,0.174543,0.198723,0.235396", \ "0.161435,0.165889,0.173018,0.185333,0.205519,0.236578,0.282428"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.231206,0.240449,0.256945,0.286009,0.337183,0.426695,0.584650", \ "0.232897,0.242196,0.258732,0.287870,0.339050,0.428735,0.586902", \ "0.246479,0.255805,0.272428,0.301735,0.353055,0.443110,0.601614", \ "0.274756,0.284003,0.300352,0.329749,0.380798,0.470842,0.629405", \ "0.317531,0.326835,0.343381,0.372385,0.423605,0.513327,0.671622", \ "0.376464,0.386423,0.403939,0.433349,0.484217,0.574081,0.732162", \ "0.444747,0.455789,0.475044,0.508079,0.563739,0.656177,0.813886"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.022024,0.023305,0.025561,0.029500,0.036406,0.048447,0.069545", \ "0.022077,0.023351,0.025593,0.029544,0.036438,0.048472,0.069560", \ "0.022057,0.023286,0.025465,0.029340,0.036268,0.048377,0.069533", \ "0.027116,0.028289,0.030314,0.033800,0.039814,0.050243,0.069834", \ "0.036522,0.037765,0.039947,0.043565,0.049729,0.060367,0.078463", \ "0.050576,0.052003,0.054595,0.058641,0.065162,0.076028,0.094351", \ "0.068785,0.070567,0.073640,0.078623,0.086255,0.098123,0.116895"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.164015,0.172865,0.188603,0.216428,0.265004,0.349740,0.498686", \ "0.164010,0.172883,0.188615,0.216438,0.264960,0.349753,0.498644", \ "0.164007,0.172865,0.188610,0.216428,0.264957,0.349765,0.498703", \ "0.164008,0.172863,0.188625,0.216503,0.264973,0.349740,0.498741", \ "0.164374,0.173079,0.188692,0.216367,0.264906,0.349747,0.498646", \ "0.176824,0.184398,0.198071,0.222957,0.267829,0.349736,0.498637", \ "0.203217,0.210538,0.223644,0.246232,0.285245,0.359861,0.500070"); } } timing () { related_pin : "B2"; when : "!A1 & A2 & !A3 & !B1 & !B3"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (A3 == 1'b0) && (B1 == 1'b0) && (B3 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.036087,0.037648,0.040390,0.045147,0.053388,0.067632,0.092364", \ "0.041678,0.043246,0.045989,0.050750,0.058996,0.073249,0.097980", \ "0.058288,0.059846,0.062573,0.067321,0.075562,0.089832,0.114591", \ "0.082798,0.084730,0.087964,0.093647,0.103093,0.118445,0.143604", \ "0.108734,0.111301,0.115580,0.122891,0.135051,0.154270,0.184203", \ "0.131398,0.134956,0.140512,0.150590,0.166803,0.191729,0.229033", \ "0.147355,0.152088,0.159722,0.172782,0.194070,0.226481,0.273795"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.231192,0.240480,0.256961,0.285975,0.337176,0.426685,0.584633", \ "0.232899,0.242188,0.258746,0.287866,0.339042,0.428725,0.586886", \ "0.246478,0.255810,0.272442,0.301679,0.353046,0.443098,0.601596", \ "0.274749,0.284017,0.300344,0.329718,0.380787,0.470830,0.629387", \ "0.317526,0.326828,0.343346,0.372380,0.423597,0.513312,0.671604", \ "0.376458,0.386406,0.403903,0.433342,0.484209,0.574070,0.732144", \ "0.444739,0.455771,0.475034,0.508070,0.563728,0.656167,0.813868"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.019849,0.021043,0.023152,0.026888,0.033501,0.045224,0.066082", \ "0.019837,0.021034,0.023143,0.026885,0.033493,0.045220,0.066064", \ "0.019924,0.021070,0.023115,0.026776,0.033411,0.045199,0.066072", \ "0.024954,0.026104,0.028084,0.031501,0.037430,0.047643,0.066828", \ "0.034588,0.035829,0.037982,0.041600,0.047680,0.058185,0.076152", \ "0.049061,0.050545,0.053172,0.057257,0.063800,0.074515,0.092595", \ "0.067942,0.069738,0.072859,0.077932,0.085639,0.097435,0.115964"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.161422,0.170090,0.185317,0.212381,0.259368,0.340735,0.482367", \ "0.161430,0.170069,0.185339,0.212405,0.259308,0.340706,0.482351", \ "0.161440,0.170088,0.185333,0.212364,0.259311,0.340720,0.482378", \ "0.161419,0.170059,0.185392,0.212271,0.259357,0.340736,0.482367", \ "0.161767,0.170275,0.185466,0.212265,0.259291,0.340735,0.482365", \ "0.174215,0.181615,0.194853,0.218995,0.262299,0.340764,0.482341", \ "0.199507,0.206712,0.219433,0.241881,0.279668,0.350927,0.483865"); } } timing () { related_pin : "B2"; when : "!A1 & A2 & A3 & !B1 & !B3"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (A3 == 1'b1) && (B1 == 1'b0) && (B3 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.029479,0.030717,0.032881,0.036640,0.043130,0.054317,0.073676", \ "0.035099,0.036340,0.038506,0.042265,0.048759,0.059949,0.079315", \ "0.053121,0.054368,0.056517,0.060237,0.066684,0.077861,0.097241", \ "0.079145,0.080860,0.083668,0.088659,0.096802,0.109814,0.130275", \ "0.105286,0.107742,0.111781,0.118708,0.130125,0.147631,0.174134", \ "0.127285,0.130764,0.136196,0.145932,0.161618,0.185523,0.220517", \ "0.142223,0.146890,0.154248,0.167060,0.187886,0.219503,0.265204"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.245832,0.255007,0.271344,0.300318,0.351132,0.440458,0.598156", \ "0.247707,0.256941,0.273390,0.302334,0.353447,0.442947,0.600822", \ "0.261127,0.270383,0.286884,0.316024,0.367245,0.456951,0.615312", \ "0.289317,0.298523,0.314668,0.343693,0.394859,0.484498,0.642798", \ "0.332091,0.341287,0.357665,0.386486,0.437581,0.526913,0.684952", \ "0.392071,0.401787,0.418717,0.447520,0.498174,0.587698,0.745474", \ "0.462090,0.472825,0.491632,0.523903,0.578641,0.669832,0.827208"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.015898,0.016828,0.018463,0.021356,0.026454,0.035445,0.051422", \ "0.015888,0.016816,0.018454,0.021347,0.026442,0.035440,0.051418", \ "0.016314,0.017141,0.018641,0.021363,0.026309,0.035362,0.051404", \ "0.023044,0.023896,0.025415,0.027922,0.032268,0.039678,0.053143", \ "0.034321,0.035348,0.037164,0.040108,0.044850,0.052730,0.065896", \ "0.049920,0.051195,0.053557,0.057169,0.062803,0.071670,0.085640", \ "0.069823,0.071418,0.074241,0.078803,0.085688,0.096176,0.111850"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.173907,0.182642,0.197974,0.225207,0.272470,0.354113,0.495933", \ "0.173876,0.182683,0.197927,0.225231,0.272431,0.354114,0.495918", \ "0.173904,0.182642,0.197935,0.225244,0.272423,0.354075,0.495935", \ "0.173905,0.182647,0.197933,0.225223,0.272427,0.354082,0.495925", \ "0.174070,0.182774,0.197982,0.225216,0.272405,0.354087,0.495911", \ "0.184827,0.192512,0.206008,0.230740,0.274672,0.354096,0.495940", \ "0.210219,0.217472,0.230247,0.252163,0.290827,0.363172,0.497000"); } } timing () { related_pin : "B2"; when : "A1 & !A2 & !A3 & !B1 & !B3"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b0) && (B3 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.030852,0.032357,0.034997,0.039598,0.047605,0.061540,0.085899", \ "0.036446,0.037953,0.040593,0.045201,0.053211,0.067151,0.091516", \ "0.052810,0.054371,0.057062,0.061692,0.069729,0.083697,0.108102", \ "0.075022,0.077062,0.080408,0.086379,0.096084,0.111751,0.137039", \ "0.096863,0.099783,0.104518,0.112543,0.125575,0.145708,0.176415", \ "0.113713,0.117876,0.124281,0.135659,0.153519,0.180255,0.219220", \ "0.122679,0.128267,0.137099,0.151984,0.175765,0.211059,0.261201"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.226585,0.235821,0.252171,0.281027,0.331779,0.421309,0.578972", \ "0.228267,0.237556,0.253942,0.282923,0.333869,0.423467,0.581235", \ "0.241836,0.251165,0.267628,0.296640,0.347871,0.437698,0.595906", \ "0.270118,0.279363,0.295488,0.324458,0.375549,0.465443,0.623686", \ "0.312899,0.322180,0.338570,0.367383,0.418276,0.507859,0.665945", \ "0.371389,0.381393,0.398850,0.428592,0.479355,0.568845,0.726487", \ "0.438979,0.450087,0.469396,0.502502,0.558309,0.651103,0.808221"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.016246,0.017441,0.019540,0.023262,0.029864,0.041555,0.062355", \ "0.016216,0.017408,0.019516,0.023238,0.029846,0.041553,0.062364", \ "0.016903,0.017993,0.019941,0.023468,0.029875,0.041541,0.062352", \ "0.022628,0.023740,0.025725,0.029077,0.034895,0.044998,0.063637", \ "0.033191,0.034401,0.036544,0.040089,0.045994,0.056178,0.073845", \ "0.048502,0.049991,0.052602,0.056664,0.063080,0.073501,0.091006", \ "0.068205,0.070014,0.073225,0.078254,0.085809,0.097334,0.115321"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.123144,0.131388,0.146264,0.172406,0.217768,0.297377,0.437707", \ "0.123143,0.131388,0.146265,0.172371,0.217834,0.297379,0.437692", \ "0.123141,0.131388,0.146267,0.172459,0.217852,0.297449,0.437696", \ "0.123141,0.131385,0.146261,0.172358,0.217789,0.297373,0.437694", \ "0.123598,0.131655,0.146368,0.172334,0.217742,0.297449,0.437700", \ "0.136448,0.143441,0.156189,0.179027,0.220801,0.297436,0.437682", \ "0.155761,0.163334,0.176928,0.199982,0.238115,0.307536,0.439238"); } } timing () { related_pin : "B2"; when : "A1 & !A2 & A3 & !B1 & !B3"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (A3 == 1'b1) && (B1 == 1'b0) && (B3 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.025748,0.026930,0.029002,0.032617,0.038897,0.049811,0.068850", \ "0.031377,0.032559,0.034633,0.038249,0.044529,0.055443,0.074486", \ "0.048934,0.050212,0.052408,0.056115,0.062407,0.073340,0.092411", \ "0.072205,0.074080,0.077105,0.082434,0.090980,0.104400,0.125249", \ "0.093935,0.096744,0.101305,0.108972,0.121314,0.139948,0.167459", \ "0.110164,0.114174,0.120410,0.131441,0.148778,0.174559,0.211473", \ "0.118217,0.123618,0.132247,0.146814,0.170051,0.204484,0.253092"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.241167,0.250330,0.266552,0.295235,0.345805,0.434952,0.592284", \ "0.243035,0.252246,0.268518,0.297316,0.348131,0.437419,0.594956", \ "0.256433,0.265683,0.282009,0.310847,0.361781,0.451521,0.609431", \ "0.284634,0.293841,0.309812,0.338651,0.389509,0.478974,0.636955", \ "0.327415,0.336610,0.352835,0.381381,0.432031,0.521339,0.679088", \ "0.387042,0.396793,0.413841,0.442706,0.493126,0.582228,0.739650", \ "0.456396,0.467191,0.485993,0.518378,0.573255,0.664690,0.821425"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.013082,0.014002,0.015645,0.018533,0.023620,0.032600,0.048556", \ "0.013042,0.013970,0.015607,0.018497,0.023593,0.032594,0.048558", \ "0.014273,0.015042,0.016447,0.018997,0.023736,0.032574,0.048553", \ "0.021546,0.022397,0.023917,0.026427,0.030716,0.038044,0.051061", \ "0.033465,0.034485,0.036301,0.039254,0.043972,0.051715,0.064634", \ "0.049802,0.051072,0.053418,0.057000,0.062593,0.071334,0.085032", \ "0.070697,0.072238,0.074974,0.079525,0.086247,0.096520,0.111880"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.131237,0.139509,0.154431,0.180643,0.226568,0.306022,0.446414", \ "0.131241,0.139507,0.154426,0.180643,0.226390,0.306123,0.446410", \ "0.131237,0.139509,0.154424,0.180734,0.226295,0.306039,0.446414", \ "0.131236,0.139508,0.154423,0.180627,0.226375,0.306079,0.446418", \ "0.131485,0.139663,0.154481,0.180695,0.226217,0.306075,0.446409", \ "0.142679,0.149825,0.162914,0.186255,0.228484,0.306099,0.446430", \ "0.162481,0.170007,0.183541,0.206654,0.244600,0.315077,0.447583"); } } timing () { related_pin : "B2"; when : "A1 & A2 & !A3 & !B1 & !B3"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (A3 == 1'b0) && (B1 == 1'b0) && (B3 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.025748,0.026930,0.029002,0.032617,0.038897,0.049811,0.068850", \ "0.031377,0.032559,0.034633,0.038249,0.044529,0.055443,0.074486", \ "0.048934,0.050212,0.052408,0.056115,0.062407,0.073340,0.092411", \ "0.072205,0.074080,0.077105,0.082434,0.090980,0.104400,0.125249", \ "0.093935,0.096744,0.101305,0.108972,0.121314,0.139948,0.167459", \ "0.110164,0.114174,0.120410,0.131441,0.148778,0.174559,0.211473", \ "0.118217,0.123618,0.132247,0.146814,0.170051,0.204484,0.253092"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.241167,0.250330,0.266552,0.295235,0.345805,0.434952,0.592284", \ "0.243035,0.252246,0.268518,0.297316,0.348131,0.437419,0.594956", \ "0.256433,0.265683,0.282009,0.310847,0.361781,0.451521,0.609431", \ "0.284634,0.293841,0.309812,0.338651,0.389509,0.478974,0.636955", \ "0.327415,0.336610,0.352835,0.381381,0.432031,0.521339,0.679088", \ "0.387042,0.396793,0.413841,0.442706,0.493126,0.582228,0.739650", \ "0.456396,0.467191,0.485993,0.518378,0.573255,0.664690,0.821425"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.013082,0.014002,0.015645,0.018533,0.023620,0.032600,0.048556", \ "0.013042,0.013970,0.015607,0.018497,0.023593,0.032594,0.048558", \ "0.014273,0.015042,0.016447,0.018997,0.023736,0.032574,0.048553", \ "0.021546,0.022397,0.023917,0.026427,0.030716,0.038044,0.051061", \ "0.033465,0.034485,0.036301,0.039254,0.043972,0.051715,0.064634", \ "0.049802,0.051072,0.053418,0.057000,0.062593,0.071334,0.085032", \ "0.070697,0.072238,0.074974,0.079525,0.086247,0.096520,0.111880"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.131237,0.139509,0.154431,0.180643,0.226568,0.306022,0.446414", \ "0.131241,0.139507,0.154426,0.180643,0.226390,0.306123,0.446410", \ "0.131237,0.139509,0.154424,0.180734,0.226295,0.306039,0.446414", \ "0.131236,0.139508,0.154423,0.180627,0.226375,0.306079,0.446418", \ "0.131485,0.139663,0.154481,0.180695,0.226217,0.306075,0.446409", \ "0.142679,0.149825,0.162914,0.186255,0.228484,0.306099,0.446430", \ "0.162481,0.170007,0.183541,0.206654,0.244600,0.315077,0.447583"); } } timing () { related_pin : "B2"; when : "A1 & A2 & A3 & !B1 & !B3"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (A3 == 1'b1) && (B1 == 1'b0) && (B3 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.024289,0.025363,0.027247,0.030536,0.036251,0.046179,0.063491", \ "0.029928,0.031003,0.032887,0.036176,0.041889,0.051816,0.069129", \ "0.047996,0.049181,0.051213,0.054636,0.060367,0.070299,0.087637", \ "0.071592,0.073410,0.076323,0.081469,0.089661,0.102400,0.121900", \ "0.093256,0.096014,0.100471,0.107992,0.120082,0.138275,0.164898", \ "0.109280,0.113257,0.119377,0.130194,0.147258,0.172640,0.208897", \ "0.117074,0.122442,0.130943,0.145141,0.168079,0.202055,0.250046"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.253840,0.262957,0.279093,0.307584,0.358156,0.447032,0.604184", \ "0.255752,0.264923,0.281154,0.309771,0.360442,0.449630,0.607014", \ "0.269116,0.278309,0.294572,0.323380,0.374093,0.463641,0.621375", \ "0.297249,0.306409,0.322307,0.350924,0.401662,0.491052,0.648828", \ "0.339983,0.349118,0.365343,0.393790,0.444219,0.533377,0.690916", \ "0.400381,0.409965,0.426500,0.455007,0.505252,0.594238,0.751434", \ "0.471154,0.481719,0.500182,0.531986,0.586142,0.676632,0.833164"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.012285,0.013121,0.014616,0.017222,0.021805,0.029913,0.044284", \ "0.012248,0.013082,0.014566,0.017177,0.021787,0.029898,0.044283", \ "0.013727,0.014397,0.015600,0.017841,0.021996,0.029863,0.044272", \ "0.021744,0.022498,0.023869,0.026106,0.029866,0.036286,0.047441", \ "0.034303,0.035230,0.036914,0.039637,0.043968,0.051002,0.062429", \ "0.051347,0.052488,0.054618,0.057981,0.063164,0.071358,0.083997", \ "0.073060,0.074456,0.076970,0.081125,0.087396,0.097069,0.111577"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.138382,0.146660,0.161576,0.187955,0.233690,0.313417,0.453768", \ "0.138384,0.146660,0.161575,0.187927,0.233596,0.313420,0.453766", \ "0.138382,0.146660,0.161591,0.187966,0.233596,0.313463,0.453776", \ "0.138382,0.146659,0.161588,0.187931,0.233597,0.313417,0.453770", \ "0.138516,0.146738,0.161599,0.187866,0.233553,0.313413,0.453777", \ "0.148228,0.155503,0.168824,0.192588,0.235172,0.313389,0.453769", \ "0.168162,0.175653,0.189096,0.212111,0.250145,0.321446,0.454600"); } } timing () { related_pin : "B3"; when : "!A1 & !A2 & A3 & !B1 & !B2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (A3 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.038271,0.040058,0.043182,0.048565,0.057730,0.073258,0.099560", \ "0.043610,0.045390,0.048509,0.053875,0.063031,0.078544,0.104833", \ "0.060427,0.062145,0.065164,0.070413,0.079454,0.094899,0.121158", \ "0.087632,0.089579,0.092911,0.098766,0.108465,0.124275,0.150296", \ "0.118177,0.120667,0.124672,0.131871,0.143765,0.162812,0.192737", \ "0.147702,0.150904,0.156076,0.165317,0.180529,0.204226,0.240439", \ "0.172368,0.176538,0.183229,0.195147,0.214556,0.244589,0.289348"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.243012,0.252281,0.268723,0.297856,0.349203,0.438440,0.596427", \ "0.246403,0.255700,0.272296,0.301572,0.352774,0.442295,0.600448", \ "0.262593,0.271932,0.288571,0.318070,0.369271,0.459261,0.617686", \ "0.290848,0.300117,0.316571,0.345896,0.397173,0.487114,0.645753", \ "0.329809,0.339094,0.355391,0.384338,0.435585,0.525429,0.683913", \ "0.379204,0.388926,0.406013,0.435277,0.486210,0.576249,0.734322", \ "0.433183,0.443743,0.462356,0.494313,0.548827,0.641176,0.799352"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.025345,0.026701,0.029039,0.033125,0.040151,0.052264,0.073326", \ "0.025161,0.026524,0.028887,0.032970,0.040028,0.052167,0.073279", \ "0.024660,0.025986,0.028307,0.032409,0.039605,0.051937,0.073177", \ "0.029531,0.030724,0.032753,0.036328,0.042401,0.053127,0.073123", \ "0.038780,0.040026,0.042239,0.045886,0.052113,0.062864,0.081065", \ "0.052541,0.053991,0.056585,0.060600,0.067168,0.078097,0.096629", \ "0.070601,0.072308,0.075331,0.080249,0.087823,0.099695,0.118625"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.164009,0.172874,0.188616,0.216442,0.265015,0.349723,0.498646", \ "0.164012,0.172876,0.188611,0.216416,0.264956,0.349764,0.498681", \ "0.164015,0.172862,0.188606,0.216499,0.264936,0.349763,0.498675", \ "0.164015,0.172865,0.188656,0.216449,0.264917,0.349738,0.498632", \ "0.164122,0.172946,0.188591,0.216429,0.264892,0.349721,0.498627", \ "0.172315,0.180316,0.194664,0.220538,0.266742,0.349806,0.498678", \ "0.192475,0.200277,0.214150,0.238435,0.280448,0.357831,0.500214"); } } timing () { related_pin : "B3"; when : "!A1 & A2 & !A3 & !B1 & !B2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (A3 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.036587,0.038280,0.041234,0.046347,0.055117,0.070099,0.095719", \ "0.041983,0.043666,0.046611,0.051701,0.060453,0.075405,0.101001", \ "0.058665,0.060302,0.063175,0.068181,0.076849,0.091749,0.117320", \ "0.084508,0.086435,0.089734,0.095516,0.105126,0.120734,0.146348", \ "0.112754,0.115245,0.119372,0.126723,0.138724,0.157871,0.187853", \ "0.138769,0.142188,0.147531,0.157209,0.172981,0.197249,0.234074", \ "0.159001,0.163475,0.170644,0.183154,0.203564,0.234824,0.280895"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.242976,0.252256,0.268724,0.297800,0.349191,0.438430,0.596415", \ "0.246398,0.255698,0.272293,0.301640,0.352768,0.442283,0.600432", \ "0.262588,0.271911,0.288569,0.318029,0.369263,0.459248,0.617669", \ "0.290843,0.300101,0.316541,0.345911,0.397163,0.487102,0.645735", \ "0.329804,0.339089,0.355419,0.384305,0.435576,0.525417,0.683894", \ "0.379199,0.388920,0.406022,0.435271,0.486202,0.576235,0.734304", \ "0.433176,0.443720,0.462306,0.494304,0.548817,0.641165,0.799334"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.022696,0.023974,0.026221,0.030150,0.036998,0.048934,0.069876", \ "0.022503,0.023785,0.026051,0.029991,0.036860,0.048823,0.069792", \ "0.022209,0.023456,0.025660,0.029582,0.036538,0.048642,0.069725", \ "0.027297,0.028455,0.030488,0.034000,0.040026,0.050473,0.070078", \ "0.036947,0.038191,0.040381,0.043972,0.050137,0.060738,0.078804", \ "0.051284,0.052755,0.055348,0.059379,0.065900,0.076684,0.094908", \ "0.069949,0.071694,0.074753,0.079701,0.087277,0.099040,0.117683"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.161431,0.170063,0.185356,0.212382,0.259361,0.340710,0.482362", \ "0.161418,0.170070,0.185382,0.212414,0.259325,0.340711,0.482378", \ "0.161441,0.170087,0.185365,0.212244,0.259316,0.340747,0.482374", \ "0.161439,0.170086,0.185380,0.212396,0.259318,0.340709,0.482375", \ "0.161526,0.170135,0.185331,0.212372,0.259293,0.340707,0.482434", \ "0.169718,0.177508,0.191499,0.216424,0.261218,0.340789,0.482361", \ "0.188978,0.196685,0.210161,0.233987,0.274883,0.348890,0.483996"); } } timing () { related_pin : "B3"; when : "!A1 & A2 & A3 & !B1 & !B2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (A3 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.029311,0.030636,0.032950,0.036964,0.043855,0.055627,0.075741", \ "0.034830,0.036149,0.038450,0.042442,0.049309,0.061050,0.081131", \ "0.053111,0.054399,0.056624,0.060481,0.067199,0.078830,0.098857", \ "0.080474,0.082182,0.085061,0.090079,0.098275,0.111409,0.132063", \ "0.109005,0.111406,0.115325,0.122206,0.133371,0.150701,0.177054", \ "0.134445,0.137690,0.142953,0.152253,0.167469,0.190704,0.225013", \ "0.153578,0.157942,0.164945,0.177142,0.197061,0.227487,0.271932"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.257591,0.266821,0.283118,0.312231,0.362923,0.452163,0.609943", \ "0.261187,0.270420,0.286866,0.315877,0.366981,0.456338,0.614284", \ "0.277218,0.286478,0.302985,0.332095,0.383460,0.472998,0.631380", \ "0.305393,0.314606,0.330908,0.359955,0.411475,0.500888,0.659183", \ "0.344340,0.353533,0.369769,0.398656,0.449556,0.539188,0.697130", \ "0.394396,0.403923,0.420621,0.449442,0.500141,0.589724,0.747650", \ "0.449673,0.459995,0.478192,0.509746,0.563536,0.654839,0.812613"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.017691,0.018712,0.020493,0.023582,0.028933,0.038198,0.054341", \ "0.017521,0.018542,0.020316,0.023418,0.028783,0.038067,0.054256", \ "0.017745,0.018638,0.020246,0.023135,0.028369,0.037782,0.054120", \ "0.024849,0.025710,0.027213,0.029755,0.034145,0.041597,0.055378", \ "0.036344,0.037364,0.039148,0.042008,0.046725,0.054589,0.067737", \ "0.051943,0.053181,0.055502,0.059015,0.064538,0.073320,0.087252", \ "0.071666,0.073176,0.075942,0.080390,0.087137,0.097493,0.113112"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.173864,0.182624,0.197973,0.225342,0.272514,0.354071,0.495923", \ "0.173873,0.182632,0.197957,0.225255,0.272423,0.354061,0.495928", \ "0.173904,0.182662,0.197923,0.225251,0.272475,0.354046,0.495910", \ "0.173903,0.182659,0.197977,0.225244,0.272512,0.354099,0.495932", \ "0.173953,0.182701,0.198021,0.225270,0.272500,0.354133,0.495926", \ "0.180990,0.189055,0.203130,0.228792,0.273898,0.354081,0.495929", \ "0.200352,0.208058,0.221480,0.245592,0.286575,0.361451,0.497200"); } } timing () { related_pin : "B3"; when : "A1 & !A2 & !A3 & !B1 & !B2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.030630,0.032274,0.035154,0.040157,0.048783,0.063585,0.088987", \ "0.036082,0.037715,0.040576,0.045552,0.054146,0.068908,0.094276", \ "0.052777,0.054411,0.057231,0.062118,0.070604,0.085285,0.110609", \ "0.076537,0.078598,0.082056,0.088091,0.097948,0.113893,0.139637", \ "0.101188,0.104030,0.108597,0.116539,0.129376,0.149319,0.180035", \ "0.122179,0.126081,0.132184,0.143038,0.160192,0.186106,0.224396", \ "0.136249,0.141335,0.149625,0.163639,0.186194,0.219990,0.268613"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.238397,0.247630,0.263977,0.292738,0.343633,0.433121,0.590761", \ "0.241758,0.251060,0.267432,0.296386,0.347353,0.436914,0.594753", \ "0.257939,0.267260,0.283701,0.312743,0.364005,0.453784,0.611990", \ "0.286198,0.295464,0.311759,0.340807,0.392010,0.481809,0.640024", \ "0.325177,0.334446,0.350630,0.379272,0.430440,0.520096,0.678188", \ "0.374294,0.384043,0.401091,0.430524,0.481334,0.570823,0.728630", \ "0.427763,0.438345,0.456907,0.488867,0.543555,0.636050,0.793691"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.018638,0.019977,0.022304,0.026337,0.033304,0.045322,0.066262", \ "0.018406,0.019749,0.022080,0.026118,0.033114,0.045177,0.066172", \ "0.018864,0.020061,0.022203,0.026029,0.032860,0.044991,0.066081", \ "0.025031,0.026180,0.028180,0.031611,0.037547,0.047809,0.066879", \ "0.035795,0.036990,0.039128,0.042617,0.048535,0.058752,0.076524", \ "0.051040,0.052458,0.055005,0.058908,0.065239,0.075643,0.093281", \ "0.070448,0.072173,0.075228,0.080104,0.087486,0.098920,0.116995"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.123142,0.131397,0.146297,0.172359,0.217812,0.297372,0.437704", \ "0.123141,0.131403,0.146303,0.172409,0.217824,0.297448,0.437702", \ "0.123144,0.131401,0.146304,0.172381,0.217877,0.297447,0.437709", \ "0.123143,0.131401,0.146295,0.172410,0.217961,0.297391,0.437685", \ "0.123282,0.131487,0.146330,0.172337,0.217889,0.297366,0.437672", \ "0.131686,0.139163,0.152615,0.176563,0.219553,0.297432,0.437694", \ "0.146239,0.154136,0.168232,0.192368,0.233060,0.305381,0.439369"); } } timing () { related_pin : "B3"; when : "A1 & !A2 & A3 & !B1 & !B2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (A3 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.025159,0.026422,0.028644,0.032517,0.039231,0.050797,0.070687", \ "0.030725,0.031981,0.034187,0.038035,0.044715,0.056237,0.076085", \ "0.048670,0.049991,0.052266,0.056105,0.062656,0.074056,0.093830", \ "0.073433,0.075301,0.078419,0.083762,0.092363,0.105897,0.126971", \ "0.098016,0.100731,0.105136,0.112652,0.124730,0.143103,0.170429", \ "0.118251,0.122054,0.127966,0.138509,0.155182,0.180080,0.216171", \ "0.131303,0.136388,0.144301,0.158096,0.180125,0.213085,0.260178"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.252924,0.262130,0.278369,0.306987,0.357523,0.446715,0.604073", \ "0.256513,0.265723,0.282012,0.310830,0.361616,0.450933,0.608440", \ "0.272536,0.281786,0.298106,0.327033,0.377834,0.467584,0.625517", \ "0.300720,0.309924,0.326107,0.354899,0.405710,0.495289,0.653322", \ "0.339659,0.348853,0.364897,0.393376,0.444056,0.533558,0.691293", \ "0.389498,0.399051,0.415822,0.444605,0.495103,0.584311,0.741792", \ "0.444284,0.454627,0.472788,0.504258,0.558152,0.649660,0.806824"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.014472,0.015523,0.017340,0.020508,0.025954,0.035325,0.051530", \ "0.014301,0.015342,0.017161,0.020323,0.025783,0.035172,0.051433", \ "0.015559,0.016385,0.017891,0.020633,0.025669,0.034920,0.051283", \ "0.023506,0.024358,0.025846,0.028367,0.032677,0.040011,0.053267", \ "0.035802,0.036787,0.038550,0.041380,0.045983,0.053636,0.066498", \ "0.052132,0.053342,0.055590,0.059016,0.064436,0.073041,0.086647", \ "0.072683,0.074148,0.076792,0.081174,0.087737,0.097843,0.113106"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.131238,0.139518,0.154465,0.180699,0.226299,0.306080,0.446419", \ "0.131241,0.139517,0.154449,0.180677,0.226353,0.306053,0.446442", \ "0.131241,0.139520,0.154446,0.180675,0.226276,0.306063,0.446411", \ "0.131240,0.139520,0.154462,0.180703,0.226300,0.306078,0.446439", \ "0.131320,0.139569,0.154460,0.180654,0.226243,0.306087,0.446420", \ "0.138623,0.146201,0.159932,0.184197,0.227626,0.306094,0.446425", \ "0.153520,0.161379,0.175423,0.199593,0.240146,0.313218,0.447766"); } } timing () { related_pin : "B3"; when : "A1 & A2 & !A3 & !B1 & !B2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (A3 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.025159,0.026422,0.028644,0.032517,0.039231,0.050797,0.070687", \ "0.030725,0.031981,0.034187,0.038035,0.044715,0.056237,0.076085", \ "0.048670,0.049991,0.052266,0.056105,0.062656,0.074056,0.093830", \ "0.073433,0.075301,0.078419,0.083762,0.092363,0.105897,0.126971", \ "0.098016,0.100731,0.105136,0.112652,0.124730,0.143103,0.170429", \ "0.118251,0.122054,0.127966,0.138509,0.155182,0.180080,0.216171", \ "0.131303,0.136388,0.144301,0.158096,0.180125,0.213085,0.260178"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.252924,0.262130,0.278369,0.306987,0.357523,0.446715,0.604073", \ "0.256513,0.265723,0.282012,0.310830,0.361616,0.450933,0.608440", \ "0.272536,0.281786,0.298106,0.327033,0.377834,0.467584,0.625517", \ "0.300720,0.309924,0.326107,0.354899,0.405710,0.495289,0.653322", \ "0.339659,0.348853,0.364897,0.393376,0.444056,0.533558,0.691293", \ "0.389498,0.399051,0.415822,0.444605,0.495103,0.584311,0.741792", \ "0.444284,0.454627,0.472788,0.504258,0.558152,0.649660,0.806824"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.014472,0.015523,0.017340,0.020508,0.025954,0.035325,0.051530", \ "0.014301,0.015342,0.017161,0.020323,0.025783,0.035172,0.051433", \ "0.015559,0.016385,0.017891,0.020633,0.025669,0.034920,0.051283", \ "0.023506,0.024358,0.025846,0.028367,0.032677,0.040011,0.053267", \ "0.035802,0.036787,0.038550,0.041380,0.045983,0.053636,0.066498", \ "0.052132,0.053342,0.055590,0.059016,0.064436,0.073041,0.086647", \ "0.072683,0.074148,0.076792,0.081174,0.087737,0.097843,0.113106"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.131238,0.139518,0.154465,0.180699,0.226299,0.306080,0.446419", \ "0.131241,0.139517,0.154449,0.180677,0.226353,0.306053,0.446442", \ "0.131241,0.139520,0.154446,0.180675,0.226276,0.306063,0.446411", \ "0.131240,0.139520,0.154462,0.180703,0.226300,0.306078,0.446439", \ "0.131320,0.139569,0.154460,0.180654,0.226243,0.306087,0.446420", \ "0.138623,0.146201,0.159932,0.184197,0.227626,0.306094,0.446425", \ "0.153520,0.161379,0.175423,0.199593,0.240146,0.313218,0.447766"); } } timing () { related_pin : "B3"; when : "A1 & A2 & A3 & !B1 & !B2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (A3 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.023648,0.024787,0.026791,0.030289,0.036370,0.046869,0.064953", \ "0.029244,0.030377,0.032367,0.035843,0.041890,0.052347,0.070385", \ "0.047699,0.048921,0.051021,0.054549,0.060479,0.070802,0.088750", \ "0.072743,0.074549,0.077555,0.082689,0.090928,0.103748,0.123401", \ "0.097235,0.099871,0.104208,0.111561,0.123393,0.141309,0.167683", \ "0.117279,0.121002,0.126792,0.137153,0.153536,0.178020,0.213473", \ "0.129970,0.134888,0.142764,0.156212,0.178002,0.210516,0.257005"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.265596,0.274708,0.290882,0.319302,0.369925,0.458872,0.615991", \ "0.269225,0.278414,0.294632,0.323234,0.373955,0.463170,0.620522", \ "0.285204,0.294393,0.310663,0.339348,0.390295,0.479680,0.637466", \ "0.313327,0.322478,0.338588,0.367230,0.418005,0.507387,0.665172", \ "0.352232,0.361367,0.377400,0.405712,0.456371,0.545546,0.703080", \ "0.402549,0.411974,0.428394,0.456913,0.507184,0.596339,0.753571", \ "0.458415,0.468587,0.486490,0.517604,0.570932,0.661642,0.818554"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.013426,0.014362,0.016003,0.018861,0.023801,0.032280,0.046935", \ "0.013260,0.014201,0.015837,0.018700,0.023645,0.032137,0.046827", \ "0.014875,0.015570,0.016850,0.019214,0.023626,0.031863,0.046664", \ "0.023607,0.024357,0.025700,0.027908,0.031643,0.038021,0.049328", \ "0.036570,0.037454,0.039062,0.041688,0.045874,0.052765,0.064085", \ "0.053575,0.054664,0.056741,0.059904,0.064934,0.072980,0.085465", \ "0.074926,0.076241,0.078644,0.082706,0.088803,0.098314,0.112710"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.138383,0.146668,0.161606,0.187985,0.233691,0.313389,0.453762", \ "0.138384,0.146670,0.161615,0.187980,0.233823,0.313391,0.453761", \ "0.138381,0.146673,0.161607,0.187979,0.233684,0.313419,0.453777", \ "0.138381,0.146672,0.161615,0.187975,0.233812,0.313392,0.453762", \ "0.138424,0.146692,0.161603,0.187956,0.233727,0.313402,0.453779", \ "0.144770,0.152420,0.166295,0.190892,0.234636,0.313385,0.453755", \ "0.159770,0.167591,0.181617,0.205701,0.246133,0.319823,0.454838"); } } internal_power () { related_pin : "A1"; when : "!A2 & !A3 & !B1 & !B2 & B3"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.706064,0.716988,0.733567,0.753613,0.780971,0.835495,0.879998", \ "0.708628,0.722646,0.743110,0.772188,0.808922,0.858668,0.906309", \ "0.740086,0.746885,0.761798,0.785629,0.824879,0.873704,0.935223", \ "0.845664,0.845541,0.847077,0.853629,0.865739,0.901926,0.948307", \ "0.982184,0.984656,0.976674,0.975893,0.983501,0.983800,0.988388", \ "1.156092,1.155067,1.149931,1.146008,1.138731,1.123315,1.106338", \ "1.389471,1.390083,1.382742,1.371060,1.354136,1.322139,1.277156"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("3.063570,3.068962,3.075137,3.087966,3.092609,3.100450,3.111189", \ "3.000296,3.007723,3.021994,3.043933,3.066824,3.086206,3.107403", \ "2.939690,2.942527,2.951339,2.966300,2.981740,3.023457,3.036096", \ "2.980954,2.978929,2.980002,2.976940,2.989252,3.000615,3.003607", \ "3.110815,3.106134,3.102026,3.090289,3.075497,3.051991,3.052040", \ "3.324635,3.316114,3.306087,3.287495,3.246073,3.205653,3.166205", \ "3.632741,3.619253,3.595664,3.567677,3.525263,3.457950,3.377621"); } } internal_power () { related_pin : "A1"; when : "!A2 & !A3 & !B1 & B2 & !B3"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.566922,0.568108,0.567542,0.575489,0.583093,0.596109,0.615349", \ "0.567308,0.572077,0.577577,0.587102,0.597473,0.619495,0.640212", \ "0.576098,0.577942,0.584986,0.598380,0.612143,0.636368,0.661805", \ "0.640086,0.640991,0.636965,0.637090,0.629641,0.641742,0.671635", \ "0.746223,0.748261,0.739996,0.738406,0.725891,0.713622,0.697893", \ "0.909756,0.904792,0.894555,0.885964,0.868169,0.842637,0.808186", \ "1.136630,1.135147,1.125568,1.101548,1.077236,1.032442,0.975022"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("2.655673,2.661325,2.667316,2.667781,2.671655,2.688278,2.685484", \ "2.592393,2.600731,2.614120,2.623891,2.646567,2.654380,2.681828", \ "2.531755,2.536669,2.540825,2.557295,2.560557,2.592148,2.629674", \ "2.572903,2.573123,2.566388,2.563233,2.568999,2.569187,2.576102", \ "2.702830,2.697439,2.688678,2.670423,2.656272,2.637503,2.626280", \ "2.916865,2.905140,2.899072,2.873579,2.825633,2.791881,2.739983", \ "3.215120,3.211924,3.192446,3.146529,3.103322,3.026517,2.950001"); } } internal_power () { related_pin : "A1"; when : "!A2 & !A3 & !B1 & B2 & B3"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.559467,0.564412,0.565574,0.571521,0.577008,0.586049,0.602525", \ "0.560886,0.564481,0.570444,0.582300,0.594094,0.608775,0.626794", \ "0.571938,0.572403,0.580865,0.590602,0.602080,0.622543,0.647673", \ "0.649569,0.653001,0.646477,0.643606,0.641880,0.642397,0.662664", \ "0.757926,0.757802,0.753007,0.750522,0.742246,0.730854,0.712684", \ "0.916020,0.913324,0.911128,0.897152,0.885455,0.859484,0.831060", \ "1.146185,1.143398,1.136411,1.119869,1.094315,1.052738,0.997401"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("2.674250,2.678121,2.686527,2.687675,2.697038,2.706912,2.704592", \ "2.599544,2.608213,2.620839,2.630864,2.656797,2.665047,2.691289", \ "2.545866,2.546034,2.557143,2.562787,2.570460,2.600327,2.616486", \ "2.593266,2.591329,2.591085,2.582087,2.586627,2.584228,2.586313", \ "2.726288,2.722253,2.714639,2.691375,2.677538,2.657169,2.644919", \ "2.943266,2.934140,2.923926,2.897662,2.851702,2.815753,2.763108", \ "3.249861,3.241659,3.220735,3.190056,3.133038,3.055802,2.979515"); } } internal_power () { related_pin : "A1"; when : "!A2 & !A3 & B1 & !B2 & !B3"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.272446,0.266554,0.261694,0.250879,0.242986,0.238205,0.238017", \ "0.265757,0.261448,0.263685,0.259751,0.259944,0.260639,0.262997", \ "0.247893,0.250016,0.251578,0.251615,0.262915,0.270537,0.279220", \ "0.307070,0.304409,0.295783,0.292630,0.277672,0.279820,0.288189", \ "0.407306,0.403648,0.399615,0.386757,0.368608,0.346603,0.317699", \ "0.577070,0.569151,0.558710,0.541279,0.511650,0.470850,0.424965", \ "0.822046,0.810862,0.792687,0.766666,0.728288,0.667320,0.593539"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("2.185925,2.188769,2.195579,2.205361,2.208437,2.221752,2.225568", \ "2.121937,2.130210,2.141149,2.161449,2.180757,2.187665,2.210234", \ "2.062217,2.065828,2.074247,2.078624,2.097772,2.125749,2.157991", \ "2.104559,2.103686,2.096465,2.094375,2.087506,2.103190,2.125249", \ "2.235305,2.231125,2.221804,2.208397,2.193595,2.173407,2.155005", \ "2.450172,2.440868,2.428572,2.397950,2.362091,2.327029,2.269482", \ "2.662840,2.668536,2.682486,2.692937,2.644401,2.559093,2.482146"); } } internal_power () { related_pin : "A1"; when : "!A2 & !A3 & B1 & !B2 & B3"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.294611,0.289185,0.282744,0.270572,0.256872,0.242185,0.238362", \ "0.280613,0.282383,0.279754,0.275027,0.270720,0.262176,0.262587", \ "0.265213,0.263330,0.263687,0.265810,0.272204,0.275802,0.280288", \ "0.321551,0.318742,0.319327,0.312895,0.304699,0.289732,0.294614", \ "0.418781,0.417389,0.410755,0.403953,0.390802,0.370111,0.340979", \ "0.590574,0.581829,0.573162,0.554719,0.526939,0.492258,0.452168", \ "0.831744,0.820873,0.803541,0.784044,0.741144,0.689592,0.619650"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("2.182669,2.186975,2.190126,2.202526,2.204291,2.219098,2.210917", \ "2.106932,2.112333,2.123317,2.144531,2.151458,2.175700,2.198481", \ "2.051625,2.057058,2.058930,2.076452,2.085245,2.111252,2.142087", \ "2.102483,2.100412,2.098895,2.092033,2.082091,2.095208,2.092509", \ "2.236489,2.230926,2.225425,2.208497,2.192878,2.171457,2.149822", \ "2.457510,2.446562,2.433368,2.404482,2.365703,2.329727,2.270105", \ "2.673183,2.678208,2.687975,2.705498,2.651261,2.565514,2.487579"); } } internal_power () { related_pin : "A1"; when : "!A2 & !A3 & B1 & B2 & !B3"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.294611,0.289185,0.282744,0.270572,0.256872,0.242185,0.238362", \ "0.280613,0.282383,0.279754,0.275027,0.270720,0.262176,0.262587", \ "0.265213,0.263330,0.263687,0.265810,0.272204,0.275802,0.280288", \ "0.321551,0.318742,0.319327,0.312895,0.304699,0.289732,0.294614", \ "0.418781,0.417389,0.410755,0.403953,0.390802,0.370111,0.340979", \ "0.590574,0.581829,0.573162,0.554719,0.526939,0.492258,0.452168", \ "0.831744,0.820873,0.803541,0.784044,0.741144,0.689592,0.619650"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("2.182669,2.186975,2.190126,2.202526,2.204291,2.219098,2.210917", \ "2.106932,2.112333,2.123317,2.144531,2.151458,2.175700,2.198481", \ "2.051625,2.057058,2.058930,2.076452,2.085245,2.111252,2.142087", \ "2.102483,2.100412,2.098895,2.092033,2.082091,2.095208,2.092509", \ "2.236489,2.230926,2.225425,2.208497,2.192878,2.171457,2.149822", \ "2.457510,2.446562,2.433368,2.404482,2.365703,2.329727,2.270105", \ "2.673183,2.678208,2.687975,2.705498,2.651261,2.565514,2.487579"); } } internal_power () { related_pin : "A1"; when : "!A2 & !A3 & B1 & B2 & B3"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.302652,0.294528,0.291524,0.279079,0.262155,0.250668,0.240936", \ "0.291881,0.284751,0.281632,0.282735,0.272813,0.268990,0.264723", \ "0.275996,0.275680,0.271385,0.273918,0.273831,0.276538,0.283860", \ "0.328841,0.325647,0.318445,0.322558,0.314222,0.297596,0.296998", \ "0.426881,0.421804,0.412606,0.408405,0.393901,0.374903,0.350022", \ "0.589811,0.585583,0.576457,0.554145,0.532470,0.503389,0.462289", \ "0.834157,0.822466,0.809232,0.785519,0.748561,0.697946,0.628106"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("2.180126,2.182621,2.189651,2.199007,2.202379,2.217072,2.208931", \ "2.098488,2.107728,2.118269,2.136338,2.145658,2.169881,2.192876", \ "2.049405,2.053304,2.057976,2.063939,2.080776,2.105839,2.121546", \ "2.102079,2.101950,2.098885,2.096354,2.080610,2.093497,2.088744", \ "2.237036,2.233392,2.223130,2.205643,2.193087,2.171633,2.148585", \ "2.459006,2.449191,2.438523,2.412511,2.367026,2.330951,2.270722", \ "2.675673,2.682453,2.693949,2.702172,2.653925,2.567997,2.489815"); } } internal_power () { related_pin : "A2"; when : "!A1 & !A3 & !B1 & !B2 & B3"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("1.039287,1.043627,1.054075,1.086888,1.124785,1.183504,1.248559", \ "1.031033,1.043591,1.063459,1.093486,1.123064,1.190973,1.252792", \ "1.022767,1.027701,1.051502,1.072949,1.123094,1.178436,1.237159", \ "1.094104,1.096635,1.099098,1.111923,1.131201,1.187999,1.241986", \ "1.236304,1.241601,1.232418,1.237781,1.244188,1.250911,1.271514", \ "1.416124,1.419575,1.413980,1.412657,1.409616,1.403512,1.390089", \ "1.652294,1.650633,1.653061,1.639261,1.627987,1.606380,1.570368"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("3.639190,3.642598,3.651652,3.648461,3.653768,3.661038,3.671370", \ "3.600100,3.604433,3.617006,3.627039,3.640945,3.653449,3.671429", \ "3.535303,3.540308,3.549725,3.555458,3.586612,3.607186,3.634334", \ "3.509085,3.507971,3.514868,3.522621,3.534155,3.550961,3.576971", \ "3.514400,3.513199,3.516030,3.521447,3.526151,3.538485,3.538581", \ "3.574069,3.571681,3.574641,3.565181,3.563745,3.562315,3.554754", \ "3.729525,3.723001,3.718990,3.702372,3.682376,3.660134,3.627605"); } } internal_power () { related_pin : "A2"; when : "!A1 & !A3 & !B1 & B2 & !B3"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.854330,0.864564,0.877030,0.892646,0.909946,0.937892,0.969722", \ "0.849523,0.852429,0.862771,0.882954,0.905820,0.932704,0.974309", \ "0.833682,0.836396,0.846850,0.875677,0.892830,0.928036,0.964377", \ "0.888828,0.886853,0.885264,0.887120,0.893492,0.924776,0.964105", \ "1.007589,1.003304,0.993200,1.000484,0.993883,0.981969,0.990757", \ "1.169821,1.166771,1.163512,1.151473,1.141281,1.122945,1.095631", \ "1.400732,1.397334,1.393427,1.374854,1.355377,1.318329,1.269112"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("3.230423,3.234486,3.240629,3.233784,3.251901,3.247728,3.245103", \ "3.192278,3.196628,3.205871,3.218596,3.221189,3.241249,3.245368", \ "3.124547,3.130732,3.135843,3.151033,3.166742,3.176026,3.208859", \ "3.101178,3.098898,3.105637,3.100807,3.114771,3.138233,3.151119", \ "3.105709,3.106846,3.106767,3.097308,3.106762,3.105801,3.131729", \ "3.167216,3.164800,3.168167,3.156981,3.143616,3.130828,3.148592", \ "3.319236,3.315835,3.308428,3.276408,3.262961,3.228154,3.201473"); } } internal_power () { related_pin : "A2"; when : "!A1 & !A3 & !B1 & B2 & B3"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.803751,0.822834,0.831629,0.841698,0.872437,0.914451,0.948352", \ "0.808369,0.806210,0.819198,0.851452,0.879728,0.911714,0.947562", \ "0.802783,0.811691,0.822023,0.835747,0.857617,0.898511,0.938095", \ "0.891171,0.889054,0.886600,0.885096,0.887602,0.902304,0.940352", \ "1.010302,1.012318,1.001615,1.004097,1.003684,0.995992,0.981219", \ "1.177621,1.171648,1.166651,1.161094,1.151863,1.131873,1.109470", \ "1.407144,1.404307,1.397975,1.389436,1.361551,1.332353,1.284210"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("3.249214,3.251600,3.255889,3.254478,3.252831,3.267189,3.263960", \ "3.200840,3.206266,3.216111,3.226737,3.231964,3.252391,3.257207", \ "3.140491,3.144183,3.154725,3.157711,3.179111,3.186252,3.195939", \ "3.120315,3.120691,3.125249,3.118605,3.131167,3.153567,3.162626", \ "3.126497,3.126815,3.128489,3.116780,3.126143,3.124835,3.147143", \ "3.189187,3.186875,3.188566,3.181478,3.164661,3.151079,3.166026", \ "3.346257,3.337573,3.329041,3.310741,3.283301,3.250803,3.221936"); } } internal_power () { related_pin : "A2"; when : "!A1 & !A3 & B1 & !B2 & !B3"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.521489,0.525598,0.537382,0.542094,0.562739,0.571627,0.596765", \ "0.515852,0.520883,0.528400,0.543613,0.558881,0.567312,0.593942", \ "0.495399,0.504473,0.509751,0.522983,0.544724,0.559793,0.586180", \ "0.555344,0.551374,0.550751,0.542045,0.540213,0.556608,0.584305", \ "0.667338,0.663316,0.650782,0.647549,0.638802,0.619749,0.602732", \ "0.833339,0.827406,0.819398,0.804654,0.787531,0.757020,0.715388", \ "1.074831,1.070896,1.060113,1.035887,1.002139,0.952822,0.887824"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("2.761163,2.764178,2.766661,2.767159,2.770566,2.781848,2.773268", \ "2.719065,2.724647,2.738249,2.738714,2.755737,2.775507,2.773440", \ "2.654636,2.661339,2.666300,2.669195,2.691836,2.709097,2.736903", \ "2.632064,2.630307,2.633009,2.628179,2.650356,2.672580,2.679154", \ "2.637345,2.637738,2.637260,2.632621,2.643426,2.641135,2.659824", \ "2.698889,2.699710,2.699622,2.680939,2.679345,2.665096,2.676993", \ "2.771426,2.780976,2.799506,2.827891,2.797388,2.760569,2.732235"); } } internal_power () { related_pin : "A2"; when : "!A1 & !A3 & B1 & !B2 & B3"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.499602,0.507164,0.509710,0.524967,0.543625,0.564436,0.579334", \ "0.487981,0.500065,0.508394,0.518717,0.540530,0.560500,0.577260", \ "0.479325,0.489777,0.490791,0.506697,0.525900,0.551111,0.574207", \ "0.562043,0.562645,0.563797,0.556361,0.548939,0.547691,0.570808", \ "0.676903,0.671561,0.665005,0.656061,0.653592,0.634236,0.612176", \ "0.841450,0.840655,0.828793,0.817918,0.799987,0.771813,0.732325", \ "1.085065,1.076759,1.066804,1.047644,1.017488,0.970069,0.910750"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("2.757717,2.758699,2.759880,2.761367,2.766335,2.778677,2.769723", \ "2.708716,2.712298,2.723167,2.734996,2.744641,2.764627,2.762420", \ "2.648712,2.652915,2.656909,2.664024,2.673235,2.696595,2.722669", \ "2.626132,2.626847,2.632271,2.635223,2.644981,2.644949,2.668360", \ "2.635910,2.637371,2.632219,2.627763,2.641216,2.637649,2.653224", \ "2.698211,2.696927,2.694418,2.684115,2.678191,2.662779,2.673235", \ "2.772604,2.781577,2.807196,2.828541,2.797460,2.759881,2.730295"); } } internal_power () { related_pin : "A2"; when : "!A1 & !A3 & B1 & B2 & !B3"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.499602,0.507164,0.509710,0.524967,0.543625,0.564436,0.579334", \ "0.487981,0.500065,0.508394,0.518717,0.540530,0.560500,0.577260", \ "0.479325,0.489777,0.490791,0.506697,0.525900,0.551111,0.574207", \ "0.562043,0.562645,0.563797,0.556361,0.548939,0.547691,0.570808", \ "0.676903,0.671561,0.665005,0.656061,0.653592,0.634236,0.612176", \ "0.841450,0.840655,0.828793,0.817918,0.799987,0.771813,0.732325", \ "1.085065,1.076759,1.066804,1.047644,1.017488,0.970069,0.910750"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("2.757717,2.758699,2.759880,2.761367,2.766335,2.778677,2.769723", \ "2.708716,2.712298,2.723167,2.734996,2.744641,2.764627,2.762420", \ "2.648712,2.652915,2.656909,2.664024,2.673235,2.696595,2.722669", \ "2.626132,2.626847,2.632271,2.635223,2.644981,2.644949,2.668360", \ "2.635910,2.637371,2.632219,2.627763,2.641216,2.637649,2.653224", \ "2.698211,2.696927,2.694418,2.684115,2.678191,2.662779,2.673235", \ "2.772604,2.781577,2.807196,2.828541,2.797460,2.759881,2.730295"); } } internal_power () { related_pin : "A2"; when : "!A1 & !A3 & B1 & B2 & B3"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.483418,0.492597,0.502460,0.512256,0.533919,0.549403,0.571976", \ "0.474944,0.489165,0.500522,0.509565,0.524023,0.553810,0.575256", \ "0.479340,0.486376,0.488615,0.499731,0.521023,0.541396,0.566249", \ "0.564272,0.567674,0.563414,0.564691,0.559386,0.546911,0.563181", \ "0.677311,0.674502,0.671564,0.663318,0.657758,0.636970,0.619342", \ "0.844992,0.838738,0.835743,0.821009,0.802006,0.777536,0.740952", \ "1.084427,1.078944,1.070791,1.051184,1.019134,0.976943,0.918266"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("2.752374,2.756781,2.758920,2.765299,2.764105,2.776487,2.767743", \ "2.702801,2.706229,2.713979,2.719722,2.739370,2.759387,2.757174", \ "2.646741,2.647259,2.655209,2.658721,2.671917,2.691973,2.717399", \ "2.625131,2.626525,2.626721,2.632721,2.643591,2.642467,2.664588", \ "2.635575,2.634906,2.637134,2.632551,2.640523,2.646433,2.651346", \ "2.698150,2.696052,2.693670,2.688209,2.677026,2.662407,2.672251", \ "2.773205,2.783044,2.805580,2.829095,2.797748,2.759944,2.730005"); } } internal_power () { related_pin : "A3"; when : "!A1 & !A2 & !B1 & !B2 & B3"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("1.211320,1.234497,1.259801,1.284973,1.354691,1.424606,1.500319", \ "1.180429,1.184824,1.222494,1.253212,1.319190,1.385561,1.479889", \ "1.144032,1.165156,1.185407,1.219450,1.271890,1.345571,1.439715", \ "1.215035,1.212846,1.217702,1.246914,1.274942,1.336905,1.422786", \ "1.384673,1.385499,1.386288,1.387820,1.403470,1.417075,1.463181", \ "1.578522,1.580050,1.580547,1.583305,1.584657,1.582538,1.583778", \ "1.836871,1.840412,1.834285,1.835839,1.821811,1.805001,1.780115"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("4.160682,4.162670,4.166963,4.171626,4.187568,4.194194,4.186864", \ "4.120183,4.124805,4.135742,4.153487,4.167178,4.181730,4.199354", \ "4.056478,4.061344,4.071494,4.085188,4.103859,4.125280,4.151660", \ "4.026994,4.026635,4.035914,4.045186,4.050088,4.067070,4.092695", \ "4.020957,4.021366,4.015569,4.019189,4.029634,4.042511,4.061827", \ "4.045142,4.043395,4.047889,4.053109,4.041084,4.047885,4.064111", \ "4.127639,4.124756,4.123517,4.122940,4.113076,4.108566,4.090809"); } } internal_power () { related_pin : "A3"; when : "!A1 & !A2 & !B1 & B2 & !B3"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("1.003996,1.014392,1.030458,1.065685,1.111446,1.165266,1.226520", \ "0.964571,0.976810,1.002754,1.032236,1.068639,1.118994,1.188981", \ "0.935828,0.948442,0.965675,1.000781,1.042963,1.096528,1.149080", \ "1.001005,1.002948,0.995029,1.010836,1.034245,1.080349,1.147067", \ "1.146866,1.149619,1.145823,1.148912,1.151299,1.150152,1.166318", \ "1.329841,1.330946,1.331524,1.323746,1.319258,1.302616,1.289112", \ "1.587293,1.585287,1.582654,1.570477,1.551467,1.518099,1.479747"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("3.752932,3.754760,3.756576,3.765650,3.767289,3.762771,3.760517", \ "3.712288,3.716292,3.724205,3.732245,3.747817,3.768705,3.772452", \ "3.645718,3.651739,3.658797,3.661946,3.684465,3.712171,3.726355", \ "3.619095,3.617502,3.619928,3.619074,3.630412,3.653735,3.665872", \ "3.612892,3.613764,3.610588,3.615982,3.609296,3.627642,3.636072", \ "3.636156,3.637843,3.637574,3.629252,3.619760,3.634099,3.637920", \ "3.707528,3.714689,3.712266,3.698895,3.690818,3.676817,3.664899"); } } internal_power () { related_pin : "A3"; when : "!A1 & !A2 & !B1 & B2 & B3"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.906179,0.916465,0.950426,0.979750,1.040746,1.104997,1.180350", \ "0.876854,0.893006,0.916928,0.947661,1.006110,1.067469,1.142075", \ "0.867481,0.864490,0.891180,0.916619,0.976795,1.034303,1.098861", \ "0.983073,0.980046,0.983596,0.997453,1.006446,1.035866,1.098023", \ "1.142105,1.144358,1.138120,1.134567,1.145100,1.148607,1.148136", \ "1.330966,1.327873,1.330868,1.322690,1.317845,1.306564,1.293500", \ "1.589379,1.587993,1.581010,1.570097,1.557454,1.529614,1.489924"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("3.771725,3.774235,3.776925,3.774588,3.787362,3.784275,3.779817", \ "3.723834,3.727728,3.739543,3.743111,3.757675,3.761090,3.785413", \ "3.661820,3.666458,3.674819,3.683589,3.695250,3.703868,3.733511", \ "3.638832,3.637512,3.638410,3.639942,3.647492,3.669203,3.679011", \ "3.633657,3.635243,3.632356,3.630630,3.629482,3.647361,3.650885", \ "3.657656,3.658829,3.664457,3.651374,3.641729,3.654097,3.660495", \ "3.733685,3.739798,3.738289,3.722663,3.711898,3.698185,3.684541"); } } internal_power () { related_pin : "A3"; when : "!A1 & !A2 & B1 & !B2 & !B3"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.641124,0.651941,0.666561,0.703464,0.731926,0.788974,0.840654", \ "0.605206,0.616454,0.627567,0.659801,0.710822,0.758835,0.808459", \ "0.584072,0.589536,0.607140,0.634688,0.672635,0.719272,0.763830", \ "0.663525,0.659528,0.657993,0.668844,0.675884,0.716080,0.766046", \ "0.809058,0.806010,0.803604,0.795460,0.797564,0.787420,0.790956", \ "0.998762,0.994587,0.990212,0.982644,0.965939,0.939950,0.910716", \ "1.264306,1.260329,1.245799,1.225968,1.202978,1.157830,1.099040"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("3.283628,3.283776,3.291537,3.297127,3.304086,3.296823,3.308891", \ "3.242216,3.248734,3.258093,3.262419,3.283648,3.303354,3.301631", \ "3.175803,3.182195,3.191105,3.188251,3.221769,3.226463,3.253875", \ "3.149930,3.149209,3.149126,3.157024,3.166137,3.187766,3.193877", \ "3.144250,3.141734,3.134757,3.132824,3.145043,3.164207,3.164160", \ "3.169153,3.169630,3.165694,3.150405,3.155651,3.168641,3.166601", \ "3.154704,3.171603,3.203909,3.245126,3.227839,3.208142,3.195920"); } } internal_power () { related_pin : "A3"; when : "!A1 & !A2 & B1 & !B2 & B3"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.555446,0.559628,0.595593,0.617916,0.675830,0.734432,0.800521", \ "0.531593,0.537609,0.562619,0.596015,0.648598,0.701820,0.764185", \ "0.531996,0.543278,0.545239,0.585713,0.619043,0.667894,0.731135", \ "0.659140,0.656496,0.654527,0.663790,0.665168,0.683242,0.728919", \ "0.810848,0.807009,0.802234,0.800415,0.796323,0.786323,0.777903", \ "1.004994,1.000185,0.992913,0.983259,0.969706,0.949126,0.918890", \ "1.269242,1.261864,1.251139,1.235915,1.211970,1.167338,1.113496"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("3.276969,3.283308,3.288179,3.289822,3.300533,3.307440,3.305315", \ "3.228567,3.236237,3.242557,3.258139,3.272136,3.272155,3.290470", \ "3.170007,3.173401,3.178846,3.182737,3.191792,3.213868,3.240045", \ "3.147191,3.146027,3.152605,3.149575,3.161156,3.180350,3.183365", \ "3.142806,3.140878,3.136817,3.132633,3.142428,3.160082,3.165084", \ "3.168036,3.166630,3.167857,3.162207,3.154104,3.165571,3.162548", \ "3.154228,3.168191,3.202068,3.244273,3.226617,3.206345,3.193116"); } } internal_power () { related_pin : "A3"; when : "!A1 & !A2 & B1 & B2 & !B3"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.555446,0.559628,0.595593,0.617916,0.675830,0.734432,0.800521", \ "0.531593,0.537609,0.562619,0.596015,0.648598,0.701820,0.764185", \ "0.531996,0.543278,0.545239,0.585713,0.619043,0.667894,0.731135", \ "0.659140,0.656496,0.654527,0.663790,0.665168,0.683242,0.728919", \ "0.810848,0.807009,0.802234,0.800415,0.796323,0.786323,0.777903", \ "1.004994,1.000185,0.992913,0.983259,0.969706,0.949126,0.918890", \ "1.269242,1.261864,1.251139,1.235915,1.211970,1.167338,1.113496"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("3.276969,3.283308,3.288179,3.289822,3.300533,3.307440,3.305315", \ "3.228567,3.236237,3.242557,3.258139,3.272136,3.272155,3.290470", \ "3.170007,3.173401,3.178846,3.182737,3.191792,3.213868,3.240045", \ "3.147191,3.146027,3.152605,3.149575,3.161156,3.180350,3.183365", \ "3.142806,3.140878,3.136817,3.132633,3.142428,3.160082,3.165084", \ "3.168036,3.166630,3.167857,3.162207,3.154104,3.165571,3.162548", \ "3.154228,3.168191,3.202068,3.244273,3.226617,3.206345,3.193116"); } } internal_power () { related_pin : "A3"; when : "!A1 & !A2 & B1 & B2 & B3"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.514338,0.531964,0.560890,0.588822,0.642896,0.716617,0.780004", \ "0.488342,0.503352,0.538280,0.570369,0.613816,0.684952,0.749484", \ "0.510880,0.520449,0.527613,0.561348,0.598884,0.647202,0.717553", \ "0.660349,0.652609,0.649159,0.649627,0.662228,0.663984,0.718507", \ "0.807058,0.810064,0.802489,0.803458,0.798220,0.790468,0.781211", \ "1.004741,0.997972,0.997052,0.982573,0.965430,0.945779,0.922188", \ "1.268707,1.265034,1.255124,1.240291,1.207901,1.172799,1.119262"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("3.274890,3.277194,3.279437,3.279751,3.279536,3.291407,3.303394", \ "3.224064,3.230032,3.237073,3.243037,3.247512,3.278955,3.285382", \ "3.167927,3.171625,3.174402,3.177085,3.188269,3.209334,3.234634", \ "3.143677,3.145395,3.146507,3.146319,3.159487,3.177833,3.179765", \ "3.142465,3.142872,3.135063,3.138114,3.141576,3.159022,3.163392", \ "3.167835,3.168144,3.165998,3.154655,3.153515,3.165647,3.161507", \ "3.158104,3.168163,3.197673,3.244216,3.226468,3.206033,3.192528"); } } internal_power () { related_pin : "B1"; when : "!A1 & !A2 & A3 & !B2 & !B3"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.704249,0.712562,0.730508,0.756162,0.778300,0.824931,0.884582", \ "0.709377,0.719493,0.733903,0.770318,0.811312,0.860127,0.908477", \ "0.707420,0.715197,0.738312,0.767834,0.810012,0.870254,0.919892", \ "0.748903,0.756207,0.769042,0.784494,0.805104,0.863011,0.919577", \ "0.825836,0.828413,0.833584,0.848867,0.864937,0.892307,0.922911", \ "0.919361,0.920941,0.922372,0.928832,0.944382,0.957481,0.978041", \ "1.050583,1.053410,1.052105,1.050752,1.056134,1.057841,1.066043"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("4.531497,4.536345,4.545041,4.556742,4.567319,4.603315,4.616531", \ "4.478140,4.483095,4.495725,4.517947,4.535829,4.560081,4.594015", \ "4.416310,4.421223,4.434889,4.450412,4.482989,4.510705,4.550969", \ "4.433930,4.437598,4.442949,4.449116,4.474439,4.495017,4.529765", \ "4.537654,4.536758,4.540671,4.544868,4.547067,4.560197,4.563603", \ "4.722519,4.722887,4.719193,4.712205,4.707038,4.689399,4.695703", \ "4.999833,4.995560,4.987532,4.977967,4.951620,4.931922,4.897825"); } } internal_power () { related_pin : "B1"; when : "!A1 & A2 & !A3 & !B2 & !B3"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.561839,0.562493,0.565668,0.570380,0.583091,0.598030,0.615040", \ "0.566583,0.570678,0.576140,0.588434,0.599237,0.620417,0.642506", \ "0.545685,0.557266,0.564443,0.582114,0.594902,0.627760,0.658094", \ "0.557676,0.560352,0.567100,0.570767,0.583621,0.608430,0.645680", \ "0.595368,0.595955,0.601979,0.606883,0.616574,0.629427,0.636368", \ "0.663027,0.662299,0.660065,0.666172,0.672472,0.675433,0.684173", \ "0.780877,0.781557,0.779258,0.776052,0.772106,0.767964,0.761555"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("4.091487,4.098163,4.106262,4.123599,4.127987,4.156405,4.177573", \ "4.040992,4.047206,4.055744,4.074532,4.097616,4.113423,4.155497", \ "3.975662,3.982068,3.997822,4.014145,4.044700,4.064632,4.113057", \ "3.995712,3.998321,4.005196,4.015640,4.035882,4.066807,4.091764", \ "4.096521,4.096691,4.098047,4.100785,4.107436,4.113014,4.124559", \ "4.282909,4.280941,4.279594,4.274283,4.268088,4.259533,4.257629", \ "4.560086,4.555479,4.548832,4.542613,4.512674,4.484666,4.446976"); } } internal_power () { related_pin : "B1"; when : "!A1 & A2 & A3 & !B2 & !B3"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.558124,0.558463,0.566356,0.571334,0.579346,0.590002,0.601529", \ "0.561212,0.566747,0.574271,0.584250,0.597467,0.612637,0.632777", \ "0.546096,0.552371,0.560961,0.574760,0.596783,0.618273,0.645323", \ "0.561663,0.565910,0.574130,0.574984,0.588187,0.609701,0.638073", \ "0.600224,0.599925,0.605106,0.610835,0.621267,0.635618,0.639156", \ "0.670744,0.671890,0.668728,0.674847,0.674701,0.682455,0.691294", \ "0.795677,0.793930,0.791786,0.787172,0.781375,0.775161,0.769020"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("4.378285,4.382913,4.391177,4.402624,4.415441,4.443081,4.462602", \ "4.325552,4.331462,4.339934,4.361285,4.385265,4.401610,4.441704", \ "4.262939,4.268741,4.279789,4.293276,4.312186,4.348979,4.396272", \ "4.279336,4.283499,4.289355,4.301942,4.321340,4.331639,4.375009", \ "4.378697,4.381207,4.381062,4.383629,4.396444,4.399546,4.407665", \ "4.563097,4.563296,4.559367,4.551750,4.553622,4.547012,4.544438", \ "4.836748,4.833788,4.827694,4.826129,4.795905,4.772137,4.735417"); } } internal_power () { related_pin : "B1"; when : "A1 & !A2 & !A3 & !B2 & !B3"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.261776,0.257618,0.256001,0.243990,0.238161,0.237204,0.233511", \ "0.257108,0.257698,0.257298,0.257505,0.259410,0.257827,0.264978", \ "0.216785,0.219985,0.223530,0.233998,0.250515,0.265177,0.276609", \ "0.207390,0.208874,0.215965,0.216783,0.223382,0.242280,0.264366", \ "0.227540,0.229345,0.234974,0.240439,0.249000,0.251159,0.249159", \ "0.295672,0.293622,0.293712,0.294966,0.295395,0.297442,0.294253", \ "0.422537,0.418928,0.415693,0.407450,0.397445,0.385789,0.370925"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("3.586374,3.595026,3.604948,3.626943,3.646712,3.677573,3.696344", \ "3.535522,3.542975,3.553442,3.573146,3.598453,3.631066,3.674092", \ "3.469682,3.477730,3.492155,3.510949,3.543140,3.582773,3.631468", \ "3.490158,3.494941,3.499494,3.519153,3.532219,3.565301,3.610340", \ "3.590012,3.593543,3.601152,3.605242,3.609489,3.633366,3.643186", \ "3.777357,3.777499,3.781233,3.776749,3.768378,3.782436,3.777719", \ "4.050177,4.053153,4.052246,4.035811,4.008740,4.007489,3.967297"); } } internal_power () { related_pin : "B1"; when : "A1 & !A2 & A3 & !B2 & !B3"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.269063,0.268991,0.260991,0.256647,0.246462,0.241808,0.237572", \ "0.263071,0.261911,0.265435,0.264066,0.262562,0.260355,0.267057", \ "0.220702,0.227980,0.230731,0.243962,0.254664,0.266788,0.279814", \ "0.213053,0.217032,0.219362,0.227142,0.235149,0.245635,0.265925", \ "0.232960,0.235266,0.237416,0.245387,0.255195,0.263256,0.263444", \ "0.302738,0.301599,0.302162,0.301096,0.300240,0.305596,0.306163", \ "0.434884,0.431628,0.427184,0.414901,0.404645,0.393479,0.379981"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("3.849841,3.855248,3.868680,3.881672,3.909592,3.938791,3.956438", \ "3.796486,3.803128,3.816597,3.839562,3.862331,3.895676,3.937777", \ "3.733616,3.740201,3.753523,3.779941,3.806174,3.844072,3.892666", \ "3.749999,3.755007,3.764470,3.783961,3.794408,3.826287,3.869645", \ "3.849166,3.852635,3.860030,3.868412,3.872161,3.894893,3.903603", \ "4.033659,4.035037,4.035164,4.037862,4.031496,4.024489,4.039552", \ "4.309848,4.308907,4.307253,4.292235,4.269861,4.269849,4.230394"); } } internal_power () { related_pin : "B1"; when : "A1 & A2 & !A3 & !B2 & !B3"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.269063,0.268991,0.260991,0.256647,0.246462,0.241808,0.237572", \ "0.263071,0.261911,0.265435,0.264066,0.262562,0.260355,0.267057", \ "0.220702,0.227980,0.230731,0.243962,0.254664,0.266788,0.279814", \ "0.213053,0.217032,0.219362,0.227142,0.235149,0.245635,0.265925", \ "0.232960,0.235266,0.237416,0.245387,0.255195,0.263256,0.263444", \ "0.302738,0.301599,0.302162,0.301096,0.300240,0.305596,0.306163", \ "0.434884,0.431628,0.427184,0.414901,0.404645,0.393479,0.379981"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("3.849841,3.855248,3.868680,3.881672,3.909592,3.938791,3.956438", \ "3.796486,3.803128,3.816597,3.839562,3.862331,3.895676,3.937777", \ "3.733616,3.740201,3.753523,3.779941,3.806174,3.844072,3.892666", \ "3.749999,3.755007,3.764470,3.783961,3.794408,3.826287,3.869645", \ "3.849166,3.852635,3.860030,3.868412,3.872161,3.894893,3.903603", \ "4.033659,4.035037,4.035164,4.037862,4.031496,4.024489,4.039552", \ "4.309848,4.308907,4.307253,4.292235,4.269861,4.269849,4.230394"); } } internal_power () { related_pin : "B1"; when : "A1 & A2 & A3 & !B2 & !B3"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.270060,0.267393,0.264157,0.258946,0.251215,0.242866,0.238908", \ "0.264020,0.264393,0.267433,0.262978,0.266725,0.263070,0.265263", \ "0.223750,0.229287,0.235352,0.243343,0.256851,0.267605,0.278877", \ "0.213826,0.216335,0.220363,0.229814,0.237953,0.247877,0.266033", \ "0.234711,0.234935,0.238368,0.245422,0.255472,0.265457,0.268107", \ "0.302707,0.304985,0.303552,0.303337,0.301878,0.306357,0.308606", \ "0.438978,0.437010,0.431508,0.419691,0.411447,0.398387,0.384994"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("4.081438,4.090289,4.097078,4.114018,4.132784,4.162316,4.199663", \ "4.032802,4.039242,4.051965,4.072958,4.105841,4.140919,4.182325", \ "3.969568,3.975993,3.987311,4.011326,4.029886,4.086960,4.136016", \ "3.980926,3.989420,3.997779,4.011471,4.037490,4.069295,4.112062", \ "4.082062,4.085546,4.090593,4.101896,4.114467,4.117055,4.145780", \ "4.260627,4.262321,4.264381,4.263777,4.252192,4.266470,4.260536", \ "4.533758,4.532730,4.530624,4.517851,4.508738,4.490651,4.472092"); } } internal_power () { related_pin : "B2"; when : "!A1 & !A2 & A3 & !B1 & !B3"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("1.033646,1.048936,1.069918,1.084576,1.138377,1.183197,1.252956", \ "1.032901,1.040623,1.057752,1.086392,1.117672,1.179753,1.246043", \ "1.013395,1.023079,1.042695,1.063838,1.107456,1.179144,1.234304", \ "1.027385,1.037892,1.041540,1.061571,1.111132,1.158705,1.229402", \ "1.116417,1.117901,1.121796,1.132264,1.154648,1.179134,1.220742", \ "1.215918,1.215238,1.215273,1.224531,1.236305,1.254986,1.280078", \ "1.345976,1.345100,1.349668,1.346670,1.355303,1.361192,1.371598"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("5.091186,5.094882,5.104195,5.114350,5.142987,5.161371,5.174288", \ "5.057146,5.061776,5.067068,5.084416,5.100583,5.121010,5.153294", \ "5.012199,5.015729,5.027882,5.038756,5.066444,5.090880,5.127656", \ "4.989890,4.992486,5.001911,5.014115,5.028357,5.069129,5.106733", \ "4.990333,4.994478,5.002905,5.008715,5.026191,5.064050,5.080278", \ "5.031113,5.034470,5.040404,5.049506,5.050270,5.087576,5.098227", \ "5.150356,5.151227,5.152305,5.155495,5.151127,5.152117,5.170040"); } } internal_power () { related_pin : "B2"; when : "!A1 & A2 & !A3 & !B1 & !B3"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.861001,0.865462,0.866241,0.892428,0.916626,0.944235,0.970675", \ "0.850170,0.856395,0.865525,0.890277,0.906261,0.935758,0.965075", \ "0.829058,0.835849,0.848190,0.862296,0.889373,0.921700,0.965034", \ "0.832498,0.836421,0.837319,0.853501,0.874363,0.909724,0.939655", \ "0.888062,0.891598,0.889657,0.895161,0.907029,0.916584,0.938504", \ "0.961602,0.964947,0.964223,0.963911,0.967734,0.980741,0.984272", \ "1.079069,1.075840,1.075268,1.072888,1.076045,1.073387,1.072099"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("4.651264,4.657324,4.667596,4.673776,4.687318,4.715172,4.754976", \ "4.617197,4.623172,4.635602,4.643351,4.661478,4.691991,4.714465", \ "4.571471,4.577504,4.587138,4.605339,4.627694,4.663372,4.688722", \ "4.548730,4.554640,4.561230,4.573560,4.588870,4.622881,4.668628", \ "4.548515,4.552644,4.564821,4.570591,4.586816,4.618304,4.641117", \ "4.588553,4.590937,4.601176,4.609719,4.610312,4.641116,4.659301", \ "4.707341,4.708974,4.712430,4.720965,4.711869,4.722412,4.731742"); } } internal_power () { related_pin : "B2"; when : "!A1 & A2 & A3 & !B1 & !B3"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.839080,0.839483,0.854151,0.863599,0.888027,0.923246,0.956241", \ "0.835736,0.840915,0.846955,0.858106,0.889323,0.915735,0.953343", \ "0.815697,0.815362,0.822905,0.843919,0.868035,0.902090,0.947542", \ "0.836470,0.836302,0.840954,0.847784,0.854170,0.886109,0.933518", \ "0.892959,0.893208,0.894585,0.901429,0.903797,0.920553,0.927414", \ "0.968086,0.972172,0.972212,0.969936,0.978110,0.983874,0.987902", \ "1.090551,1.089598,1.087810,1.085888,1.079609,1.080029,1.074776"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("4.938813,4.943352,4.953046,4.967322,4.971964,5.000758,5.017319", \ "4.906531,4.909880,4.920912,4.937537,4.951460,4.983058,5.003921", \ "4.856036,4.863649,4.874301,4.888361,4.915750,4.930333,4.974991", \ "4.835186,4.837504,4.843191,4.861078,4.874743,4.907029,4.952883", \ "4.831343,4.836963,4.844751,4.855097,4.879140,4.900294,4.931633", \ "4.872858,4.876123,4.883038,4.889196,4.894174,4.923811,4.942620", \ "4.989811,4.989263,4.994185,4.995125,4.992496,4.986953,5.014221"); } } internal_power () { related_pin : "B2"; when : "A1 & !A2 & !A3 & !B1 & !B3"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.528220,0.529364,0.537211,0.548368,0.561166,0.577064,0.590792", \ "0.521362,0.524773,0.533313,0.546485,0.554878,0.577934,0.595515", \ "0.489176,0.497359,0.509262,0.513103,0.536845,0.559216,0.584668", \ "0.491930,0.495506,0.497066,0.500261,0.510257,0.533309,0.567465", \ "0.534361,0.534619,0.532871,0.541698,0.546615,0.546176,0.552133", \ "0.600957,0.600910,0.597865,0.599797,0.600802,0.603202,0.599591", \ "0.718380,0.714853,0.713416,0.709365,0.704385,0.693955,0.681691"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("4.146455,4.154790,4.167566,4.186439,4.203389,4.234016,4.274010", \ "4.112018,4.119674,4.134477,4.155223,4.180598,4.212639,4.233337", \ "4.066231,4.073624,4.088265,4.100814,4.127904,4.163277,4.207729", \ "4.042670,4.050845,4.061519,4.073211,4.106179,4.141471,4.188179", \ "4.042116,4.048414,4.061052,4.071819,4.103730,4.136968,4.180752", \ "4.082050,4.090239,4.096263,4.107918,4.129350,4.161102,4.178172", \ "4.204077,4.208292,4.211058,4.218751,4.208585,4.224559,4.251570"); } } internal_power () { related_pin : "B2"; when : "A1 & !A2 & A3 & !B1 & !B3"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.515438,0.518910,0.528020,0.537768,0.549667,0.564008,0.584791", \ "0.511830,0.516780,0.524139,0.532312,0.548946,0.567062,0.585747", \ "0.484411,0.486774,0.496017,0.508442,0.526064,0.552986,0.570785", \ "0.498890,0.501495,0.499892,0.507154,0.508514,0.531999,0.558417", \ "0.538822,0.538973,0.540060,0.545428,0.550804,0.553009,0.550535", \ "0.606204,0.607695,0.603693,0.607356,0.608380,0.609711,0.608048", \ "0.728149,0.727196,0.724769,0.717909,0.710153,0.700659,0.691995"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("4.410602,4.415604,4.429487,4.447602,4.467929,4.495680,4.534165", \ "4.377947,4.384113,4.398908,4.416566,4.446679,4.478778,4.498069", \ "4.326713,4.334971,4.346422,4.363548,4.390911,4.425632,4.470724", \ "4.305747,4.309928,4.321870,4.342209,4.368624,4.402889,4.447895", \ "4.301125,4.310525,4.321503,4.341428,4.364802,4.395825,4.417181", \ "4.343073,4.348071,4.352673,4.360411,4.389979,4.420406,4.436395", \ "4.461446,4.465296,4.470479,4.467191,4.467283,4.483852,4.509633"); } } internal_power () { related_pin : "B2"; when : "A1 & A2 & !A3 & !B1 & !B3"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.515438,0.518910,0.528020,0.537768,0.549667,0.564008,0.584791", \ "0.511830,0.516780,0.524139,0.532312,0.548946,0.567062,0.585747", \ "0.484411,0.486774,0.496017,0.508442,0.526064,0.552986,0.570785", \ "0.498890,0.501495,0.499892,0.507154,0.508514,0.531999,0.558417", \ "0.538822,0.538973,0.540060,0.545428,0.550804,0.553009,0.550535", \ "0.606204,0.607695,0.603693,0.607356,0.608380,0.609711,0.608048", \ "0.728149,0.727196,0.724769,0.717909,0.710153,0.700659,0.691995"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("4.410602,4.415604,4.429487,4.447602,4.467929,4.495680,4.534165", \ "4.377947,4.384113,4.398908,4.416566,4.446679,4.478778,4.498069", \ "4.326713,4.334971,4.346422,4.363548,4.390911,4.425632,4.470724", \ "4.305747,4.309928,4.321870,4.342209,4.368624,4.402889,4.447895", \ "4.301125,4.310525,4.321503,4.341428,4.364802,4.395825,4.417181", \ "4.343073,4.348071,4.352673,4.360411,4.389979,4.420406,4.436395", \ "4.461446,4.465296,4.470479,4.467191,4.467283,4.483852,4.509633"); } } internal_power () { related_pin : "B2"; when : "A1 & A2 & A3 & !B1 & !B3"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.509955,0.516048,0.524675,0.530362,0.545386,0.560920,0.584343", \ "0.506275,0.512765,0.514456,0.532224,0.537294,0.563616,0.576163", \ "0.484843,0.483557,0.498476,0.506054,0.523525,0.547656,0.565739", \ "0.499437,0.501010,0.502573,0.508663,0.509773,0.526658,0.551068", \ "0.540114,0.542519,0.541620,0.547084,0.548163,0.555109,0.550883", \ "0.611991,0.611193,0.609732,0.607924,0.610963,0.610366,0.607305", \ "0.732254,0.732718,0.727881,0.718520,0.712622,0.705383,0.693435"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("4.642533,4.650289,4.662428,4.676755,4.690344,4.718999,4.756128", \ "4.610546,4.619037,4.630196,4.646214,4.670903,4.702838,4.742668", \ "4.562319,4.571340,4.584211,4.602797,4.634392,4.669708,4.714098", \ "4.537155,4.546165,4.555763,4.577138,4.591124,4.625325,4.669413", \ "4.535575,4.541218,4.556787,4.567409,4.587564,4.617742,4.659476", \ "4.572545,4.577907,4.586302,4.593874,4.612024,4.641541,4.677569", \ "4.689824,4.691692,4.698912,4.700127,4.706741,4.724912,4.729392"); } } internal_power () { related_pin : "B3"; when : "!A1 & !A2 & A3 & !B1 & !B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("1.222461,1.236090,1.259330,1.288385,1.342247,1.411172,1.514109", \ "1.181848,1.201266,1.219421,1.264250,1.319011,1.390631,1.470951", \ "1.139423,1.161575,1.187200,1.225681,1.276523,1.348843,1.442240", \ "1.162007,1.171112,1.183143,1.224408,1.260248,1.340966,1.414519", \ "1.278156,1.278644,1.290485,1.303408,1.330655,1.362187,1.426429", \ "1.399908,1.403389,1.396642,1.406997,1.426184,1.451730,1.487276", \ "1.543941,1.545457,1.550527,1.548161,1.559440,1.575777,1.593684"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("5.613208,5.615257,5.624869,5.642407,5.659210,5.676356,5.707467", \ "5.576440,5.580880,5.589294,5.607931,5.628068,5.647400,5.680780", \ "5.532197,5.535006,5.546517,5.570597,5.584527,5.609295,5.645341", \ "5.507757,5.511813,5.516054,5.529678,5.561211,5.585111,5.622539", \ "5.503404,5.507279,5.510915,5.521997,5.546593,5.569365,5.604888", \ "5.517428,5.521487,5.529302,5.540492,5.555610,5.580169,5.612382", \ "5.579316,5.579339,5.585857,5.596457,5.601681,5.611380,5.641764"); } } internal_power () { related_pin : "B3"; when : "!A1 & A2 & !A3 & !B1 & !B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("1.006495,1.021995,1.027701,1.064439,1.103855,1.161013,1.231869", \ "0.976830,0.988561,1.003597,1.036814,1.074329,1.119582,1.191723", \ "0.933826,0.949047,0.965707,0.983132,1.040025,1.081867,1.151465", \ "0.947485,0.957992,0.964378,0.977929,1.019281,1.066836,1.134829", \ "1.045649,1.048418,1.051545,1.061519,1.078782,1.095985,1.136723", \ "1.145693,1.150551,1.144314,1.154822,1.168110,1.178441,1.193711", \ "1.281388,1.282393,1.284184,1.285186,1.285434,1.289600,1.291699"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("5.173127,5.176728,5.189826,5.202239,5.221225,5.229230,5.269519", \ "5.139652,5.141649,5.153360,5.168034,5.190403,5.201073,5.241966", \ "5.091969,5.099151,5.107255,5.124900,5.146626,5.181367,5.206293", \ "5.066731,5.073074,5.082497,5.089912,5.123062,5.137962,5.184380", \ "5.061595,5.068859,5.074442,5.084244,5.108430,5.122256,5.166942", \ "5.074800,5.081324,5.086463,5.100489,5.116429,5.133669,5.173951", \ "5.136272,5.137433,5.146918,5.151336,5.161861,5.181083,5.202515"); } } internal_power () { related_pin : "B3"; when : "!A1 & A2 & A3 & !B1 & !B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.954880,0.965235,0.987802,1.011048,1.057991,1.124548,1.190995", \ "0.923150,0.934696,0.954790,0.988937,1.031672,1.082892,1.153354", \ "0.892505,0.901617,0.912710,0.952708,0.992514,1.044246,1.115991", \ "0.943504,0.946591,0.940868,0.961490,0.983295,1.035226,1.096867", \ "1.047618,1.044425,1.045088,1.056094,1.073299,1.084338,1.108802", \ "1.156259,1.154952,1.151138,1.153283,1.161292,1.176686,1.182104", \ "1.292925,1.292897,1.293752,1.292129,1.289445,1.290845,1.289048"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("5.457001,5.464131,5.474320,5.483628,5.505553,5.513997,5.551066", \ "5.425569,5.432007,5.444005,5.458771,5.478538,5.488895,5.531112", \ "5.379234,5.382082,5.392840,5.405140,5.434540,5.465385,5.499792", \ "5.353094,5.355868,5.362972,5.374198,5.392709,5.423224,5.468812", \ "5.347633,5.349664,5.360289,5.365007,5.394462,5.407312,5.448854", \ "5.360852,5.364422,5.372757,5.379255,5.400386,5.415035,5.455490", \ "5.418475,5.422633,5.423943,5.440086,5.444238,5.446606,5.485596"); } } internal_power () { related_pin : "B3"; when : "A1 & !A2 & !A3 & !B1 & !B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.647997,0.654709,0.667959,0.706812,0.735521,0.787766,0.845538", \ "0.609796,0.627049,0.645865,0.672662,0.699689,0.749484,0.806000", \ "0.577560,0.582895,0.608107,0.636249,0.665240,0.715897,0.765976", \ "0.604445,0.611461,0.618604,0.622092,0.645586,0.699425,0.748575", \ "0.696597,0.695253,0.695311,0.711799,0.719919,0.725075,0.742081", \ "0.792308,0.792133,0.789707,0.794841,0.802062,0.803003,0.806640", \ "0.924619,0.926651,0.925059,0.923066,0.913621,0.911820,0.905348"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("4.668948,4.674829,4.687054,4.698043,4.718146,4.748268,4.788027", \ "4.631349,4.640610,4.656136,4.675020,4.688099,4.720256,4.760929", \ "4.586523,4.592382,4.610911,4.629024,4.648858,4.679954,4.724622", \ "4.560742,4.567423,4.582032,4.599652,4.623691,4.657210,4.703383", \ "4.555082,4.563456,4.571246,4.584005,4.608110,4.640972,4.685603", \ "4.567888,4.576361,4.584372,4.602791,4.616311,4.651245,4.692719", \ "4.620831,4.632154,4.647081,4.658315,4.657472,4.683710,4.722980"); } } internal_power () { related_pin : "B3"; when : "A1 & !A2 & A3 & !B1 & !B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.602462,0.616274,0.634801,0.660199,0.709377,0.758969,0.811646", \ "0.574556,0.584181,0.602122,0.623742,0.664420,0.715253,0.779977", \ "0.546934,0.556828,0.573610,0.589441,0.624615,0.686748,0.741199", \ "0.606941,0.607565,0.614074,0.622308,0.629201,0.669666,0.725438", \ "0.698217,0.698867,0.701221,0.703621,0.712555,0.720754,0.727662", \ "0.801712,0.798995,0.800858,0.795743,0.802264,0.800603,0.807444", \ "0.937829,0.935368,0.935819,0.926427,0.922326,0.917396,0.908843"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("4.928590,4.937661,4.946749,4.962784,4.980600,5.010141,5.048106", \ "4.896922,4.904542,4.915328,4.938901,4.953960,4.985064,5.025546", \ "4.850395,4.855192,4.867744,4.893956,4.907464,4.942401,4.987035", \ "4.823704,4.831404,4.838981,4.861725,4.883678,4.918018,4.963717", \ "4.817668,4.822062,4.829204,4.839773,4.868187,4.901428,4.943526", \ "4.829930,4.833906,4.846767,4.858548,4.876550,4.911500,4.950904", \ "4.888794,4.892742,4.901676,4.913515,4.917015,4.942938,4.980749"); } } internal_power () { related_pin : "B3"; when : "A1 & A2 & !A3 & !B1 & !B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.602462,0.616274,0.634801,0.660199,0.709377,0.758969,0.811646", \ "0.574556,0.584181,0.602122,0.623742,0.664420,0.715253,0.779977", \ "0.546934,0.556828,0.573610,0.589441,0.624615,0.686748,0.741199", \ "0.606941,0.607565,0.614074,0.622308,0.629201,0.669666,0.725438", \ "0.698217,0.698867,0.701221,0.703621,0.712555,0.720754,0.727662", \ "0.801712,0.798995,0.800858,0.795743,0.802264,0.800603,0.807444", \ "0.937829,0.935368,0.935819,0.926427,0.922326,0.917396,0.908843"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("4.928590,4.937661,4.946749,4.962784,4.980600,5.010141,5.048106", \ "4.896922,4.904542,4.915328,4.938901,4.953960,4.985064,5.025546", \ "4.850395,4.855192,4.867744,4.893956,4.907464,4.942401,4.987035", \ "4.823704,4.831404,4.838981,4.861725,4.883678,4.918018,4.963717", \ "4.817668,4.822062,4.829204,4.839773,4.868187,4.901428,4.943526", \ "4.829930,4.833906,4.846767,4.858548,4.876550,4.911500,4.950904", \ "4.888794,4.892742,4.901676,4.913515,4.917015,4.942938,4.980749"); } } internal_power () { related_pin : "B3"; when : "A1 & A2 & A3 & !B1 & !B2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.593764,0.602503,0.628604,0.651412,0.695293,0.741513,0.801589", \ "0.566298,0.579927,0.594566,0.615154,0.652663,0.710295,0.766436", \ "0.534996,0.552187,0.557237,0.591553,0.625258,0.674859,0.725489", \ "0.609519,0.609405,0.615221,0.615199,0.629455,0.659521,0.711331", \ "0.702296,0.705607,0.701693,0.712356,0.708426,0.721668,0.724406", \ "0.803263,0.802968,0.799310,0.797406,0.806230,0.808071,0.807897", \ "0.945510,0.943089,0.943433,0.935196,0.926142,0.921499,0.909524"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("5.164106,5.168996,5.178718,5.200403,5.224218,5.252984,5.291071", \ "5.132959,5.140338,5.154389,5.165234,5.198885,5.229514,5.270222", \ "5.082485,5.092045,5.105863,5.129569,5.151411,5.186220,5.230867", \ "5.055046,5.063948,5.071025,5.089063,5.127210,5.160011,5.196224", \ "5.048812,5.056498,5.062053,5.077486,5.111579,5.143267,5.164228", \ "5.060551,5.067235,5.078151,5.087850,5.119279,5.153073,5.184815", \ "5.120137,5.123645,5.135755,5.142640,5.157818,5.184668,5.221979"); } } } } /****************************************************************************************** Module : OAI211_X1 Cell Description : Combinational cell (OAI211_X1) with drive strength X1 *******************************************************************************************/ cell (OAI211_X1) { drive_strength : 1; area : 1.330000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 12.781289; leakage_power () { when : "!A & !B & !C1 & !C2"; value : 3.115259; } leakage_power () { when : "!A & !B & !C1 & C2"; value : 3.481155; } leakage_power () { when : "!A & !B & C1 & !C2"; value : 3.481155; } leakage_power () { when : "!A & !B & C1 & C2"; value : 2.499518; } leakage_power () { when : "!A & B & !C1 & !C2"; value : 9.366839; } leakage_power () { when : "!A & B & !C1 & C2"; value : 13.813919; } leakage_power () { when : "!A & B & C1 & !C2"; value : 13.813937; } leakage_power () { when : "!A & B & C1 & C2"; value : 13.164285; } leakage_power () { when : "A & !B & !C1 & !C2"; value : 4.598978; } leakage_power () { when : "A & !B & !C1 & C2"; value : 8.335329; } leakage_power () { when : "A & !B & C1 & !C2"; value : 8.335339; } leakage_power () { when : "A & !B & C1 & C2"; value : 7.456478; } leakage_power () { when : "A & B & !C1 & !C2"; value : 34.797559; } leakage_power () { when : "A & B & !C1 & C2"; value : 24.465084; } leakage_power () { when : "A & B & C1 & !C2"; value : 25.831042; } leakage_power () { when : "A & B & C1 & C2"; value : 27.944744; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.583707; fall_capacitance : 1.460373; rise_capacitance : 1.583707; } pin (B) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.600825; fall_capacitance : 1.435430; rise_capacitance : 1.600825; } pin (C1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.564390; fall_capacitance : 1.395954; rise_capacitance : 1.564390; } pin (C2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.505422; fall_capacitance : 1.488940; rise_capacitance : 1.505422; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 25.538280; function : "!(((C1 | C2) & A) & B)"; timing () { related_pin : "A"; when : "B & !C1 & C2"; sdf_cond : "(B == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.046912,0.050364,0.056618,0.068899,0.092979,0.140428,0.234534", \ "0.051521,0.054999,0.061297,0.073639,0.097794,0.145304,0.239442", \ "0.066527,0.070004,0.076319,0.088716,0.112982,0.160638,0.254882", \ "0.090651,0.094824,0.102089,0.115961,0.140867,0.188641,0.283107", \ "0.115293,0.120892,0.130381,0.148034,0.178835,0.231704,0.326932", \ "0.135754,0.143224,0.155608,0.178823,0.218181,0.282256,0.387575", \ "0.149896,0.159546,0.175620,0.205021,0.254836,0.333838,0.456811"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.046674,0.050491,0.057466,0.071278,0.098693,0.153155,0.261425", \ "0.051211,0.055057,0.062109,0.076063,0.103572,0.158128,0.266528", \ "0.069057,0.072890,0.079940,0.093898,0.121506,0.176187,0.284739", \ "0.103471,0.108120,0.116149,0.130737,0.157795,0.211984,0.320295", \ "0.142373,0.148788,0.159686,0.179917,0.214087,0.269810,0.377005", \ "0.184036,0.192310,0.206508,0.232245,0.276249,0.347189,0.458443", \ "0.228502,0.238632,0.256116,0.287813,0.341967,0.429533,0.564018"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.025383,0.028115,0.033140,0.043199,0.063285,0.103513,0.184065", \ "0.025378,0.028112,0.033140,0.043184,0.063289,0.103516,0.184037", \ "0.025114,0.027857,0.032961,0.043095,0.063264,0.103534,0.184037", \ "0.031219,0.033635,0.038072,0.046567,0.064368,0.103441,0.184011", \ "0.043354,0.045930,0.050507,0.059103,0.075791,0.108962,0.184118", \ "0.060617,0.063635,0.069077,0.078489,0.095435,0.127616,0.193052", \ "0.081689,0.085386,0.091939,0.103065,0.121999,0.154842,0.217587"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.034388,0.038164,0.045055,0.058794,0.086148,0.140564,0.247324", \ "0.034395,0.038148,0.045032,0.058777,0.086161,0.140526,0.247345", \ "0.034284,0.038083,0.044998,0.058757,0.086177,0.140507,0.247313", \ "0.045834,0.048175,0.052673,0.062975,0.086929,0.140442,0.247309", \ "0.067106,0.070354,0.076090,0.085820,0.103149,0.145041,0.247236", \ "0.092873,0.096931,0.104048,0.116249,0.136958,0.171548,0.253679", \ "0.122899,0.127700,0.136376,0.151052,0.175951,0.216384,0.284527"); } } timing () { related_pin : "A"; when : "B & C1 & !C2"; sdf_cond : "(B == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.039055,0.042244,0.048129,0.059862,0.083260,0.129994,0.223405", \ "0.043553,0.046806,0.052751,0.064572,0.088056,0.134861,0.228319", \ "0.058245,0.061563,0.067598,0.079547,0.103210,0.150181,0.243777", \ "0.078691,0.083205,0.090964,0.105282,0.130885,0.178157,0.272003", \ "0.097217,0.103671,0.114427,0.133676,0.166151,0.220400,0.315757", \ "0.109921,0.118762,0.133181,0.159142,0.201788,0.268701,0.375851", \ "0.115864,0.127216,0.145881,0.179088,0.233518,0.317095,0.443344"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.045542,0.049352,0.056332,0.070157,0.097543,0.151920,0.260137", \ "0.050063,0.053922,0.060985,0.074917,0.102426,0.156928,0.265195", \ "0.067942,0.071777,0.078826,0.092763,0.120362,0.174981,0.283430", \ "0.102006,0.106745,0.114884,0.129636,0.156664,0.210809,0.319011", \ "0.140304,0.146828,0.157862,0.178260,0.212692,0.268646,0.375730", \ "0.181289,0.189676,0.204062,0.230016,0.274398,0.345729,0.457176", \ "0.225013,0.235274,0.252988,0.284970,0.339583,0.427621,0.562539"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.019604,0.022319,0.027369,0.037439,0.057575,0.097794,0.178155", \ "0.019602,0.022324,0.027360,0.037442,0.057577,0.097776,0.178157", \ "0.020232,0.022720,0.027458,0.037457,0.057580,0.097777,0.178147", \ "0.027885,0.030207,0.034511,0.042906,0.059800,0.097774,0.178131", \ "0.041358,0.043924,0.048395,0.056751,0.072813,0.105038,0.178561", \ "0.059629,0.062697,0.068111,0.077340,0.093827,0.124903,0.188862", \ "0.081734,0.085386,0.091835,0.102864,0.121397,0.153344,0.214632"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.030720,0.034248,0.040784,0.053862,0.079920,0.132014,0.235885", \ "0.030731,0.034254,0.040786,0.053851,0.079907,0.132016,0.235886", \ "0.030625,0.034209,0.040758,0.053824,0.079899,0.131971,0.235891", \ "0.041971,0.044468,0.048694,0.058193,0.080625,0.131965,0.235872", \ "0.060500,0.063827,0.069751,0.079849,0.097162,0.136646,0.235771", \ "0.081658,0.086076,0.093922,0.106862,0.128346,0.163214,0.242306", \ "0.105280,0.110859,0.120711,0.136889,0.163412,0.205257,0.273233"); } } timing () { related_pin : "A"; when : "B & C1 & C2"; sdf_cond : "(B == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.035494,0.038248,0.043311,0.053407,0.073541,0.113763,0.194148", \ "0.040055,0.042852,0.047974,0.058143,0.078355,0.118636,0.199065", \ "0.055844,0.058717,0.063929,0.074221,0.094592,0.135020,0.215564", \ "0.077418,0.081730,0.088954,0.102108,0.125002,0.165919,0.246706", \ "0.095926,0.102246,0.112692,0.131265,0.162268,0.212002,0.295091", \ "0.108263,0.116900,0.130985,0.156346,0.197975,0.262246,0.360530", \ "0.113565,0.124652,0.142967,0.175435,0.228868,0.310629,0.431220"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.049150,0.052947,0.059899,0.073680,0.101011,0.155324,0.263484", \ "0.053699,0.057554,0.064587,0.078486,0.105970,0.160429,0.268709", \ "0.071511,0.075309,0.082357,0.096277,0.123839,0.178442,0.286894", \ "0.106472,0.110992,0.118830,0.133046,0.160069,0.214182,0.322381", \ "0.146462,0.152677,0.163296,0.182992,0.216633,0.272000,0.379071", \ "0.189210,0.197237,0.211045,0.236245,0.279533,0.349728,0.460520", \ "0.234795,0.244623,0.261707,0.292635,0.346048,0.432689,0.566328"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.017595,0.019922,0.024219,0.032807,0.049898,0.083992,0.152159", \ "0.017593,0.019923,0.024212,0.032804,0.049885,0.084007,0.152164", \ "0.018446,0.020489,0.024415,0.032817,0.049885,0.083995,0.152156", \ "0.027579,0.029499,0.033008,0.039715,0.053028,0.084126,0.152134", \ "0.042344,0.044614,0.048555,0.055818,0.068950,0.094209,0.153183", \ "0.061587,0.064330,0.069224,0.077744,0.092412,0.118155,0.167810", \ "0.084761,0.088012,0.093854,0.104080,0.121287,0.149756,0.199553"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.032400,0.035955,0.042511,0.055629,0.081800,0.133936,0.237920", \ "0.032381,0.035956,0.042511,0.055619,0.081795,0.133945,0.237927", \ "0.032347,0.035910,0.042488,0.055607,0.081781,0.133948,0.237932", \ "0.042489,0.044833,0.049339,0.059266,0.082429,0.133900,0.237919", \ "0.061189,0.064473,0.070340,0.080411,0.097821,0.138089,0.237843", \ "0.082626,0.086955,0.094626,0.107502,0.128999,0.163848,0.243871", \ "0.106584,0.112024,0.121623,0.137740,0.164108,0.205838,0.274157"); } } timing () { related_pin : "B"; when : "A & !C1 & C2"; sdf_cond : "(A == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.049252,0.052705,0.058958,0.071238,0.095317,0.142766,0.236881", \ "0.054090,0.057569,0.063868,0.076208,0.100358,0.147866,0.242002", \ "0.067439,0.070923,0.077254,0.089653,0.113919,0.161560,0.255816", \ "0.087230,0.091155,0.098123,0.111443,0.136350,0.184099,0.278479", \ "0.108664,0.113419,0.121667,0.137248,0.165646,0.217270,0.312513", \ "0.127972,0.134128,0.144392,0.163641,0.197628,0.256078,0.359022", \ "0.141325,0.149430,0.162712,0.187162,0.228888,0.297362,0.411589"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.052249,0.056164,0.063300,0.077383,0.105124,0.159921,0.268681", \ "0.056842,0.060778,0.067941,0.082064,0.109873,0.164747,0.273534", \ "0.074857,0.078792,0.085990,0.100161,0.128019,0.182946,0.291834", \ "0.111052,0.115448,0.122998,0.137001,0.164410,0.218955,0.327546", \ "0.153565,0.159586,0.169860,0.188943,0.221842,0.276823,0.384425", \ "0.199153,0.206858,0.220222,0.244620,0.286894,0.355715,0.465890", \ "0.247716,0.257187,0.273598,0.303674,0.355627,0.440546,0.572478"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.025385,0.028119,0.033147,0.043203,0.063286,0.103520,0.184065", \ "0.025383,0.028113,0.033139,0.043204,0.063282,0.103516,0.184036", \ "0.025201,0.027949,0.033017,0.043143,0.063264,0.103517,0.184047", \ "0.029076,0.031690,0.036450,0.045728,0.064267,0.103454,0.184014", \ "0.036426,0.039091,0.043997,0.053518,0.072039,0.108245,0.184443", \ "0.049237,0.051913,0.056919,0.066305,0.084650,0.120709,0.192232", \ "0.067551,0.070564,0.076007,0.085679,0.103496,0.138598,0.209418"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.038383,0.042128,0.049013,0.062739,0.090128,0.144434,0.251370", \ "0.038386,0.042133,0.048990,0.062714,0.090108,0.144450,0.251372", \ "0.038238,0.042039,0.048956,0.062691,0.090084,0.144442,0.251403", \ "0.046268,0.048841,0.054046,0.065225,0.090342,0.144444,0.251346", \ "0.067186,0.070399,0.076190,0.085995,0.103987,0.147755,0.251331", \ "0.092241,0.096283,0.103445,0.115791,0.136860,0.172105,0.256600", \ "0.121230,0.126098,0.134933,0.149962,0.175250,0.216167,0.285716"); } } timing () { related_pin : "B"; when : "A & C1 & !C2"; sdf_cond : "(A == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.041393,0.044586,0.050465,0.062194,0.085600,0.132328,0.225742", \ "0.046127,0.049366,0.055319,0.067132,0.090618,0.137425,0.230880", \ "0.059164,0.062472,0.068510,0.080455,0.104122,0.151090,0.244681", \ "0.076830,0.080816,0.087843,0.101245,0.126343,0.173560,0.267324", \ "0.094586,0.099792,0.108561,0.124774,0.153890,0.206100,0.301353", \ "0.107801,0.114913,0.126636,0.147713,0.183423,0.243387,0.347314", \ "0.113286,0.122847,0.138447,0.166007,0.211328,0.282670,0.398698"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.051072,0.054990,0.062134,0.076214,0.103963,0.158721,0.267377", \ "0.055645,0.059590,0.066785,0.080912,0.108717,0.163543,0.272248", \ "0.073687,0.077618,0.084831,0.099017,0.126853,0.181751,0.290514", \ "0.109676,0.114141,0.121770,0.135878,0.163276,0.217748,0.326246", \ "0.151613,0.157748,0.168093,0.187384,0.220540,0.275640,0.383122", \ "0.196563,0.204397,0.217919,0.242469,0.285068,0.354296,0.464613", \ "0.244450,0.254033,0.270644,0.300972,0.353309,0.438673,0.571034"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.019602,0.022320,0.027370,0.037452,0.057591,0.097788,0.178151", \ "0.019601,0.022318,0.027368,0.037451,0.057564,0.097794,0.178154", \ "0.019928,0.022516,0.027403,0.037434,0.057584,0.097781,0.178147", \ "0.024432,0.027029,0.031793,0.041144,0.059349,0.097835,0.178128", \ "0.032992,0.035572,0.040315,0.049544,0.067830,0.103734,0.178879", \ "0.047473,0.050122,0.054974,0.063885,0.081359,0.116745,0.187585", \ "0.067471,0.070454,0.075750,0.085077,0.101942,0.135528,0.205271"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.034388,0.037934,0.044476,0.057523,0.083632,0.135742,0.239816", \ "0.034360,0.037915,0.044484,0.057536,0.083636,0.135752,0.239840", \ "0.034228,0.037823,0.044409,0.057506,0.083603,0.135756,0.239809", \ "0.042604,0.044963,0.049764,0.060112,0.083957,0.135707,0.239790", \ "0.060781,0.064090,0.070022,0.080166,0.097851,0.139167,0.239757", \ "0.081656,0.086018,0.093775,0.106753,0.128369,0.163637,0.245090", \ "0.104851,0.110365,0.120121,0.136458,0.163053,0.205175,0.274365"); } } timing () { related_pin : "B"; when : "A & C1 & C2"; sdf_cond : "(A == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.037909,0.040662,0.045723,0.055818,0.075959,0.116176,0.196562", \ "0.042727,0.045512,0.050631,0.060804,0.081012,0.121289,0.201718", \ "0.056229,0.059090,0.064288,0.074572,0.094939,0.135367,0.215911", \ "0.074784,0.078385,0.084721,0.096671,0.118792,0.159727,0.240436", \ "0.093345,0.098223,0.106537,0.121633,0.148184,0.194849,0.277905", \ "0.106795,0.113643,0.125067,0.145328,0.179252,0.234548,0.327519", \ "0.112293,0.121626,0.136921,0.163743,0.207819,0.275716,0.382153"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.055245,0.059114,0.066199,0.080178,0.107789,0.162414,0.270945", \ "0.059858,0.063752,0.070865,0.084908,0.112590,0.167290,0.275862", \ "0.077916,0.081781,0.088944,0.103014,0.130733,0.185502,0.294133", \ "0.114414,0.118672,0.125991,0.139807,0.167117,0.221495,0.329841", \ "0.158062,0.163909,0.173918,0.192481,0.224780,0.279394,0.386761", \ "0.204782,0.212272,0.225278,0.249068,0.290559,0.358658,0.468282", \ "0.254523,0.263704,0.279737,0.309023,0.360116,0.444111,0.575104"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.017598,0.019922,0.024217,0.032789,0.049874,0.083992,0.152155", \ "0.017605,0.019925,0.024220,0.032800,0.049888,0.083994,0.152150", \ "0.018093,0.020264,0.024337,0.032790,0.049879,0.084005,0.152152", \ "0.023116,0.025323,0.029342,0.037164,0.052319,0.084320,0.152142", \ "0.032755,0.034941,0.038975,0.046725,0.061875,0.091774,0.153647", \ "0.048366,0.050709,0.054808,0.062543,0.077112,0.106220,0.164882", \ "0.069397,0.072008,0.076679,0.084936,0.099476,0.127289,0.184531"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.035829,0.039462,0.046045,0.059219,0.085475,0.137755,0.241987", \ "0.035826,0.039427,0.046032,0.059234,0.085475,0.137776,0.241996", \ "0.035770,0.039387,0.046011,0.059230,0.085477,0.137768,0.241951", \ "0.042821,0.045368,0.050480,0.061330,0.085563,0.137753,0.241932", \ "0.061390,0.064699,0.070493,0.080649,0.098567,0.140737,0.241973", \ "0.082621,0.086916,0.094481,0.107386,0.129008,0.164470,0.246901", \ "0.106200,0.111610,0.121131,0.137328,0.163829,0.205868,0.275467"); } } timing () { related_pin : "C1"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.0284638,0.0316701,0.0375627,0.0493030,0.0726976,0.119416,0.212783", \ "0.0326895,0.0359335,0.0419128,0.0537484,0.0772517,0.124054,0.217476", \ "0.0476639,0.0511075,0.0569530,0.0687502,0.0923193,0.139195,0.232694", \ "0.0648330,0.0701545,0.0792793,0.0952949,0.121814,0.168304,0.261537", \ "0.0763823,0.0839431,0.0965837,0.119067,0.155975,0.213698,0.307416", \ "0.0810438,0.0909290,0.107446,0.136680,0.184933,0.259825,0.372337", \ "0.0779810,0.0902399,0.110855,0.147214,0.207218,0.300528,0.439632"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.0755301,0.0842216,0.100191,0.131543,0.193933,0.318061,0.565784", \ "0.0772194,0.0861169,0.102378,0.134353,0.197364,0.322046,0.570196", \ "0.0904080,0.0990632,0.115106,0.146980,0.210319,0.335689,0.584544", \ "0.123423,0.131914,0.147001,0.177808,0.239961,0.364699,0.613471", \ "0.164176,0.175651,0.195190,0.230211,0.291300,0.413894,0.661124", \ "0.208881,0.223051,0.247274,0.290628,0.364105,0.487076,0.731442", \ "0.258103,0.274860,0.303664,0.355252,0.443109,0.585279,0.827529"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.0195765,0.0223122,0.0273550,0.0374508,0.0575640,0.0977816,0.178149", \ "0.0195624,0.0223071,0.0273608,0.0374393,0.0575751,0.0977741,0.178133", \ "0.0215073,0.0235779,0.0278202,0.0372452,0.0575689,0.0977669,0.178138", \ "0.0351680,0.0374236,0.0412321,0.0483665,0.0623013,0.0977962,0.178121", \ "0.0535671,0.0565301,0.0617536,0.0703911,0.0853331,0.111965,0.178909", \ "0.0759638,0.0797561,0.0864485,0.0975169,0.115750,0.145672,0.198669", \ "0.102286,0.107040,0.115235,0.128813,0.151180,0.186578,0.243188"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.0551555,0.0628636,0.0771914,0.105321,0.161821,0.274492,0.499259", \ "0.0551618,0.0628647,0.0771578,0.105328,0.161816,0.274432,0.499258", \ "0.0551111,0.0628425,0.0770420,0.105288,0.161782,0.274499,0.499253", \ "0.0616638,0.0673805,0.0789973,0.105105,0.161680,0.274500,0.499253", \ "0.0827249,0.0887713,0.0994032,0.117953,0.164075,0.274443,0.499254", \ "0.106245,0.113356,0.125974,0.147970,0.186464,0.278163,0.499247", \ "0.132719,0.141029,0.155807,0.181536,0.225988,0.304214,0.500093"); } } timing () { related_pin : "C2"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.0359742,0.0394012,0.0456567,0.0579023,0.0819373,0.129333,0.223360", \ "0.0396587,0.0431053,0.0493681,0.0616453,0.0856989,0.133114,0.227173", \ "0.0544869,0.0577682,0.0640111,0.0763224,0.100401,0.147809,0.241864", \ "0.0772303,0.0818843,0.0900508,0.104822,0.129901,0.176906,0.270642", \ "0.0954295,0.102013,0.112874,0.133455,0.167880,0.223182,0.316587", \ "0.107307,0.115877,0.130330,0.156748,0.201405,0.272702,0.382130", \ "0.112062,0.122653,0.140713,0.173436,0.228878,0.317358,0.452198"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.0896632,0.0982513,0.114011,0.145262,0.207517,0.331540,0.579215", \ "0.0940608,0.102805,0.118834,0.150498,0.213233,0.337638,0.585622", \ "0.110427,0.119149,0.135193,0.167028,0.230199,0.355325,0.603919", \ "0.140196,0.148732,0.164408,0.195912,0.258804,0.384036,0.633127", \ "0.177574,0.187998,0.206224,0.240278,0.303038,0.427912,0.676889", \ "0.219292,0.231699,0.253345,0.293065,0.364040,0.490686,0.739239", \ "0.266317,0.280979,0.306190,0.352098,0.432779,0.572367,0.822172"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.0256459,0.0283121,0.0332734,0.0432357,0.0632852,0.103508,0.184053", \ "0.0256465,0.0283307,0.0332737,0.0432479,0.0632831,0.103530,0.184094", \ "0.0257715,0.0282594,0.0330416,0.0432162,0.0633396,0.103508,0.184077", \ "0.0379819,0.0402101,0.0440493,0.0511637,0.0662978,0.103494,0.184000", \ "0.0559524,0.0588609,0.0640991,0.0726430,0.0876447,0.115115,0.184502", \ "0.0774840,0.0813227,0.0881314,0.0992195,0.117595,0.147754,0.202087", \ "0.102235,0.107119,0.115565,0.129568,0.152397,0.188248,0.245360"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.0551509,0.0628643,0.0771261,0.105349,0.161805,0.274463,0.499252", \ "0.0551564,0.0628685,0.0771515,0.105319,0.161848,0.274433,0.499250", \ "0.0551545,0.0628661,0.0770828,0.105314,0.161808,0.274502,0.499258", \ "0.0571630,0.0640833,0.0772925,0.105219,0.161700,0.274426,0.499258", \ "0.0696524,0.0763675,0.0883278,0.111421,0.162710,0.274434,0.499267", \ "0.0859499,0.0929279,0.105882,0.129837,0.175826,0.276735,0.499275", \ "0.106567,0.113769,0.127379,0.152193,0.200219,0.292564,0.500329"); } } internal_power () { related_pin : "A"; when : "B & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.777264,0.788409,0.792924,0.802890,0.824455,0.842660,0.862491", \ "0.754008,0.763609,0.777500,0.790549,0.814673,0.837734,0.862006", \ "0.704810,0.714579,0.731627,0.757214,0.783281,0.818699,0.850216", \ "0.678534,0.685127,0.699909,0.724058,0.756134,0.793543,0.829047", \ "0.748587,0.745180,0.742904,0.736422,0.741993,0.776338,0.813739", \ "0.860320,0.855251,0.841467,0.833823,0.812657,0.796446,0.818914", \ "1.046472,1.035234,1.018144,0.992950,0.953818,0.902278,0.862706"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("3.490947,3.496472,3.497952,3.515438,3.533814,3.546340,3.587806", \ "3.436083,3.446544,3.452209,3.470718,3.506939,3.511431,3.558358", \ "3.373022,3.375638,3.383512,3.389258,3.468370,3.502783,3.561617", \ "3.412520,3.414404,3.420650,3.420792,3.454260,3.475205,3.535145", \ "3.560710,3.563536,3.543224,3.548553,3.539511,3.534400,3.511359", \ "3.726572,3.727925,3.738393,3.742176,3.726981,3.666160,3.604682", \ "4.042274,4.037283,4.039164,4.013902,4.011388,3.960923,3.814198"); } } internal_power () { related_pin : "A"; when : "B & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.444458,0.444871,0.443306,0.447413,0.445378,0.448044,0.446764", \ "0.410271,0.414458,0.423396,0.425770,0.432086,0.439397,0.442204", \ "0.344968,0.355166,0.361797,0.381719,0.400446,0.421116,0.430209", \ "0.327491,0.322250,0.324104,0.344285,0.367587,0.392434,0.414185", \ "0.395515,0.389132,0.380126,0.369074,0.351515,0.374996,0.398216", \ "0.514867,0.506351,0.490265,0.466195,0.432123,0.394403,0.404673", \ "0.721351,0.703112,0.681064,0.633854,0.576180,0.508533,0.448010"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("3.005612,3.016749,3.027278,3.040327,3.032948,3.089306,3.120889", \ "2.958384,2.963662,2.984729,2.971446,2.993231,3.055839,3.089062", \ "2.887455,2.893742,2.905820,2.945185,2.974816,2.984066,3.028026", \ "2.936904,2.936899,2.933200,2.958125,2.953541,3.020997,3.068084", \ "3.021302,3.036700,3.060845,3.087971,3.036060,3.079488,3.043108", \ "3.193611,3.206313,3.222515,3.227742,3.281465,3.209283,3.135549", \ "3.514221,3.518386,3.516900,3.521368,3.511012,3.501077,3.344034"); } } internal_power () { related_pin : "A"; when : "B & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.441552,0.442110,0.443402,0.446638,0.443195,0.445392,0.446708", \ "0.412188,0.415768,0.418904,0.428503,0.432345,0.443356,0.446029", \ "0.347119,0.354537,0.367968,0.380240,0.395753,0.422370,0.433410", \ "0.329979,0.327160,0.324525,0.345253,0.368146,0.393261,0.414550", \ "0.394949,0.390993,0.383114,0.372616,0.355018,0.377187,0.400264", \ "0.517597,0.509150,0.493136,0.471414,0.439776,0.400923,0.405224", \ "0.729282,0.712412,0.688566,0.645280,0.586961,0.518424,0.454360"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("3.245382,3.248624,3.262332,3.263409,3.269519,3.324935,3.356323", \ "3.199356,3.206296,3.224668,3.232214,3.233520,3.296036,3.362310", \ "3.125140,3.131568,3.142512,3.154161,3.211861,3.253365,3.269590", \ "3.161897,3.172252,3.163124,3.185118,3.188439,3.255720,3.305238", \ "3.262287,3.270641,3.291797,3.285730,3.270741,3.314906,3.329628", \ "3.433455,3.438105,3.453032,3.481493,3.516681,3.445399,3.373674", \ "3.748283,3.749130,3.763286,3.761005,3.765512,3.738428,3.584047"); } } internal_power () { related_pin : "B"; when : "A & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.780884,0.787449,0.789868,0.802565,0.824044,0.846332,0.864371", \ "0.756505,0.761374,0.773185,0.786980,0.816673,0.838176,0.859929", \ "0.704416,0.716197,0.729562,0.750279,0.785332,0.813926,0.849095", \ "0.675039,0.681082,0.693612,0.715403,0.752026,0.792045,0.827612", \ "0.731507,0.732649,0.731950,0.732940,0.731806,0.767841,0.809283", \ "0.817371,0.812440,0.807985,0.802108,0.800699,0.778450,0.810035", \ "0.959012,0.954431,0.944786,0.926517,0.906595,0.874840,0.838821"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("3.911324,3.912688,3.917030,3.936313,3.976848,3.999017,4.057341", \ "3.860288,3.873856,3.889743,3.901094,3.953607,3.956895,4.016652", \ "3.812304,3.818968,3.828097,3.835792,3.905714,3.955782,4.024288", \ "3.854974,3.857730,3.857197,3.877943,3.914279,3.940379,4.004929", \ "4.009450,4.006033,4.000665,3.994883,4.000976,4.005921,3.991336", \ "4.186645,4.191788,4.207767,4.227225,4.193087,4.141701,4.154763", \ "4.499738,4.499160,4.504922,4.502596,4.501920,4.434874,4.369476"); } } internal_power () { related_pin : "B"; when : "A & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.442865,0.444090,0.440973,0.446096,0.443680,0.447460,0.448495", \ "0.412429,0.416777,0.417959,0.428719,0.436936,0.441658,0.444193", \ "0.344741,0.353397,0.367872,0.381182,0.399148,0.421536,0.432932", \ "0.324495,0.324037,0.318433,0.331280,0.363296,0.390159,0.412105", \ "0.378531,0.374983,0.366828,0.361474,0.344625,0.366338,0.393530", \ "0.461844,0.454908,0.447524,0.432825,0.413295,0.382242,0.393081", \ "0.615060,0.606282,0.587005,0.562702,0.527905,0.479406,0.422879"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("3.397295,3.408041,3.422580,3.432312,3.469500,3.540303,3.589543", \ "3.354176,3.366525,3.383029,3.420219,3.423651,3.497727,3.550519", \ "3.297817,3.309963,3.338929,3.380376,3.416582,3.433760,3.488360", \ "3.353151,3.360349,3.365518,3.399012,3.406093,3.480899,3.536239", \ "3.464529,3.479747,3.507358,3.523931,3.494051,3.547617,3.523631", \ "3.638266,3.652007,3.676922,3.698132,3.742844,3.682127,3.619053", \ "3.962125,3.967975,3.977741,3.972827,3.990626,3.977698,3.834000"); } } internal_power () { related_pin : "B"; when : "A & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.444267,0.441774,0.442844,0.448078,0.448220,0.448912,0.448652", \ "0.414482,0.414374,0.421365,0.430307,0.434322,0.442039,0.443983", \ "0.349220,0.353685,0.365557,0.381861,0.405439,0.421855,0.433034", \ "0.328463,0.326550,0.324264,0.338240,0.361180,0.392938,0.414589", \ "0.376171,0.376293,0.371271,0.362556,0.354602,0.367023,0.397246", \ "0.459137,0.455048,0.445801,0.435154,0.419066,0.392111,0.393719", \ "0.614192,0.605227,0.590154,0.562194,0.531215,0.487048,0.431933"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("3.656467,3.666045,3.673939,3.690264,3.719367,3.786917,3.833196", \ "3.614351,3.625110,3.639480,3.680137,3.683202,3.746544,3.794831", \ "3.557194,3.567955,3.599090,3.603140,3.667728,3.682940,3.772388", \ "3.608593,3.615410,3.612805,3.632152,3.656017,3.730144,3.781984", \ "3.726308,3.743780,3.768216,3.736189,3.744992,3.798133,3.769310", \ "3.898183,3.911143,3.925179,3.951442,3.992474,3.934347,3.936744", \ "4.214504,4.223161,4.237985,4.228845,4.233353,4.229534,4.154752"); } } internal_power () { related_pin : "C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.459846,0.457646,0.462111,0.457717,0.466141,0.468826,0.468289", \ "0.457605,0.457969,0.462800,0.475970,0.482267,0.489789,0.493657", \ "0.423860,0.431106,0.440466,0.457473,0.476197,0.492763,0.503737", \ "0.463703,0.454409,0.443791,0.445002,0.466039,0.485670,0.501029", \ "0.592379,0.578558,0.562697,0.534578,0.497275,0.502828,0.511016", \ "0.796299,0.776401,0.747578,0.703025,0.642906,0.569614,0.552336", \ "1.084861,1.066969,1.028812,0.965849,0.868046,0.753417,0.648080"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("2.121278,2.128181,2.129724,2.135139,2.134434,2.159344,2.145494", \ "2.066948,2.079317,2.094099,2.111602,2.127389,2.162623,2.145275", \ "2.003647,2.014529,2.025689,2.043758,2.081442,2.100996,2.129049", \ "2.048239,2.044836,2.033112,2.036070,2.057845,2.070370,2.100533", \ "2.191150,2.181420,2.158444,2.142232,2.103809,2.089793,2.101997", \ "2.340196,2.348970,2.374583,2.335404,2.268740,2.225936,2.175932", \ "2.606625,2.605686,2.611209,2.612775,2.567266,2.440411,2.345296"); } } internal_power () { related_pin : "C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.769390,0.773822,0.779532,0.790744,0.807969,0.824597,0.842913", \ "0.733375,0.736690,0.743315,0.753574,0.774953,0.792532,0.811333", \ "0.685229,0.693871,0.705531,0.725343,0.742730,0.766821,0.787341", \ "0.705651,0.699230,0.705972,0.713476,0.733148,0.755426,0.781396", \ "0.842987,0.837368,0.823508,0.799687,0.776238,0.784097,0.797690", \ "1.045556,1.033830,1.016594,0.978819,0.926200,0.859743,0.846090", \ "1.331608,1.315859,1.291210,1.238783,1.157902,1.053159,0.953592"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("2.696847,2.699793,2.702602,2.688589,2.708215,2.708052,2.706306", \ "2.665966,2.672287,2.686930,2.695068,2.698324,2.728502,2.740717", \ "2.591219,2.602464,2.605695,2.617236,2.665084,2.679926,2.703060", \ "2.562563,2.563619,2.565282,2.585165,2.585958,2.633313,2.666906", \ "2.588306,2.588146,2.584593,2.580759,2.592087,2.600368,2.629329", \ "2.579120,2.603158,2.637411,2.659573,2.624789,2.609921,2.623212", \ "2.682703,2.689917,2.707255,2.742748,2.747541,2.702679,2.677600"); } } } } /****************************************************************************************** Module : OAI211_X2 Cell Description : Combinational cell (OAI211_X2) with drive strength X2 *******************************************************************************************/ cell (OAI211_X2) { drive_strength : 2; area : 2.394000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 25.558599; leakage_power () { when : "!A & !B & !C1 & !C2"; value : 6.221987; } leakage_power () { when : "!A & !B & !C1 & C2"; value : 6.953147; } leakage_power () { when : "!A & !B & C1 & !C2"; value : 6.953146; } leakage_power () { when : "!A & !B & C1 & C2"; value : 4.989821; } leakage_power () { when : "!A & B & !C1 & !C2"; value : 18.725953; } leakage_power () { when : "!A & B & !C1 & C2"; value : 27.618865; } leakage_power () { when : "!A & B & C1 & !C2"; value : 27.618865; } leakage_power () { when : "!A & B & C1 & C2"; value : 26.319594; } leakage_power () { when : "A & !B & !C1 & !C2"; value : 9.189977; } leakage_power () { when : "A & !B & !C1 & C2"; value : 16.661415; } leakage_power () { when : "A & !B & C1 & !C2"; value : 16.661417; } leakage_power () { when : "A & !B & C1 & C2"; value : 14.903541; } leakage_power () { when : "A & B & !C1 & !C2"; value : 69.594815; } leakage_power () { when : "A & B & !C1 & C2"; value : 48.944665; } leakage_power () { when : "A & B & C1 & !C2"; value : 51.676485; } leakage_power () { when : "A & B & C1 & C2"; value : 55.903890; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.271483; fall_capacitance : 3.022894; rise_capacitance : 3.271483; } pin (B) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.096451; fall_capacitance : 2.768978; rise_capacitance : 3.096451; } pin (C1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 2.940936; fall_capacitance : 2.608712; rise_capacitance : 2.940936; } pin (C2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.119275; fall_capacitance : 3.083871; rise_capacitance : 3.119275; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 50.802090; function : "!(((C1 | C2) & A) & B)"; timing () { related_pin : "A"; when : "B & !C1 & C2"; sdf_cond : "(B == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("0.046319,0.051177,0.057386,0.069578,0.093509,0.140690,0.234299", \ "0.050920,0.055826,0.062076,0.074329,0.098327,0.145562,0.239215", \ "0.065912,0.070808,0.077065,0.089379,0.113491,0.160863,0.254596", \ "0.089848,0.095765,0.102971,0.116583,0.141334,0.188879,0.282808", \ "0.114272,0.122171,0.131600,0.148962,0.179382,0.231923,0.326611", \ "0.134454,0.145006,0.157344,0.180261,0.219118,0.282542,0.387243", \ "0.148396,0.161909,0.177753,0.206818,0.256031,0.334322,0.456463"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("0.045824,0.051189,0.058102,0.071815,0.099018,0.153082,0.260789", \ "0.050340,0.055771,0.062759,0.076592,0.103925,0.158085,0.265851", \ "0.068211,0.073669,0.080654,0.094488,0.121890,0.176188,0.284094", \ "0.102396,0.108981,0.117039,0.131350,0.158221,0.212039,0.319682", \ "0.140879,0.149970,0.160914,0.180748,0.214609,0.269934,0.376448", \ "0.182103,0.193745,0.207844,0.233412,0.276933,0.347296,0.457935", \ "0.226129,0.240383,0.257711,0.288974,0.342644,0.429601,0.563358"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("0.025076,0.028912,0.033910,0.043912,0.063884,0.103878,0.183993", \ "0.025080,0.028912,0.033909,0.043903,0.063882,0.103882,0.183991", \ "0.024811,0.028670,0.033745,0.043833,0.063866,0.103873,0.183945", \ "0.030970,0.034362,0.038754,0.047137,0.064936,0.103829,0.183910", \ "0.043116,0.046674,0.051166,0.059680,0.076241,0.109308,0.184064", \ "0.060323,0.064537,0.069819,0.079067,0.095861,0.127835,0.192991", \ "0.081282,0.086453,0.092832,0.103795,0.122481,0.155098,0.217449"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("0.033760,0.039031,0.045865,0.059512,0.086719,0.140712,0.246987", \ "0.033758,0.039021,0.045844,0.059531,0.086754,0.140721,0.246979", \ "0.033678,0.038981,0.045841,0.059512,0.086717,0.140716,0.246942", \ "0.045562,0.048824,0.053300,0.063582,0.087512,0.140699,0.246940", \ "0.066782,0.071231,0.076749,0.086355,0.103593,0.145310,0.246896", \ "0.092442,0.098066,0.104989,0.116933,0.137410,0.171734,0.253407", \ "0.122527,0.129259,0.137485,0.151978,0.176584,0.216666,0.284353"); } } timing () { related_pin : "A"; when : "B & C1 & !C2"; sdf_cond : "(B == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("0.038510,0.043026,0.048879,0.060554,0.083836,0.130348,0.223318", \ "0.043017,0.047598,0.053512,0.065267,0.088634,0.135214,0.228230", \ "0.057631,0.062306,0.068305,0.080190,0.103740,0.150483,0.243628", \ "0.077824,0.084218,0.091847,0.105956,0.131360,0.178414,0.271817", \ "0.096085,0.105180,0.115749,0.134717,0.166826,0.220691,0.315556", \ "0.108441,0.120872,0.135111,0.160714,0.202793,0.269066,0.375603", \ "0.114136,0.130051,0.148326,0.181120,0.234815,0.317624,0.443066"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("0.044709,0.050071,0.056984,0.070699,0.097887,0.151896,0.259477", \ "0.049202,0.054648,0.061636,0.075468,0.102783,0.156903,0.264551", \ "0.067098,0.072556,0.079540,0.093375,0.120751,0.175018,0.282823", \ "0.100917,0.107613,0.115765,0.130240,0.157115,0.210864,0.318401", \ "0.138788,0.147986,0.159032,0.179113,0.213244,0.268777,0.375168", \ "0.179324,0.191149,0.205296,0.231158,0.275117,0.345880,0.456680", \ "0.222596,0.237075,0.254523,0.286142,0.340292,0.427709,0.561905"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("0.019321,0.023150,0.028174,0.038200,0.058224,0.098234,0.178207", \ "0.019324,0.023147,0.028168,0.038201,0.058229,0.098231,0.178199", \ "0.020016,0.023507,0.028252,0.038213,0.058229,0.098229,0.178199", \ "0.027672,0.030930,0.035168,0.043552,0.060425,0.098240,0.178190", \ "0.041168,0.044695,0.049103,0.057361,0.073284,0.105440,0.178646", \ "0.059370,0.063630,0.068889,0.077987,0.094302,0.125220,0.188949", \ "0.081326,0.086448,0.092756,0.103515,0.121894,0.153603,0.214593"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("0.030142,0.035101,0.041584,0.054544,0.080468,0.132164,0.235426", \ "0.030123,0.035100,0.041569,0.054539,0.080443,0.132175,0.235402", \ "0.030098,0.035050,0.041561,0.054547,0.080463,0.132163,0.235419", \ "0.041681,0.045145,0.049287,0.058820,0.081172,0.132161,0.235381", \ "0.060127,0.064748,0.070469,0.080372,0.097566,0.136895,0.235333", \ "0.081217,0.087403,0.094916,0.107567,0.128858,0.163407,0.241969", \ "0.104725,0.112534,0.121964,0.137888,0.164041,0.205488,0.273058"); } } timing () { related_pin : "A"; when : "B & C1 & C2"; sdf_cond : "(B == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("0.035000,0.038885,0.043919,0.053962,0.073996,0.114007,0.193982", \ "0.039573,0.043504,0.048592,0.058706,0.078809,0.118883,0.198897", \ "0.055304,0.059361,0.064530,0.074766,0.095024,0.135249,0.215381", \ "0.076637,0.082698,0.089801,0.102758,0.125452,0.166113,0.246492", \ "0.094835,0.103742,0.113992,0.132316,0.162937,0.212234,0.294874", \ "0.106850,0.118954,0.132885,0.157911,0.198951,0.262675,0.360308", \ "0.111920,0.127508,0.145443,0.177500,0.230194,0.311201,0.431005"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("0.048278,0.053618,0.060513,0.074195,0.101341,0.155300,0.262774", \ "0.052823,0.058236,0.065209,0.079012,0.106310,0.160407,0.268001", \ "0.070637,0.076063,0.083037,0.096840,0.124201,0.178451,0.286215", \ "0.105388,0.111781,0.119607,0.133630,0.160488,0.214231,0.321727", \ "0.144954,0.153751,0.164354,0.183810,0.217093,0.272089,0.378478", \ "0.187259,0.198584,0.212251,0.237241,0.280185,0.349871,0.459986", \ "0.232391,0.246266,0.263132,0.293742,0.346650,0.432728,0.565659"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("0.017339,0.020614,0.024885,0.033407,0.050415,0.084343,0.152151", \ "0.017341,0.020618,0.024901,0.033410,0.050403,0.084352,0.152141", \ "0.018244,0.021133,0.025065,0.033423,0.050402,0.084337,0.152154", \ "0.027393,0.030076,0.033552,0.040207,0.053490,0.084480,0.152135", \ "0.042151,0.045311,0.049235,0.056339,0.069353,0.094471,0.153197", \ "0.061316,0.065165,0.069958,0.078326,0.092807,0.118359,0.167786", \ "0.084341,0.088909,0.094666,0.104665,0.121713,0.149945,0.199507"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("0.031796,0.036783,0.043311,0.056334,0.082322,0.134105,0.237369", \ "0.031782,0.036778,0.043302,0.056330,0.082315,0.134112,0.237383", \ "0.031735,0.036767,0.043294,0.056348,0.082321,0.134121,0.237374", \ "0.042167,0.045520,0.049937,0.059897,0.083001,0.134100,0.237362", \ "0.060806,0.065367,0.070998,0.080889,0.098196,0.138316,0.237373", \ "0.082154,0.088219,0.095557,0.108139,0.129352,0.164027,0.243516", \ "0.105992,0.113616,0.122882,0.138710,0.164718,0.206049,0.273938"); } } timing () { related_pin : "B"; when : "A & !C1 & C2"; sdf_cond : "(A == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("0.048701,0.053562,0.059770,0.071963,0.095894,0.143074,0.236657", \ "0.053535,0.058438,0.064686,0.076938,0.100934,0.148172,0.241797", \ "0.066855,0.071759,0.078035,0.090354,0.114467,0.161837,0.255573", \ "0.086510,0.092051,0.098936,0.112124,0.136859,0.184314,0.278196", \ "0.107778,0.114511,0.122658,0.138062,0.166195,0.217460,0.312185", \ "0.126854,0.135495,0.145722,0.164711,0.198257,0.256324,0.358662", \ "0.139977,0.151303,0.164453,0.188659,0.229899,0.297733,0.411252"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("0.051491,0.056988,0.064052,0.078019,0.105571,0.160034,0.268110", \ "0.056069,0.061604,0.068703,0.082721,0.110334,0.164849,0.272992", \ "0.074092,0.079692,0.086818,0.100863,0.128513,0.183087,0.291311", \ "0.110149,0.116361,0.123911,0.137708,0.164929,0.219127,0.327026", \ "0.152288,0.160800,0.171001,0.189888,0.222386,0.277095,0.383961", \ "0.197475,0.208370,0.221551,0.245718,0.287582,0.355979,0.465523", \ "0.245630,0.258975,0.275225,0.304904,0.356359,0.440737,0.571945"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("0.025089,0.028915,0.033913,0.043909,0.063887,0.103881,0.183944", \ "0.025080,0.028913,0.033913,0.043906,0.063887,0.103875,0.183946", \ "0.024894,0.028754,0.033799,0.043864,0.063878,0.103885,0.183940", \ "0.028810,0.032478,0.037207,0.046377,0.064870,0.103839,0.183908", \ "0.036173,0.039904,0.044741,0.054171,0.072591,0.108593,0.184377", \ "0.049001,0.052785,0.057657,0.066944,0.085069,0.121042,0.192184", \ "0.067335,0.071526,0.076865,0.086326,0.103971,0.138825,0.209316"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("0.037790,0.043016,0.049820,0.063484,0.090682,0.144723,0.251034", \ "0.037778,0.043010,0.049819,0.063491,0.090682,0.144715,0.251054", \ "0.037633,0.042947,0.049792,0.063474,0.090705,0.144715,0.251050", \ "0.046028,0.049597,0.054692,0.065842,0.090903,0.144725,0.251020", \ "0.066825,0.071283,0.076774,0.086466,0.104409,0.147958,0.251012", \ "0.091796,0.097405,0.104412,0.116447,0.137245,0.172338,0.256369", \ "0.120784,0.127653,0.136071,0.150891,0.175918,0.216470,0.285588"); } } timing () { related_pin : "B"; when : "A & C1 & !C2"; sdf_cond : "(A == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("0.040902,0.045409,0.051261,0.062934,0.086221,0.132728,0.225701", \ "0.045636,0.050208,0.056125,0.067877,0.091245,0.137822,0.230837", \ "0.058630,0.063296,0.069290,0.081171,0.104717,0.151459,0.244606", \ "0.076111,0.081711,0.088660,0.101948,0.126881,0.173886,0.267217", \ "0.093675,0.100966,0.109586,0.125589,0.154501,0.206362,0.301167", \ "0.106527,0.116558,0.128085,0.148850,0.184172,0.243744,0.347066", \ "0.111667,0.125154,0.140408,0.167726,0.212411,0.283087,0.398492"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("0.050314,0.055825,0.062905,0.076877,0.104429,0.158840,0.266811", \ "0.054884,0.060438,0.067555,0.081578,0.109176,0.163651,0.271675", \ "0.072922,0.078529,0.085670,0.099718,0.127359,0.181906,0.289990", \ "0.108763,0.115055,0.122704,0.136591,0.163797,0.217930,0.325739", \ "0.150317,0.158940,0.169293,0.188351,0.221089,0.275862,0.382685", \ "0.194862,0.205912,0.219224,0.243640,0.285821,0.354554,0.464256", \ "0.242341,0.255862,0.272237,0.302152,0.354038,0.438874,0.570496"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("0.019330,0.023151,0.028168,0.038202,0.058228,0.098246,0.178206", \ "0.019320,0.023152,0.028170,0.038211,0.058225,0.098244,0.178201", \ "0.019683,0.023321,0.028207,0.038200,0.058229,0.098233,0.178195", \ "0.024191,0.027845,0.032576,0.041866,0.060002,0.098303,0.178192", \ "0.032806,0.036381,0.041073,0.050258,0.068438,0.104172,0.178969", \ "0.047334,0.051010,0.055774,0.064556,0.081904,0.117143,0.187678", \ "0.067314,0.071460,0.076629,0.085728,0.102390,0.135836,0.205294"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("0.033823,0.038779,0.045261,0.058251,0.084220,0.135979,0.239358", \ "0.033810,0.038790,0.045264,0.058249,0.084212,0.135981,0.239354", \ "0.033644,0.038701,0.045236,0.058238,0.084185,0.135998,0.239344", \ "0.042350,0.045651,0.050370,0.060769,0.084500,0.135968,0.239336", \ "0.060446,0.065020,0.070691,0.080628,0.098205,0.139430,0.239337", \ "0.081214,0.087318,0.094685,0.107420,0.128795,0.163858,0.244812", \ "0.104284,0.112007,0.121387,0.137365,0.163721,0.205451,0.274170"); } } timing () { related_pin : "B"; when : "A & C1 & C2"; sdf_cond : "(A == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("0.037466,0.041344,0.046379,0.056419,0.076452,0.116463,0.196439", \ "0.042268,0.046206,0.051296,0.061407,0.081510,0.121581,0.201595", \ "0.055744,0.059777,0.064939,0.075162,0.095420,0.135639,0.215769", \ "0.074128,0.079206,0.085452,0.097293,0.119252,0.159966,0.240266", \ "0.092429,0.099367,0.107525,0.122368,0.148751,0.195075,0.277705", \ "0.105557,0.115285,0.126500,0.146528,0.179992,0.234845,0.327275", \ "0.110770,0.123848,0.138839,0.165508,0.208923,0.276121,0.381939"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("0.054453,0.059909,0.066920,0.080804,0.108231,0.162518,0.270359", \ "0.059044,0.064544,0.071596,0.085531,0.113032,0.167383,0.275273", \ "0.077086,0.082647,0.089716,0.103682,0.131204,0.185628,0.293599", \ "0.113505,0.119503,0.126838,0.140460,0.167641,0.221661,0.329341", \ "0.156760,0.165007,0.174901,0.193369,0.225304,0.279608,0.386273", \ "0.203078,0.213659,0.226506,0.250084,0.291251,0.358863,0.467897", \ "0.252410,0.265371,0.281179,0.310175,0.360806,0.444265,0.574551"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("0.017343,0.020619,0.024896,0.033419,0.050419,0.084342,0.152149", \ "0.017343,0.020619,0.024888,0.033426,0.050419,0.084339,0.152141", \ "0.017876,0.020936,0.024989,0.033420,0.050408,0.084353,0.152150", \ "0.022919,0.026004,0.029973,0.037744,0.052819,0.084664,0.152135", \ "0.032599,0.035654,0.039608,0.047294,0.062359,0.092108,0.153671", \ "0.048234,0.051499,0.055580,0.063140,0.077547,0.106526,0.164903", \ "0.069227,0.072890,0.077479,0.085529,0.099904,0.127532,0.184504"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("0.035271,0.040282,0.046844,0.059963,0.086054,0.138004,0.241526", \ "0.035272,0.040279,0.046847,0.059954,0.086057,0.137998,0.241523", \ "0.035215,0.040273,0.046834,0.059959,0.086052,0.138010,0.241520", \ "0.042564,0.046125,0.051132,0.061975,0.086147,0.138009,0.241515", \ "0.061070,0.065592,0.071206,0.081144,0.098988,0.140955,0.241521", \ "0.082170,0.088165,0.095436,0.108099,0.129402,0.164630,0.246578", \ "0.105608,0.113191,0.122358,0.138207,0.164426,0.206135,0.275262"); } } timing () { related_pin : "C1"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("0.0284261,0.0329542,0.0388148,0.0504949,0.0737836,0.120275,0.213198", \ "0.0326348,0.0372318,0.0431689,0.0549382,0.0783243,0.124898,0.217882", \ "0.0475648,0.0524087,0.0581891,0.0699532,0.0933866,0.140045,0.233089", \ "0.0645446,0.0720910,0.0810463,0.0967554,0.122925,0.169171,0.261965", \ "0.0758899,0.0865113,0.0990648,0.121088,0.157483,0.214649,0.307874", \ "0.0803440,0.0942163,0.110412,0.139281,0.186809,0.261046,0.372843", \ "0.0770685,0.0942651,0.114416,0.150135,0.209379,0.301874,0.440142"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("0.0746042,0.0868426,0.102628,0.133821,0.195798,0.319215,0.565535", \ "0.0762470,0.0887906,0.104906,0.136633,0.199242,0.323208,0.569939", \ "0.0894262,0.101714,0.117646,0.149325,0.212206,0.336842,0.584303", \ "0.122418,0.134354,0.149536,0.180173,0.241936,0.365905,0.613297", \ "0.162850,0.178958,0.198249,0.232717,0.293275,0.415165,0.661032", \ "0.207244,0.227141,0.250924,0.293606,0.366231,0.488229,0.731211", \ "0.256167,0.279703,0.307954,0.358727,0.445519,0.586479,0.827239"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("0.0192985,0.0231466,0.0281809,0.0382092,0.0582364,0.0982280,0.178189", \ "0.0192835,0.0231481,0.0281701,0.0381996,0.0582267,0.0982299,0.178188", \ "0.0213061,0.0242617,0.0285439,0.0380207,0.0582234,0.0982264,0.178185", \ "0.0349078,0.0380051,0.0417587,0.0488246,0.0627800,0.0982363,0.178188", \ "0.0531961,0.0573606,0.0623405,0.0708226,0.0857069,0.112219,0.178990", \ "0.0754922,0.0808335,0.0873708,0.0980806,0.116155,0.145879,0.198672", \ "0.101680,0.108330,0.116350,0.129779,0.151810,0.186890,0.243209"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("0.0543862,0.0652227,0.0792712,0.107252,0.163289,0.275278,0.499053", \ "0.0543871,0.0652233,0.0792310,0.107245,0.163319,0.275270,0.499041", \ "0.0543314,0.0652115,0.0792312,0.107255,0.163257,0.275284,0.499042", \ "0.0611563,0.0692593,0.0809340,0.107147,0.163263,0.275276,0.499051", \ "0.0821849,0.0905422,0.100713,0.119388,0.165555,0.275232,0.499035", \ "0.105606,0.115559,0.127787,0.149416,0.187707,0.278929,0.499036", \ "0.131968,0.143607,0.157966,0.183276,0.227191,0.305091,0.499896"); } } timing () { related_pin : "C2"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("0.0358250,0.0406774,0.0468711,0.0590338,0.0829242,0.130061,0.223554", \ "0.0395037,0.0443692,0.0505821,0.0627666,0.0866757,0.133816,0.227350", \ "0.0543368,0.0590558,0.0652725,0.0774775,0.101385,0.148515,0.242015", \ "0.0768953,0.0835290,0.0916294,0.106098,0.130883,0.177653,0.270864", \ "0.0949213,0.104181,0.115155,0.135247,0.169209,0.224012,0.316832", \ "0.106609,0.118658,0.132843,0.158942,0.203068,0.273700,0.382421", \ "0.111170,0.126054,0.143757,0.175960,0.230710,0.318416,0.452407"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("0.0887055,0.100809,0.116428,0.147492,0.209344,0.332660,0.578922", \ "0.0930627,0.105417,0.121303,0.152745,0.215033,0.338763,0.585328", \ "0.109436,0.121732,0.137654,0.169277,0.232028,0.356410,0.603623", \ "0.139164,0.151224,0.166809,0.198147,0.260637,0.385160,0.632791", \ "0.176353,0.191044,0.208769,0.242455,0.304834,0.428984,0.676530", \ "0.217812,0.235248,0.256556,0.295601,0.365845,0.491658,0.738833", \ "0.264568,0.285172,0.309924,0.355152,0.434992,0.573582,0.821835"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("0.0253331,0.0290903,0.0340412,0.0439612,0.0638982,0.103903,0.183939", \ "0.0253342,0.0290836,0.0340362,0.0439619,0.0638965,0.103890,0.183984", \ "0.0255290,0.0290377,0.0338182,0.0439582,0.0639409,0.103877,0.183934", \ "0.0377162,0.0407791,0.0445075,0.0515771,0.0667645,0.103882,0.183917", \ "0.0556032,0.0596694,0.0646027,0.0730259,0.0879559,0.115370,0.184367", \ "0.0770243,0.0824000,0.0889828,0.0997824,0.117958,0.147907,0.202004", \ "0.101620,0.108445,0.116750,0.130479,0.152969,0.188502,0.245270"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("0.0543791,0.0652283,0.0792261,0.107256,0.163303,0.275276,0.499052", \ "0.0543805,0.0652317,0.0792357,0.107273,0.163302,0.275286,0.499051", \ "0.0543827,0.0652286,0.0792426,0.107249,0.163309,0.275268,0.499047", \ "0.0564820,0.0662687,0.0794393,0.107236,0.163275,0.275289,0.499047", \ "0.0690204,0.0783844,0.0900205,0.113173,0.164300,0.275250,0.499043", \ "0.0853017,0.0950650,0.107515,0.131332,0.177175,0.277594,0.499082", \ "0.105917,0.116019,0.129124,0.153745,0.201471,0.293484,0.500079"); } } internal_power () { related_pin : "A"; when : "B & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("1.693670,1.708090,1.731634,1.760206,1.781404,1.822445,1.869040", \ "1.647669,1.671105,1.688913,1.732126,1.774151,1.813772,1.864330", \ "1.551195,1.582159,1.609275,1.657867,1.701354,1.768494,1.831656", \ "1.499532,1.517934,1.548464,1.573991,1.647654,1.726986,1.798183", \ "1.637624,1.629326,1.619874,1.617840,1.628263,1.695909,1.769036", \ "1.868621,1.846493,1.826117,1.800336,1.770542,1.732847,1.779230", \ "2.241926,2.219240,2.174221,2.128760,2.045531,1.951055,1.869013"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("7.000565,7.016559,7.038717,7.075330,7.060834,7.086961,7.210906", \ "6.910786,6.936423,6.948612,7.003678,7.015787,7.021451,7.151588", \ "6.763984,6.800050,6.816447,6.868385,6.942657,7.008662,7.032906", \ "6.860619,6.862877,6.857486,6.893427,6.907762,6.957975,7.110091", \ "7.140389,7.161630,7.135390,7.123650,7.123145,7.081528,7.069065", \ "7.482247,7.482322,7.504693,7.529593,7.484818,7.342424,7.259925", \ "8.110061,8.106170,8.092254,8.052431,8.062873,7.916223,7.670864"); } } internal_power () { related_pin : "A"; when : "B & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("1.029362,1.027094,1.032938,1.027953,1.027308,1.034214,1.033187", \ "0.960205,0.977974,0.982771,1.002529,1.002348,1.020442,1.024297", \ "0.830740,0.857791,0.873858,0.906927,0.941296,0.978726,1.000276", \ "0.801053,0.790898,0.799830,0.829757,0.871365,0.923244,0.969965", \ "0.934953,0.922053,0.902027,0.873022,0.846996,0.888642,0.937285", \ "1.181615,1.147404,1.112127,1.070591,1.009914,0.930022,0.947880", \ "1.596855,1.552424,1.496560,1.412470,1.300159,1.160409,1.039757"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("6.049189,6.053348,6.064129,6.075215,6.139088,6.177966,6.276823", \ "5.935631,5.969370,6.010576,6.036932,6.097703,6.110720,6.215886", \ "5.794538,5.835217,5.859775,5.891994,5.942329,6.101132,6.098020", \ "5.895547,5.913697,5.906042,5.918588,5.905294,6.049620,6.046178", \ "6.065137,6.096340,6.172674,6.173763,6.194131,6.173467,6.133825", \ "6.422019,6.436294,6.470501,6.495659,6.561047,6.433044,6.401690", \ "7.058461,7.061200,7.048397,7.063807,7.061174,7.005152,6.734700"); } } internal_power () { related_pin : "A"; when : "B & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("1.022676,1.030117,1.027784,1.036882,1.030318,1.031032,1.036490", \ "0.964539,0.970353,0.986481,0.993052,1.016339,1.027036,1.032883", \ "0.834467,0.860950,0.881043,0.905959,0.949746,0.984471,1.007329", \ "0.803670,0.796868,0.793806,0.830685,0.878051,0.925647,0.969301", \ "0.937833,0.926446,0.908564,0.880481,0.855148,0.893944,0.940970", \ "1.186095,1.159764,1.122941,1.085842,1.019092,0.947514,0.951932", \ "1.618199,1.568402,1.516760,1.435845,1.314523,1.180024,1.052410"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("6.515746,6.523883,6.551135,6.510489,6.535006,6.674678,6.744659", \ "6.415179,6.440515,6.476879,6.498311,6.576590,6.621246,6.697500", \ "6.267193,6.298577,6.323324,6.388491,6.413828,6.575866,6.574728", \ "6.361365,6.372940,6.360665,6.413233,6.459937,6.519169,6.516325", \ "6.542767,6.586591,6.615804,6.649801,6.655776,6.640763,6.602703", \ "6.897493,6.905802,6.917580,6.980571,7.022616,6.908376,6.796125", \ "7.522677,7.531846,7.534949,7.541148,7.513551,7.477439,7.213086"); } } internal_power () { related_pin : "B"; when : "A & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("1.701260,1.710734,1.734574,1.760919,1.794398,1.831868,1.869104", \ "1.652096,1.670046,1.697321,1.719195,1.767619,1.813466,1.859402", \ "1.555732,1.582486,1.607496,1.647351,1.695841,1.780566,1.838416", \ "1.494358,1.511693,1.535662,1.577770,1.645704,1.722889,1.795473", \ "1.610173,1.608055,1.607416,1.610700,1.598126,1.677579,1.758682", \ "1.776335,1.766438,1.760229,1.748648,1.733554,1.695890,1.760922", \ "2.066362,2.053643,2.027901,1.999502,1.959470,1.895026,1.819317"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("7.847665,7.858769,7.875984,7.868401,7.944690,7.999618,8.147614", \ "7.756023,7.780882,7.813437,7.802144,7.856798,7.914984,8.067703", \ "7.644002,7.677290,7.695516,7.710173,7.840005,7.916102,8.014092", \ "7.749430,7.754387,7.750694,7.792995,7.824267,7.887664,8.048414", \ "8.042658,8.053545,8.032424,8.021954,7.997049,8.029584,8.026640", \ "8.401508,8.416766,8.431600,8.441948,8.380631,8.296377,8.358532", \ "9.035584,9.034572,9.014375,9.030360,8.990937,8.874090,8.779872"); } } internal_power () { related_pin : "B"; when : "A & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("1.026294,1.029950,1.029288,1.036703,1.035852,1.037864,1.037132", \ "0.964785,0.977261,0.984285,0.995270,1.008482,1.026064,1.030578", \ "0.828937,0.853085,0.871878,0.898759,0.941200,0.984515,1.006212", \ "0.797620,0.782976,0.781997,0.811207,0.862638,0.918382,0.964280", \ "0.898992,0.892512,0.879626,0.864540,0.830745,0.878417,0.927009", \ "1.068735,1.054102,1.040460,1.005152,0.970669,0.903788,0.927278", \ "1.382402,1.350949,1.319226,1.270339,1.193905,1.100309,0.987579"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("6.834130,6.869126,6.896537,6.959807,7.038631,7.083101,7.209741", \ "6.728500,6.786541,6.812292,6.887003,6.959585,7.027215,7.127043", \ "6.634402,6.681095,6.731619,6.769708,6.827041,7.002063,7.014431", \ "6.730300,6.752071,6.785634,6.809407,6.857057,6.970836,7.111314", \ "6.951776,7.009117,7.071268,7.051868,7.101864,7.108441,7.087514", \ "7.309991,7.346956,7.345437,7.432229,7.478287,7.382590,7.291552", \ "7.952257,7.973761,7.976329,7.965973,8.020595,7.957499,7.712235"); } } internal_power () { related_pin : "B"; when : "A & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("1.029549,1.028035,1.031517,1.024587,1.031827,1.036874,1.038141", \ "0.967799,0.975627,0.982561,1.001204,1.004987,1.020918,1.028465", \ "0.835435,0.857150,0.873563,0.902495,0.947401,0.982344,1.006686", \ "0.800208,0.796705,0.788335,0.816922,0.871315,0.924746,0.969688", \ "0.897806,0.890065,0.882413,0.873022,0.845782,0.873991,0.935097", \ "1.068692,1.051314,1.040270,1.016526,0.975753,0.928710,0.928480", \ "1.379788,1.355751,1.319489,1.270040,1.201552,1.115985,1.006221"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("7.348879,7.377575,7.396414,7.406296,7.480930,7.574541,7.696630", \ "7.244848,7.277154,7.328156,7.372497,7.459245,7.493393,7.620340", \ "7.148316,7.191724,7.215520,7.306618,7.336273,7.498409,7.507060", \ "7.236786,7.258208,7.285494,7.290883,7.391418,7.506110,7.603181", \ "7.471077,7.514040,7.569446,7.576079,7.601402,7.634274,7.580231", \ "7.825235,7.853249,7.885904,7.919927,7.973421,7.967287,7.922947", \ "8.465642,8.482227,8.473471,8.474920,8.502163,8.503982,8.350115"); } } internal_power () { related_pin : "C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("1.060734,1.056502,1.056812,1.071359,1.076304,1.071772,1.079328", \ "1.050654,1.059908,1.077811,1.096977,1.099061,1.124823,1.132018", \ "0.981902,1.008134,1.026615,1.047603,1.084712,1.121172,1.151199", \ "1.066644,1.039774,1.024970,1.029945,1.069634,1.111630,1.140191", \ "1.326959,1.286075,1.260335,1.202732,1.132624,1.142958,1.160056", \ "1.737071,1.679420,1.633365,1.534548,1.417407,1.279757,1.244150", \ "2.316362,2.250260,2.186283,2.054853,1.868237,1.640567,1.432587"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("4.328977,4.345188,4.345502,4.368569,4.356307,4.360377,4.358632", \ "4.218584,4.249480,4.276353,4.313399,4.359380,4.368459,4.381381", \ "4.100880,4.118155,4.140323,4.178125,4.252417,4.307335,4.349411", \ "4.183250,4.173321,4.178808,4.163149,4.207599,4.247344,4.294600", \ "4.469163,4.439044,4.421917,4.375045,4.302219,4.289578,4.299828", \ "4.769746,4.796073,4.824577,4.748209,4.630919,4.496212,4.443396", \ "5.299791,5.300329,5.290631,5.295610,5.158735,4.990024,4.736058"); } } internal_power () { related_pin : "C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("1.674079,1.678729,1.705400,1.729738,1.748482,1.789397,1.825433", \ "1.602311,1.617475,1.619394,1.653758,1.682510,1.721776,1.762639", \ "1.516139,1.535140,1.543474,1.573177,1.628470,1.670700,1.714972", \ "1.549292,1.536686,1.548214,1.585697,1.625713,1.661988,1.702558", \ "1.826680,1.807703,1.782252,1.731444,1.692081,1.717783,1.732862", \ "2.234856,2.199750,2.159388,2.087710,1.986976,1.857616,1.831511", \ "2.809392,2.762454,2.701366,2.603927,2.449768,2.240825,2.047585"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("5.480745,5.498651,5.491435,5.512754,5.503499,5.505973,5.503465", \ "5.417328,5.445015,5.460818,5.479374,5.482875,5.500363,5.507249", \ "5.276245,5.292994,5.322356,5.325338,5.422042,5.463265,5.496279", \ "5.211367,5.222755,5.216056,5.259192,5.302283,5.372731,5.423939", \ "5.262823,5.257594,5.249105,5.246738,5.274158,5.305302,5.348862", \ "5.243222,5.305427,5.387010,5.394411,5.337563,5.324121,5.334992", \ "5.455329,5.473025,5.505863,5.562485,5.580443,5.499540,5.445631"); } } } } /****************************************************************************************** Module : OAI211_X4 Cell Description : Combinational cell (OAI211_X4) with drive strength X4 *******************************************************************************************/ cell (OAI211_X4) { drive_strength : 4; area : 4.522000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 51.117114; leakage_power () { when : "!A & !B & !C1 & !C2"; value : 12.443955; } leakage_power () { when : "!A & !B & !C1 & C2"; value : 13.906239; } leakage_power () { when : "!A & !B & C1 & !C2"; value : 13.906260; } leakage_power () { when : "!A & !B & C1 & C2"; value : 9.979611; } leakage_power () { when : "!A & B & !C1 & !C2"; value : 37.451850; } leakage_power () { when : "!A & B & !C1 & C2"; value : 55.237715; } leakage_power () { when : "!A & B & C1 & !C2"; value : 55.237734; } leakage_power () { when : "!A & B & C1 & C2"; value : 52.639085; } leakage_power () { when : "A & !B & !C1 & !C2"; value : 18.379982; } leakage_power () { when : "A & !B & !C1 & C2"; value : 33.322815; } leakage_power () { when : "A & !B & C1 & !C2"; value : 33.322836; } leakage_power () { when : "A & !B & C1 & C2"; value : 29.807166; } leakage_power () { when : "A & B & !C1 & !C2"; value : 139.188680; } leakage_power () { when : "A & B & !C1 & C2"; value : 97.889235; } leakage_power () { when : "A & B & C1 & !C2"; value : 103.352875; } leakage_power () { when : "A & B & C1 & C2"; value : 111.807780; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 6.260952; fall_capacitance : 5.779745; rise_capacitance : 6.260952; } pin (B) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 6.326381; fall_capacitance : 5.684722; rise_capacitance : 6.326381; } pin (C1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 6.090571; fall_capacitance : 5.427213; rise_capacitance : 6.090571; } pin (C2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 6.229122; fall_capacitance : 6.160724; rise_capacitance : 6.229122; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 101.443100; function : "!(((C1 | C2) & A) & B)"; timing () { related_pin : "A"; when : "B & !C1 & C2"; sdf_cond : "(B == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("0.046142,0.051745,0.057969,0.070186,0.094170,0.141458,0.235256", \ "0.050745,0.056397,0.062664,0.074939,0.098989,0.146334,0.240169", \ "0.065751,0.071383,0.077666,0.090008,0.114181,0.161658,0.255594", \ "0.089571,0.096373,0.103601,0.117162,0.141969,0.189625,0.283782", \ "0.113870,0.123000,0.132396,0.149688,0.180051,0.232552,0.327489", \ "0.133818,0.145971,0.158467,0.181258,0.219938,0.283246,0.388006", \ "0.147517,0.163073,0.178939,0.208018,0.257044,0.335135,0.457198"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("0.045635,0.051814,0.058743,0.072495,0.099763,0.153925,0.261768", \ "0.050150,0.056407,0.063412,0.077269,0.104661,0.158938,0.266854", \ "0.068021,0.074339,0.081327,0.095183,0.122639,0.177042,0.285120", \ "0.102119,0.109778,0.117735,0.132002,0.158931,0.212880,0.320712", \ "0.140496,0.150928,0.161877,0.181598,0.215301,0.270689,0.377466", \ "0.181576,0.194996,0.209056,0.234472,0.277967,0.348234,0.458945", \ "0.225475,0.241877,0.259120,0.290361,0.343924,0.430733,0.564444"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("0.024866,0.029277,0.034286,0.044297,0.064329,0.104439,0.184695", \ "0.024862,0.029275,0.034290,0.044302,0.064331,0.104428,0.184688", \ "0.024603,0.029040,0.034128,0.044228,0.064319,0.104433,0.184679", \ "0.030736,0.034615,0.038989,0.047435,0.065350,0.104383,0.184675", \ "0.042849,0.046870,0.051353,0.059890,0.076491,0.109771,0.184811", \ "0.059965,0.064793,0.069971,0.079212,0.096036,0.128107,0.193622", \ "0.080864,0.086783,0.093084,0.103946,0.122629,0.155276,0.217871"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("0.033492,0.039525,0.046380,0.060098,0.087394,0.141549,0.248049", \ "0.033491,0.039527,0.046377,0.060083,0.087395,0.141551,0.248044", \ "0.033407,0.039498,0.046373,0.060089,0.087382,0.141550,0.248044", \ "0.045330,0.049049,0.053566,0.064007,0.088017,0.141552,0.248051", \ "0.066487,0.071530,0.076865,0.086553,0.103905,0.145985,0.248036", \ "0.092086,0.098394,0.105169,0.117134,0.137743,0.172230,0.254399", \ "0.122056,0.129694,0.137888,0.152325,0.176969,0.217127,0.285164"); } } timing () { related_pin : "A"; when : "B & C1 & !C2"; sdf_cond : "(B == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("0.038341,0.043547,0.049418,0.061119,0.084464,0.131088,0.224277", \ "0.042849,0.048125,0.054057,0.065842,0.089269,0.135957,0.229194", \ "0.057459,0.062850,0.068868,0.080791,0.104398,0.151250,0.244612", \ "0.077533,0.084860,0.092501,0.106585,0.131970,0.179155,0.272769", \ "0.095552,0.106067,0.116603,0.135488,0.167504,0.221346,0.316407", \ "0.107659,0.121961,0.136297,0.161736,0.203626,0.269729,0.376352", \ "0.113056,0.131377,0.149777,0.182400,0.235887,0.318453,0.443798"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("0.044508,0.050695,0.057627,0.071378,0.098629,0.152739,0.260490", \ "0.049012,0.055282,0.062293,0.076146,0.103525,0.157749,0.265575", \ "0.066901,0.073222,0.080211,0.094063,0.121497,0.175860,0.283856", \ "0.100639,0.108416,0.116477,0.130904,0.157818,0.211702,0.319437", \ "0.138400,0.148962,0.160075,0.180001,0.213959,0.269522,0.376195", \ "0.178785,0.192388,0.206590,0.232304,0.276132,0.346786,0.457680", \ "0.221928,0.238579,0.255947,0.287524,0.341546,0.428853,0.562984"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("0.019067,0.023487,0.028528,0.038585,0.058662,0.098768,0.178937", \ "0.019073,0.023493,0.028530,0.038587,0.058663,0.098770,0.178944", \ "0.019784,0.023820,0.028603,0.038584,0.058662,0.098767,0.178940", \ "0.027396,0.031144,0.035382,0.043819,0.060804,0.098779,0.178932", \ "0.040835,0.044879,0.049301,0.057531,0.073534,0.105862,0.179381", \ "0.058980,0.063864,0.069025,0.078121,0.094427,0.125484,0.189560", \ "0.080895,0.086757,0.092927,0.103678,0.122008,0.153769,0.215003"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("0.029845,0.035550,0.042059,0.055059,0.081025,0.132872,0.236354", \ "0.029844,0.035545,0.042049,0.055061,0.081047,0.132871,0.236347", \ "0.029821,0.035516,0.042046,0.055055,0.081037,0.132879,0.236358", \ "0.041418,0.045330,0.049516,0.059162,0.081762,0.132884,0.236344", \ "0.059766,0.065049,0.070608,0.080575,0.097801,0.137451,0.236325", \ "0.080761,0.087798,0.095089,0.107758,0.129063,0.163745,0.242810", \ "0.104139,0.113117,0.122381,0.138178,0.164384,0.205850,0.273724"); } } timing () { related_pin : "A"; when : "B & C1 & C2"; sdf_cond : "(B == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("0.034861,0.039339,0.044387,0.054453,0.074532,0.114625,0.194766", \ "0.039420,0.043964,0.049066,0.059200,0.079351,0.119504,0.199681", \ "0.055152,0.059827,0.065014,0.075277,0.095584,0.135887,0.216176", \ "0.076336,0.083295,0.090407,0.103331,0.125976,0.166717,0.247256", \ "0.094323,0.104589,0.114827,0.133061,0.163551,0.212774,0.295534", \ "0.106101,0.120026,0.134027,0.158913,0.199786,0.263309,0.360917", \ "0.110875,0.128791,0.146759,0.178716,0.231216,0.312016,0.431652"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("0.048106,0.054262,0.061172,0.074888,0.102096,0.156157,0.263840", \ "0.052641,0.058890,0.065881,0.079713,0.107066,0.161262,0.269052", \ "0.070454,0.076748,0.083729,0.097552,0.124971,0.179313,0.287282", \ "0.105132,0.112550,0.120319,0.134293,0.161200,0.215076,0.322796", \ "0.144574,0.154713,0.165370,0.184701,0.217850,0.272883,0.379511", \ "0.186780,0.199803,0.213446,0.238398,0.281205,0.350794,0.461016", \ "0.231800,0.247753,0.264521,0.295105,0.347904,0.433888,0.566744"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("0.017140,0.020918,0.025207,0.033755,0.050779,0.084796,0.152764", \ "0.017145,0.020919,0.025208,0.033739,0.050779,0.084796,0.152770", \ "0.018060,0.021398,0.025350,0.033755,0.050784,0.084798,0.152766", \ "0.027161,0.030259,0.033708,0.040390,0.053789,0.084926,0.152761", \ "0.041858,0.045492,0.049396,0.056490,0.069532,0.094775,0.153805", \ "0.060985,0.065402,0.070125,0.078450,0.092925,0.118543,0.168231", \ "0.083982,0.089222,0.094881,0.104843,0.121845,0.150088,0.199777"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("0.031498,0.037244,0.043799,0.056880,0.082931,0.134847,0.238367", \ "0.031513,0.037247,0.043799,0.056885,0.082918,0.134851,0.238369", \ "0.031460,0.037238,0.043792,0.056872,0.082945,0.134839,0.238365", \ "0.041923,0.045717,0.050190,0.060253,0.083423,0.134846,0.238367", \ "0.060455,0.065661,0.071222,0.081143,0.098499,0.138934,0.238364", \ "0.081736,0.088626,0.095842,0.108428,0.129638,0.164426,0.244391", \ "0.105446,0.114227,0.123318,0.138972,0.164995,0.206433,0.274614"); } } timing () { related_pin : "B"; when : "A & !C1 & C2"; sdf_cond : "(A == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("0.048506,0.054116,0.060338,0.072554,0.096537,0.143824,0.237615", \ "0.053331,0.058985,0.065252,0.077527,0.101576,0.148922,0.242756", \ "0.066649,0.072309,0.078598,0.090942,0.115112,0.162592,0.256529", \ "0.086274,0.092636,0.099513,0.112709,0.137476,0.185054,0.279149", \ "0.107466,0.115193,0.123306,0.138590,0.166844,0.218155,0.313084", \ "0.126327,0.136333,0.146586,0.165545,0.198935,0.257023,0.359485", \ "0.139276,0.152323,0.165535,0.189722,0.230743,0.298430,0.412022"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("0.051263,0.057601,0.064679,0.078681,0.106290,0.160859,0.269123", \ "0.055841,0.062216,0.069337,0.083383,0.111051,0.165665,0.273977", \ "0.073876,0.080341,0.087476,0.101541,0.129243,0.183921,0.292294", \ "0.109864,0.117026,0.124583,0.138366,0.165644,0.219946,0.328062", \ "0.151887,0.161674,0.171951,0.190713,0.223135,0.277874,0.384960", \ "0.196950,0.209483,0.222630,0.246781,0.288528,0.356864,0.466519", \ "0.244989,0.260335,0.276516,0.306084,0.357514,0.441799,0.572977"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("0.024869,0.029276,0.034286,0.044302,0.064333,0.104430,0.184689", \ "0.024863,0.029279,0.034289,0.044299,0.064336,0.104437,0.184688", \ "0.024689,0.029121,0.034188,0.044257,0.064328,0.104426,0.184682", \ "0.028578,0.032795,0.037524,0.046713,0.065284,0.104395,0.184670", \ "0.035905,0.040172,0.045014,0.054455,0.072952,0.109079,0.185121", \ "0.048689,0.052987,0.057826,0.067125,0.085339,0.121458,0.192851", \ "0.066957,0.071760,0.077020,0.086437,0.104134,0.139126,0.209896"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("0.037542,0.043530,0.050377,0.064086,0.091357,0.145560,0.252138", \ "0.037520,0.043524,0.050378,0.064080,0.091369,0.145555,0.252148", \ "0.037367,0.043469,0.050356,0.064083,0.091370,0.145554,0.252141", \ "0.045849,0.049891,0.055053,0.066330,0.091440,0.145555,0.252148", \ "0.066542,0.071584,0.076972,0.086740,0.104833,0.148763,0.252142", \ "0.091430,0.097770,0.104652,0.116725,0.137568,0.172881,0.257393", \ "0.120336,0.128110,0.136474,0.151234,0.176287,0.216938,0.286406"); } } timing () { related_pin : "B"; when : "A & C1 & !C2"; sdf_cond : "(A == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("0.040712,0.045913,0.051785,0.063486,0.086829,0.133453,0.226643", \ "0.045429,0.050713,0.056644,0.068429,0.091856,0.138546,0.231779", \ "0.058426,0.063801,0.069815,0.081729,0.105332,0.152187,0.245552", \ "0.075862,0.082279,0.089239,0.102534,0.127497,0.174606,0.268147", \ "0.093297,0.101680,0.110275,0.126200,0.155168,0.207061,0.302065", \ "0.105922,0.117479,0.129009,0.149706,0.184908,0.244458,0.347878", \ "0.110827,0.126314,0.141617,0.168844,0.213316,0.283817,0.399244"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("0.050074,0.056435,0.063533,0.077533,0.105137,0.159666,0.267830", \ "0.054654,0.061052,0.068184,0.082240,0.109895,0.164475,0.272680", \ "0.072698,0.079191,0.086325,0.100391,0.128086,0.182727,0.291010", \ "0.108473,0.115727,0.123377,0.137239,0.164498,0.218764,0.326775", \ "0.149901,0.159835,0.170229,0.189192,0.221847,0.276698,0.383683", \ "0.194323,0.207030,0.220324,0.244735,0.286787,0.355433,0.465243", \ "0.241679,0.257229,0.273553,0.303440,0.355230,0.439964,0.571539"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("0.019073,0.023492,0.028525,0.038585,0.058660,0.098777,0.178937", \ "0.019067,0.023492,0.028523,0.038585,0.058658,0.098772,0.178939", \ "0.019452,0.023649,0.028560,0.038581,0.058659,0.098776,0.178942", \ "0.023919,0.028129,0.032874,0.042185,0.060392,0.098828,0.178932", \ "0.032472,0.036604,0.041287,0.050534,0.068773,0.104628,0.179709", \ "0.046986,0.051204,0.055886,0.064703,0.082141,0.117530,0.188326", \ "0.066898,0.071653,0.076804,0.085794,0.102544,0.136120,0.205867"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("0.033552,0.039230,0.045746,0.058773,0.084803,0.136702,0.240314", \ "0.033546,0.039227,0.045745,0.058786,0.084778,0.136711,0.240323", \ "0.033367,0.039177,0.045728,0.058767,0.084796,0.136695,0.240325", \ "0.042136,0.045904,0.050671,0.061173,0.084911,0.136701,0.240329", \ "0.060116,0.065309,0.070903,0.080876,0.098534,0.140020,0.240334", \ "0.080771,0.087723,0.094997,0.107661,0.129069,0.164252,0.245681", \ "0.103718,0.112613,0.121807,0.137686,0.163990,0.205825,0.274847"); } } timing () { related_pin : "B"; when : "A & C1 & C2"; sdf_cond : "(A == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("0.037303,0.041782,0.046830,0.056895,0.076972,0.117067,0.197207", \ "0.042102,0.046644,0.051746,0.061880,0.082030,0.122182,0.202361", \ "0.055564,0.060212,0.065389,0.075640,0.095941,0.136239,0.216531", \ "0.073891,0.079708,0.085957,0.097792,0.119767,0.160542,0.241006", \ "0.092089,0.100050,0.108146,0.122931,0.149334,0.195646,0.278424", \ "0.105006,0.116186,0.127406,0.147297,0.180658,0.235447,0.327904", \ "0.109957,0.125003,0.140055,0.166555,0.209748,0.276772,0.382547"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("0.054240,0.060537,0.067566,0.081478,0.108964,0.163364,0.271393", \ "0.058845,0.065179,0.072251,0.086214,0.113766,0.168223,0.276310", \ "0.076908,0.083313,0.090395,0.104373,0.131953,0.186475,0.294640", \ "0.113239,0.120164,0.127517,0.141149,0.168368,0.222490,0.330391", \ "0.156393,0.165871,0.175872,0.194218,0.226060,0.280392,0.387300", \ "0.202606,0.214771,0.227566,0.251192,0.292228,0.359725,0.468906", \ "0.251826,0.266731,0.282473,0.311418,0.361983,0.445330,0.575593"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("0.017146,0.020916,0.025208,0.033748,0.050787,0.084796,0.152763", \ "0.017140,0.020918,0.025200,0.033737,0.050783,0.084794,0.152769", \ "0.017686,0.021219,0.025286,0.033759,0.050779,0.084798,0.152765", \ "0.022692,0.026249,0.030231,0.038022,0.053145,0.085108,0.152767", \ "0.032341,0.035834,0.039788,0.047499,0.062628,0.092473,0.154261", \ "0.047934,0.051666,0.055734,0.063272,0.077733,0.106822,0.165410", \ "0.068868,0.073083,0.077597,0.085625,0.100015,0.127728,0.184943"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("0.035007,0.040753,0.047351,0.060496,0.086665,0.138741,0.242505", \ "0.034984,0.040752,0.047345,0.060491,0.086669,0.138745,0.242517", \ "0.034947,0.040743,0.047347,0.060494,0.086661,0.138751,0.242505", \ "0.042348,0.046422,0.051466,0.062438,0.086698,0.138744,0.242509", \ "0.060711,0.065879,0.071435,0.081395,0.099334,0.141638,0.242516", \ "0.081753,0.088575,0.095760,0.108350,0.129698,0.165040,0.247474", \ "0.105075,0.113806,0.122814,0.138571,0.164750,0.206488,0.275959"); } } timing () { related_pin : "C1"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("0.0280728,0.0332998,0.0391781,0.0508882,0.0742340,0.120841,0.213989", \ "0.0322821,0.0375919,0.0435401,0.0553418,0.0787834,0.125473,0.218671", \ "0.0471799,0.0527967,0.0585745,0.0703644,0.0938618,0.140627,0.233895", \ "0.0639763,0.0727656,0.0816786,0.0973192,0.123428,0.169772,0.262790", \ "0.0751027,0.0873650,0.0999334,0.121932,0.158221,0.215354,0.308735", \ "0.0793197,0.0953089,0.111612,0.140330,0.187834,0.261988,0.373817", \ "0.0758024,0.0956190,0.115742,0.151456,0.210599,0.303049,0.441380"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("0.0735983,0.0876870,0.103434,0.134628,0.196610,0.320082,0.566545", \ "0.0752353,0.0896684,0.105757,0.137479,0.200069,0.324101,0.570959", \ "0.0884591,0.102635,0.118537,0.150204,0.213091,0.337771,0.585355", \ "0.121372,0.135232,0.150394,0.181049,0.242848,0.366844,0.614396", \ "0.161493,0.180040,0.199308,0.233610,0.294163,0.416117,0.662143", \ "0.205561,0.228462,0.252268,0.294839,0.367244,0.489232,0.732347", \ "0.254169,0.281257,0.309413,0.360086,0.446700,0.587445,0.828259"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("0.0190509,0.0234934,0.0285236,0.0385812,0.0586626,0.0987652,0.178937", \ "0.0190425,0.0234893,0.0285290,0.0385810,0.0586644,0.0987728,0.178940", \ "0.0211321,0.0245221,0.0288493,0.0383927,0.0586589,0.0987707,0.178940", \ "0.0347002,0.0381975,0.0419652,0.0490203,0.0630819,0.0987634,0.178931", \ "0.0529094,0.0576871,0.0625266,0.0710441,0.0859298,0.112566,0.179696", \ "0.0751203,0.0812910,0.0876089,0.0983671,0.116426,0.146176,0.199187", \ "0.101205,0.108867,0.116842,0.130132,0.152153,0.187253,0.243672"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("0.0535232,0.0658984,0.0798937,0.107932,0.163952,0.275934,0.499763", \ "0.0535240,0.0658963,0.0798971,0.107936,0.163951,0.275934,0.499762", \ "0.0534672,0.0658848,0.0798964,0.107935,0.163929,0.275933,0.499757", \ "0.0605524,0.0698351,0.0814930,0.107838,0.163918,0.275917,0.499781", \ "0.0814879,0.0910048,0.100949,0.119878,0.166164,0.275901,0.499774", \ "0.104797,0.116221,0.128193,0.149767,0.188135,0.279558,0.499755", \ "0.131011,0.144404,0.158614,0.183775,0.227613,0.305619,0.500567"); } } timing () { related_pin : "C2"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("0.0354816,0.0410749,0.0472810,0.0594725,0.0834183,0.130658,0.224368", \ "0.0391492,0.0447770,0.0509996,0.0632109,0.0871723,0.134425,0.228145", \ "0.0540142,0.0595074,0.0657208,0.0779510,0.101897,0.149129,0.242843", \ "0.0764441,0.0842137,0.0922521,0.106667,0.131408,0.178288,0.271698", \ "0.0943004,0.104978,0.116086,0.136084,0.169966,0.224733,0.317718", \ "0.105801,0.119689,0.133984,0.160016,0.204077,0.274677,0.383416", \ "0.110175,0.127324,0.144961,0.177200,0.231928,0.319600,0.453642"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("0.0877467,0.101664,0.117275,0.148342,0.210190,0.333567,0.579971", \ "0.0921141,0.106300,0.122171,0.153609,0.215902,0.339688,0.586382", \ "0.108477,0.122629,0.138532,0.170165,0.232915,0.357353,0.604686", \ "0.138236,0.152064,0.167693,0.199033,0.261572,0.386097,0.633871", \ "0.175195,0.192016,0.209655,0.243331,0.305742,0.429880,0.677594", \ "0.216403,0.236458,0.257547,0.296493,0.366701,0.492572,0.739816", \ "0.262873,0.286589,0.311211,0.356305,0.435944,0.574435,0.822772"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("0.0251258,0.0294448,0.0344044,0.0443597,0.0643502,0.104445,0.184683", \ "0.0251210,0.0294409,0.0344076,0.0443600,0.0643470,0.104436,0.184684", \ "0.0253268,0.0293608,0.0341722,0.0443689,0.0643875,0.104429,0.184686", \ "0.0375218,0.0409823,0.0447102,0.0517855,0.0671240,0.104438,0.184667", \ "0.0553347,0.0599931,0.0647512,0.0732466,0.0881930,0.115715,0.185098", \ "0.0766843,0.0828584,0.0892051,0.100000,0.118204,0.148221,0.202538", \ "0.101147,0.109022,0.117201,0.130855,0.153326,0.188864,0.245732"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("0.0535200,0.0658944,0.0798958,0.107921,0.163945,0.275929,0.499754", \ "0.0535210,0.0658936,0.0798983,0.107914,0.163947,0.275935,0.499759", \ "0.0535237,0.0658885,0.0798806,0.107927,0.163934,0.275932,0.499754", \ "0.0557319,0.0669219,0.0801254,0.107921,0.163941,0.275949,0.499766", \ "0.0682680,0.0788911,0.0905095,0.113797,0.164979,0.275899,0.499753", \ "0.0845284,0.0956436,0.107918,0.131825,0.177757,0.278274,0.499754", \ "0.105118,0.116709,0.129533,0.154160,0.201904,0.294097,0.500736"); } } internal_power () { related_pin : "A"; when : "B & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("3.395361,3.431685,3.469198,3.517434,3.564439,3.662086,3.734732", \ "3.299192,3.352065,3.386253,3.440406,3.545907,3.630304,3.716227", \ "3.105660,3.168948,3.229359,3.286539,3.432112,3.555545,3.668676", \ "2.999281,3.029464,3.088022,3.169563,3.280224,3.432880,3.603156", \ "3.280290,3.261649,3.249912,3.225439,3.243385,3.381736,3.543586", \ "3.729712,3.685653,3.662451,3.604892,3.523045,3.448836,3.564021", \ "4.478404,4.416812,4.353589,4.230746,4.086878,3.888027,3.742358"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("14.188460,14.223500,14.258220,14.245140,14.208820,14.477440,14.423520", \ "13.966620,14.022950,14.082300,14.176690,14.281780,14.349720,14.559730", \ "13.715490,13.801120,13.831420,13.940330,13.985900,14.085680,14.331300", \ "13.879650,13.909660,13.910800,13.902060,13.985680,14.226010,14.235520", \ "14.473380,14.492340,14.475770,14.369410,14.459430,14.463690,14.410050", \ "15.131790,15.145700,15.158710,15.266750,15.227790,15.001370,14.915430", \ "16.395110,16.370780,16.344430,16.303420,16.228890,16.148080,15.624980"); } } internal_power () { related_pin : "A"; when : "B & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("2.055046,2.054504,2.064079,2.059198,2.052279,2.076667,2.071978", \ "1.925192,1.938393,1.967688,2.001752,2.025468,2.044802,2.054243", \ "1.664889,1.716657,1.753234,1.826589,1.901399,1.963765,2.006402", \ "1.595795,1.567819,1.574089,1.649888,1.730658,1.837524,1.938326", \ "1.865961,1.832562,1.806662,1.756356,1.694470,1.772973,1.878353", \ "2.358376,2.292109,2.225085,2.133368,2.006671,1.850772,1.899699", \ "3.191324,3.074224,2.978929,2.815951,2.593145,2.314515,2.082246"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("12.254170,12.318140,12.296940,12.414860,12.491420,12.417850,12.557460", \ "12.061010,12.112180,12.194830,12.196480,12.392700,12.537950,12.438180", \ "11.779880,11.859210,11.944690,12.062480,12.220410,12.269210,12.468690", \ "11.983940,12.005110,12.034550,12.006800,12.147650,12.200080,12.516450", \ "12.291050,12.393990,12.461030,12.458520,12.518310,12.407100,12.546840", \ "13.015200,13.036000,13.118240,13.196830,13.352920,13.188760,13.007450", \ "14.295240,14.294790,14.304410,14.320580,14.328990,14.081950,13.760640"); } } internal_power () { related_pin : "A"; when : "B & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("2.048962,2.052196,2.061260,2.052880,2.073004,2.075852,2.075031", \ "1.930506,1.953278,1.964276,1.991895,2.022173,2.045794,2.063494", \ "1.669691,1.719214,1.767754,1.812263,1.887042,1.969892,2.005753", \ "1.599393,1.588140,1.586193,1.644534,1.740024,1.856048,1.939556", \ "1.871671,1.844664,1.813224,1.768612,1.707865,1.790049,1.872536", \ "2.369345,2.305140,2.242144,2.157860,2.034841,1.886687,1.912859", \ "3.219831,3.110754,3.006867,2.842644,2.622797,2.355110,2.098520"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("13.207000,13.234240,13.248130,13.316440,13.386190,13.361730,13.579420", \ "12.986040,13.071930,13.103960,13.198710,13.247390,13.501250,13.609040", \ "12.727400,12.801140,12.883690,12.952890,13.166400,13.220080,13.453870", \ "12.881490,12.911560,12.968310,12.897680,13.079540,13.104820,13.472380", \ "13.245670,13.347920,13.419250,13.426270,13.401730,13.348120,13.485740", \ "13.938000,13.988290,14.031410,14.104520,14.143310,14.132350,13.887880", \ "15.224850,15.245350,15.244330,15.244020,15.230280,15.178190,14.747990"); } } internal_power () { related_pin : "B"; when : "A & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("3.383662,3.430384,3.465496,3.500285,3.573339,3.646733,3.724773", \ "3.284580,3.351331,3.388441,3.458159,3.519653,3.613498,3.723615", \ "3.102396,3.168512,3.228042,3.304308,3.431083,3.549038,3.664387", \ "2.993772,3.022559,3.049688,3.159796,3.272355,3.446941,3.598175", \ "3.210597,3.218589,3.223131,3.197014,3.184556,3.341997,3.525468", \ "3.543164,3.535329,3.504052,3.502113,3.468039,3.388189,3.511017", \ "4.125431,4.085553,4.052342,4.000903,3.898684,3.773419,3.643734"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("15.873140,15.901750,15.961730,15.921400,16.164110,16.305560,16.305840", \ "15.667190,15.748940,15.804730,15.791940,16.035520,16.137530,16.396860", \ "15.478420,15.559010,15.593380,15.621190,15.781620,16.068310,16.178240", \ "15.655470,15.696200,15.713030,15.798420,15.940030,16.087630,16.117340", \ "16.276400,16.250940,16.273390,16.271660,16.313840,16.366660,16.327650", \ "16.967560,16.997500,17.022180,17.102160,17.081630,16.909930,16.745010", \ "18.243270,18.220030,18.207130,18.163410,18.186220,18.065130,17.588310"); } } internal_power () { related_pin : "B"; when : "A & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("2.056810,2.054389,2.064198,2.062834,2.058146,2.069385,2.066903", \ "1.933367,1.950665,1.963542,1.993462,2.015419,2.043628,2.046772", \ "1.661942,1.711553,1.758472,1.814437,1.893033,1.964013,2.011579", \ "1.590655,1.564437,1.568219,1.625876,1.719428,1.845643,1.934108", \ "1.802508,1.769450,1.759209,1.715163,1.657991,1.749808,1.859499", \ "2.133577,2.096061,2.058826,2.013734,1.928256,1.804483,1.845041", \ "2.760344,2.695481,2.629446,2.538236,2.385719,2.196079,1.978609"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("13.820730,13.916760,13.933160,14.070510,14.191680,14.380530,14.494470", \ "13.647130,13.731000,13.779390,13.928530,14.075070,14.312840,14.265160", \ "13.424870,13.536430,13.633140,13.705420,13.858410,14.127070,14.350020", \ "13.651550,13.673020,13.750500,13.831380,13.957030,14.104390,14.243960", \ "14.079170,14.155700,14.299080,14.299870,14.299920,14.296090,14.554250", \ "14.787450,14.840070,14.888910,14.972510,15.111930,15.082120,14.911660", \ "16.079650,16.101990,16.094040,16.121760,16.131820,15.987300,15.850430"); } } internal_power () { related_pin : "B"; when : "A & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("2.040251,2.055798,2.061694,2.051519,2.058084,2.061923,2.066828", \ "1.917480,1.951905,1.975793,1.983325,2.022156,2.047146,2.062213", \ "1.657802,1.717414,1.759903,1.808431,1.891122,1.954301,2.013246", \ "1.603185,1.588663,1.577811,1.642504,1.745728,1.848232,1.936571", \ "1.802169,1.775412,1.754464,1.739614,1.696024,1.760424,1.861159", \ "2.134750,2.093740,2.071465,2.016315,1.953720,1.845849,1.847631", \ "2.753657,2.694738,2.632341,2.540653,2.404321,2.219921,2.007574"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("14.852480,14.915840,14.976900,15.042330,15.186280,15.366240,15.406630", \ "14.681350,14.757110,14.844550,14.933950,15.014260,15.307140,15.514880", \ "14.456450,14.555920,14.632530,14.808550,14.992400,15.065540,15.325550", \ "14.665950,14.716350,14.783110,14.813400,15.030890,15.005800,15.229970", \ "15.097210,15.227420,15.296290,15.291010,15.348540,15.343280,15.444760", \ "15.819110,15.855670,15.929930,16.060130,16.210230,16.090830,15.873610", \ "17.082010,17.106460,17.120310,17.143680,17.209840,17.164860,16.888760"); } } internal_power () { related_pin : "C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("2.110908,2.128704,2.127178,2.133178,2.131357,2.160528,2.158545", \ "2.088791,2.133223,2.151049,2.181616,2.224011,2.247683,2.260176", \ "1.969845,2.021370,2.056563,2.126811,2.182680,2.259031,2.299778", \ "2.131125,2.087311,2.049454,2.059217,2.127079,2.218038,2.286816", \ "2.656430,2.566065,2.511316,2.395615,2.265980,2.279668,2.317629", \ "3.469281,3.353791,3.249279,3.082798,2.833156,2.547210,2.485024", \ "4.635609,4.499680,4.358563,4.108171,3.733981,3.276621,2.869005"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("8.790999,8.824837,8.827030,8.806654,8.910998,8.915593,8.900278", \ "8.569435,8.637458,8.692907,8.716595,8.883925,8.932986,8.946756", \ "8.320240,8.379173,8.425243,8.452191,8.589216,8.688525,8.884759", \ "8.504360,8.496861,8.505766,8.433121,8.500638,8.570439,8.650330", \ "9.078240,9.004544,8.951909,8.861523,8.805161,8.655706,8.661976", \ "9.664333,9.733662,9.824932,9.619321,9.466172,9.198609,8.977259", \ "10.736420,10.725460,10.686650,10.728450,10.531800,10.086350,9.658265"); } } internal_power () { related_pin : "C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("3.334352,3.373499,3.410730,3.458534,3.517454,3.574600,3.659682", \ "3.205742,3.223058,3.250891,3.303803,3.383889,3.434753,3.533676", \ "3.015944,3.090220,3.106202,3.194569,3.265119,3.332329,3.421832", \ "3.109490,3.076967,3.097590,3.158101,3.246851,3.312825,3.403908", \ "3.656067,3.605647,3.557335,3.465976,3.380828,3.425938,3.463686", \ "4.476992,4.392414,4.293756,4.174651,3.969489,3.725687,3.669599", \ "5.615786,5.504460,5.404776,5.207031,4.898782,4.479072,4.097417"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("11.094420,11.124480,11.111530,11.118090,11.084730,11.081090,11.059310", \ "10.967450,11.020790,11.054140,11.042340,11.163540,11.194750,11.197230", \ "10.669570,10.720690,10.781970,10.839960,10.918780,11.081800,11.085380", \ "10.555860,10.583380,10.577400,10.612380,10.728270,10.818950,10.903300", \ "10.661850,10.658040,10.597080,10.628550,10.638810,10.681150,10.865830", \ "10.619430,10.755150,10.883930,10.895540,10.773060,10.842620,10.847430", \ "11.036040,11.093630,11.131570,11.264280,11.351540,11.070530,11.069360"); } } } } /****************************************************************************************** Module : OAI221_X1 Cell Description : Combinational cell (OAI221_X1) with drive strength X1 *******************************************************************************************/ cell (OAI221_X1) { drive_strength : 1; area : 1.596000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 18.292597; leakage_power () { when : "!A & !B1 & !B2 & !C1 & !C2"; value : 3.535748; } leakage_power () { when : "!A & !B1 & !B2 & !C1 & C2"; value : 4.657865; } leakage_power () { when : "!A & !B1 & !B2 & C1 & !C2"; value : 4.657875; } leakage_power () { when : "!A & !B1 & !B2 & C1 & C2"; value : 3.728475; } leakage_power () { when : "!A & !B1 & B2 & !C1 & !C2"; value : 9.384755; } leakage_power () { when : "!A & !B1 & B2 & !C1 & C2"; value : 13.830617; } leakage_power () { when : "!A & !B1 & B2 & C1 & !C2"; value : 13.830627; } leakage_power () { when : "!A & !B1 & B2 & C1 & C2"; value : 13.180954; } leakage_power () { when : "!A & B1 & !B2 & !C1 & !C2"; value : 9.384765; } leakage_power () { when : "!A & B1 & !B2 & !C1 & C2"; value : 13.830636; } leakage_power () { when : "!A & B1 & !B2 & C1 & !C2"; value : 13.830637; } leakage_power () { when : "!A & B1 & !B2 & C1 & C2"; value : 13.180963; } leakage_power () { when : "!A & B1 & B2 & !C1 & !C2"; value : 14.454934; } leakage_power () { when : "!A & B1 & B2 & !C1 & C2"; value : 18.901622; } leakage_power () { when : "!A & B1 & B2 & C1 & !C2"; value : 18.901633; } leakage_power () { when : "!A & B1 & B2 & C1 & C2"; value : 18.252037; } leakage_power () { when : "A & !B1 & !B2 & !C1 & !C2"; value : 6.922089; } leakage_power () { when : "A & !B1 & !B2 & !C1 & C2"; value : 14.969587; } leakage_power () { when : "A & !B1 & !B2 & C1 & !C2"; value : 14.969683; } leakage_power () { when : "A & !B1 & !B2 & C1 & C2"; value : 14.215932; } leakage_power () { when : "A & !B1 & B2 & !C1 & !C2"; value : 34.823114; } leakage_power () { when : "A & !B1 & B2 & !C1 & C2"; value : 23.122705; } leakage_power () { when : "A & !B1 & B2 & C1 & !C2"; value : 24.488701; } leakage_power () { when : "A & !B1 & B2 & C1 & C2"; value : 26.602470; } leakage_power () { when : "A & B1 & !B2 & !C1 & !C2"; value : 34.823209; } leakage_power () { when : "A & B1 & !B2 & !C1 & C2"; value : 24.488720; } leakage_power () { when : "A & B1 & !B2 & C1 & !C2"; value : 25.854620; } leakage_power () { when : "A & B1 & !B2 & C1 & C2"; value : 27.968351; } leakage_power () { when : "A & B1 & B2 & !C1 & !C2"; value : 39.905101; } leakage_power () { when : "A & B1 & B2 & !C1 & C2"; value : 26.605994; } leakage_power () { when : "A & B1 & B2 & C1 & !C2"; value : 27.972180; } leakage_power () { when : "A & B1 & B2 & C1 & C2"; value : 30.086491; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.596769; fall_capacitance : 1.467402; rise_capacitance : 1.596769; } pin (B1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.593512; fall_capacitance : 1.380062; rise_capacitance : 1.593512; } pin (B2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.562731; fall_capacitance : 1.484220; rise_capacitance : 1.562731; } pin (C1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.534937; fall_capacitance : 1.367999; rise_capacitance : 1.534937; } pin (C2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.536704; fall_capacitance : 1.521097; rise_capacitance : 1.536704; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 22.146260; function : "!(((C1 | C2) & A) & (B1 | B2))"; timing () { related_pin : "A"; when : "!B1 & B2 & !C1 & C2"; sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.055019,0.057968,0.063728,0.074861,0.096399,0.138122,0.219624", \ "0.059682,0.062652,0.068427,0.079623,0.101217,0.142989,0.224514", \ "0.074678,0.077653,0.083438,0.094665,0.116330,0.158218,0.239824", \ "0.100894,0.104203,0.110541,0.122588,0.144328,0.186272,0.268052", \ "0.130019,0.134228,0.141958,0.156782,0.183287,0.229365,0.311819", \ "0.156569,0.162144,0.172088,0.191102,0.224325,0.279845,0.371973", \ "0.177683,0.184731,0.197637,0.221658,0.263381,0.331396,0.439289"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.046874,0.050021,0.056221,0.068429,0.092442,0.139747,0.232862", \ "0.051494,0.054687,0.060955,0.073260,0.097382,0.144754,0.238044", \ "0.069428,0.072562,0.078853,0.091165,0.115351,0.162830,0.256275", \ "0.103929,0.107770,0.114991,0.128109,0.151721,0.198718,0.291866", \ "0.143028,0.148324,0.158110,0.176177,0.207016,0.256788,0.348748", \ "0.184940,0.191757,0.204595,0.227612,0.267223,0.331356,0.430614", \ "0.229688,0.238034,0.253881,0.282173,0.330901,0.410084,0.532187"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.030653,0.032937,0.037432,0.046354,0.063997,0.098970,0.168331", \ "0.030657,0.032931,0.037450,0.046343,0.064002,0.098967,0.168293", \ "0.030325,0.032674,0.037275,0.046265,0.064000,0.098963,0.168258", \ "0.034739,0.036823,0.040846,0.048501,0.064607,0.098854,0.168262", \ "0.045685,0.047857,0.052073,0.059962,0.075097,0.104313,0.168620", \ "0.062230,0.064722,0.069574,0.078135,0.093552,0.122414,0.178814", \ "0.082788,0.085841,0.091652,0.101744,0.118927,0.148649,0.203714"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.038897,0.042221,0.048765,0.061702,0.087123,0.136656,0.231088", \ "0.038910,0.042201,0.048777,0.061742,0.087103,0.136598,0.231082", \ "0.038832,0.042172,0.048713,0.061687,0.087114,0.136580,0.231047", \ "0.050851,0.052685,0.056910,0.066556,0.088281,0.136553,0.231070", \ "0.076870,0.079117,0.083664,0.091734,0.106624,0.143312,0.231001", \ "0.110337,0.112727,0.117674,0.126885,0.143791,0.173183,0.241758", \ "0.150464,0.152805,0.157828,0.168060,0.187150,0.220665,0.277679"); } } timing () { related_pin : "A"; when : "!B1 & B2 & C1 & !C2"; sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.046006,0.048866,0.054452,0.065321,0.086441,0.127652,0.208548", \ "0.050618,0.053502,0.059125,0.070055,0.091243,0.132511,0.213450", \ "0.065542,0.068416,0.074050,0.085014,0.106305,0.147704,0.228803", \ "0.089480,0.092965,0.099526,0.111927,0.134166,0.175691,0.256975", \ "0.113933,0.118663,0.127162,0.143092,0.170833,0.217995,0.300671", \ "0.134272,0.140527,0.151725,0.172667,0.208354,0.266202,0.360012", \ "0.148403,0.156443,0.170891,0.197465,0.242647,0.314528,0.425483"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.045740,0.048892,0.055098,0.067304,0.091277,0.138500,0.231593", \ "0.050356,0.053553,0.059827,0.072145,0.096238,0.143594,0.236801", \ "0.068296,0.071441,0.077737,0.090031,0.114228,0.161650,0.255014", \ "0.102471,0.106368,0.113674,0.126965,0.150606,0.197542,0.290604", \ "0.140943,0.146330,0.156224,0.174536,0.205601,0.255638,0.347511", \ "0.182158,0.189079,0.202047,0.225337,0.265309,0.329835,0.429375", \ "0.226166,0.234643,0.250581,0.279254,0.328458,0.408089,0.530637"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.025362,0.027621,0.032093,0.040960,0.058527,0.093394,0.162518", \ "0.025361,0.027622,0.032100,0.040961,0.058534,0.093384,0.162524", \ "0.025125,0.027370,0.031889,0.040850,0.058497,0.093382,0.162572", \ "0.031294,0.033306,0.037239,0.044813,0.060054,0.093302,0.162511", \ "0.043495,0.045645,0.049739,0.057378,0.072014,0.100478,0.163263", \ "0.060769,0.063297,0.068212,0.076666,0.091692,0.119703,0.174906", \ "0.081789,0.084880,0.090795,0.100930,0.117964,0.147029,0.200897"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.033930,0.037032,0.043174,0.055328,0.079313,0.126535,0.218692", \ "0.033917,0.037029,0.043183,0.055305,0.079278,0.126521,0.218725", \ "0.033848,0.036981,0.043157,0.055311,0.079304,0.126499,0.218659", \ "0.045796,0.047781,0.051640,0.060415,0.080567,0.126463,0.218668", \ "0.067127,0.069788,0.075018,0.083804,0.099028,0.133420,0.218605", \ "0.092919,0.096285,0.102765,0.113720,0.132424,0.163268,0.229506", \ "0.123035,0.127026,0.134836,0.148072,0.170546,0.207039,0.265616"); } } timing () { related_pin : "A"; when : "!B1 & B2 & C1 & C2"; sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.041054,0.043522,0.048347,0.057736,0.075960,0.111486,0.181168", \ "0.045713,0.048202,0.053069,0.062501,0.080787,0.116359,0.186100", \ "0.061858,0.064337,0.069199,0.078658,0.097015,0.132708,0.202568", \ "0.087545,0.090774,0.096765,0.107967,0.127832,0.163623,0.233645", \ "0.112291,0.116820,0.125122,0.140365,0.166565,0.209795,0.282013", \ "0.132063,0.138248,0.149171,0.169640,0.204421,0.259904,0.346344", \ "0.145498,0.153367,0.167583,0.193567,0.237982,0.308287,0.414562"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.049271,0.052420,0.058605,0.070765,0.094720,0.141853,0.234983", \ "0.053928,0.057117,0.063373,0.075647,0.099747,0.147044,0.240246", \ "0.071776,0.074926,0.081200,0.093486,0.117624,0.165044,0.258448", \ "0.106801,0.110559,0.117562,0.130382,0.153959,0.200879,0.293951", \ "0.146914,0.152106,0.161633,0.179284,0.209608,0.258952,0.350802", \ "0.189878,0.196499,0.208947,0.231524,0.270566,0.334015,0.432698", \ "0.235691,0.243833,0.259192,0.286972,0.334968,0.413342,0.534605"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.022547,0.024473,0.028291,0.035838,0.050721,0.080293,0.138914", \ "0.022545,0.024473,0.028283,0.035821,0.050730,0.080284,0.138943", \ "0.022418,0.024257,0.028048,0.035678,0.050682,0.080296,0.138969", \ "0.030032,0.031657,0.034823,0.040923,0.053013,0.080322,0.138892", \ "0.043916,0.045773,0.049371,0.055879,0.067793,0.090358,0.140455", \ "0.062202,0.064503,0.068965,0.076787,0.090073,0.113363,0.156743", \ "0.084173,0.087000,0.092435,0.101923,0.117739,0.143772,0.188128"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.035653,0.038793,0.044966,0.057133,0.081200,0.128476,0.220796", \ "0.035653,0.038795,0.044963,0.057180,0.081201,0.128488,0.220727", \ "0.035613,0.038764,0.044933,0.057139,0.081224,0.128456,0.220743", \ "0.046118,0.048025,0.052262,0.061456,0.082228,0.128466,0.220728", \ "0.067533,0.070198,0.075363,0.084236,0.099550,0.134781,0.220640", \ "0.093317,0.096632,0.103101,0.114154,0.132871,0.163725,0.230894", \ "0.123342,0.127322,0.135148,0.148453,0.170955,0.207506,0.266302"); } } timing () { related_pin : "A"; when : "B1 & !B2 & !C1 & C2"; sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.046006,0.048866,0.054452,0.065321,0.086441,0.127652,0.208548", \ "0.050618,0.053502,0.059125,0.070055,0.091243,0.132511,0.213450", \ "0.065542,0.068416,0.074050,0.085014,0.106305,0.147704,0.228803", \ "0.089480,0.092965,0.099526,0.111927,0.134166,0.175691,0.256975", \ "0.113933,0.118663,0.127162,0.143092,0.170833,0.217995,0.300671", \ "0.134272,0.140527,0.151725,0.172667,0.208354,0.266202,0.360012", \ "0.148403,0.156443,0.170891,0.197465,0.242647,0.314528,0.425483"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.045740,0.048892,0.055098,0.067304,0.091277,0.138500,0.231593", \ "0.050356,0.053553,0.059827,0.072145,0.096238,0.143594,0.236801", \ "0.068296,0.071441,0.077737,0.090031,0.114228,0.161650,0.255014", \ "0.102471,0.106368,0.113674,0.126965,0.150606,0.197542,0.290604", \ "0.140943,0.146330,0.156224,0.174536,0.205601,0.255638,0.347511", \ "0.182158,0.189079,0.202047,0.225337,0.265309,0.329835,0.429375", \ "0.226166,0.234643,0.250581,0.279254,0.328458,0.408089,0.530637"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.025362,0.027621,0.032093,0.040960,0.058527,0.093394,0.162518", \ "0.025361,0.027622,0.032100,0.040961,0.058534,0.093384,0.162524", \ "0.025125,0.027370,0.031889,0.040850,0.058497,0.093382,0.162572", \ "0.031294,0.033306,0.037239,0.044813,0.060054,0.093302,0.162511", \ "0.043495,0.045645,0.049739,0.057378,0.072014,0.100478,0.163263", \ "0.060769,0.063297,0.068212,0.076666,0.091692,0.119703,0.174906", \ "0.081789,0.084880,0.090795,0.100930,0.117964,0.147029,0.200897"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.033930,0.037032,0.043174,0.055328,0.079313,0.126535,0.218692", \ "0.033917,0.037029,0.043183,0.055305,0.079278,0.126521,0.218725", \ "0.033848,0.036981,0.043157,0.055311,0.079304,0.126499,0.218659", \ "0.045796,0.047781,0.051640,0.060415,0.080567,0.126463,0.218668", \ "0.067127,0.069788,0.075018,0.083804,0.099028,0.133420,0.218605", \ "0.092919,0.096285,0.102765,0.113720,0.132424,0.163268,0.229506", \ "0.123035,0.127026,0.134836,0.148072,0.170546,0.207039,0.265616"); } } timing () { related_pin : "A"; when : "B1 & !B2 & C1 & !C2"; sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.038191,0.040840,0.046081,0.056449,0.076934,0.117476,0.197775", \ "0.042706,0.045389,0.050696,0.061142,0.081717,0.122334,0.202686", \ "0.057234,0.059997,0.065387,0.075964,0.096702,0.137495,0.217986", \ "0.077466,0.081240,0.088278,0.101177,0.124060,0.165387,0.246139", \ "0.095749,0.101205,0.110962,0.128432,0.157918,0.206538,0.289744", \ "0.108354,0.115755,0.128793,0.152348,0.191342,0.252207,0.348092", \ "0.114300,0.123798,0.140699,0.170826,0.220473,0.296983,0.411548"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.044623,0.047771,0.053989,0.066186,0.090143,0.137300,0.230328", \ "0.049218,0.052415,0.058700,0.071011,0.095102,0.142394,0.235540", \ "0.067173,0.070340,0.076608,0.088912,0.113070,0.160494,0.253767", \ "0.100978,0.104960,0.112354,0.125767,0.149501,0.196375,0.289364", \ "0.138861,0.144336,0.154354,0.172823,0.204153,0.254505,0.346269", \ "0.179374,0.186406,0.199464,0.223047,0.263364,0.328321,0.428156", \ "0.222630,0.231244,0.247361,0.276366,0.325966,0.406083,0.529086"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.019615,0.021864,0.026353,0.035263,0.052882,0.087775,0.156849", \ "0.019615,0.021862,0.026353,0.035277,0.052879,0.087763,0.156870", \ "0.020328,0.022378,0.026535,0.035268,0.052890,0.087763,0.156864", \ "0.028015,0.029920,0.033779,0.041190,0.055790,0.087993,0.156829", \ "0.041591,0.043709,0.047717,0.055183,0.069213,0.096989,0.158174", \ "0.059893,0.062428,0.067323,0.075666,0.090263,0.117294,0.171382", \ "0.081892,0.084938,0.090782,0.100799,0.117525,0.145804,0.198367"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.030305,0.033228,0.039041,0.050566,0.073369,0.118562,0.207833", \ "0.030275,0.033214,0.039026,0.050542,0.073393,0.118534,0.207923", \ "0.030257,0.033173,0.039013,0.050537,0.073365,0.118567,0.207881", \ "0.041910,0.043975,0.047764,0.055817,0.074745,0.118493,0.207852", \ "0.060420,0.063225,0.068603,0.077697,0.093276,0.125639,0.207719", \ "0.081593,0.085315,0.092414,0.104269,0.123693,0.155118,0.218781", \ "0.105237,0.109873,0.118802,0.133608,0.157675,0.195641,0.254896"); } } timing () { related_pin : "A"; when : "B1 & !B2 & C1 & C2"; sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.034608,0.036883,0.041395,0.050309,0.067930,0.102799,0.171856", \ "0.039174,0.041492,0.046058,0.055046,0.072740,0.107669,0.176773", \ "0.054890,0.057283,0.061941,0.071047,0.088899,0.123989,0.193212", \ "0.076270,0.079886,0.086448,0.098330,0.118921,0.154825,0.224293", \ "0.094587,0.099880,0.109359,0.126249,0.154468,0.199448,0.272578", \ "0.106748,0.113972,0.126671,0.149738,0.187839,0.246597,0.335525", \ "0.112101,0.121378,0.137950,0.167402,0.216133,0.291064,0.401383"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.048151,0.051293,0.057479,0.069644,0.093572,0.140682,0.233700", \ "0.052795,0.055986,0.062243,0.074519,0.098592,0.145846,0.238957", \ "0.070670,0.073806,0.080079,0.092356,0.116479,0.163855,0.257170", \ "0.105379,0.109212,0.116298,0.129246,0.152844,0.199699,0.292680", \ "0.144915,0.150185,0.159837,0.177637,0.208215,0.257797,0.349556", \ "0.187227,0.193955,0.206587,0.229288,0.268648,0.332528,0.431451", \ "0.232331,0.240584,0.256099,0.284127,0.332538,0.411366,0.533063"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.017575,0.019505,0.023322,0.030892,0.045845,0.075432,0.133996", \ "0.017569,0.019499,0.023334,0.030891,0.045858,0.075436,0.133993", \ "0.018490,0.020174,0.023636,0.030932,0.045865,0.075430,0.134000", \ "0.027660,0.029273,0.032395,0.038349,0.049837,0.075957,0.133990", \ "0.042501,0.044397,0.047955,0.054472,0.066037,0.088010,0.136357", \ "0.061754,0.064039,0.068509,0.076181,0.089281,0.112005,0.154514", \ "0.084796,0.087518,0.092820,0.102092,0.117636,0.143195,0.186694"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.031939,0.034908,0.040734,0.052310,0.075211,0.120434,0.209852", \ "0.031957,0.034907,0.040742,0.052310,0.075217,0.120422,0.209807", \ "0.031900,0.034864,0.040729,0.052302,0.075200,0.120412,0.209841", \ "0.042404,0.044433,0.048339,0.056774,0.076247,0.120405,0.209773", \ "0.061123,0.063877,0.069192,0.078301,0.093807,0.126894,0.209712", \ "0.082510,0.086137,0.093172,0.104871,0.124293,0.155673,0.220124", \ "0.106452,0.110997,0.119745,0.134364,0.158321,0.196197,0.255540"); } } timing () { related_pin : "A"; when : "B1 & B2 & !C1 & C2"; sdf_cond : "(B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.037350,0.039721,0.044345,0.053324,0.070742,0.104668,0.171231", \ "0.042316,0.044704,0.049360,0.058388,0.075860,0.109836,0.176408", \ "0.057757,0.060117,0.064752,0.073768,0.091303,0.125385,0.192051", \ "0.080570,0.083641,0.089374,0.100127,0.119342,0.153893,0.220769", \ "0.103420,0.107699,0.115373,0.129521,0.154019,0.195113,0.265116", \ "0.122093,0.127852,0.138137,0.157022,0.189134,0.240550,0.322448", \ "0.134590,0.142024,0.155400,0.179701,0.220795,0.285543,0.383979"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.045611,0.048761,0.054968,0.067173,0.091147,0.138376,0.231503", \ "0.050075,0.053269,0.059544,0.071842,0.095944,0.143311,0.236537", \ "0.068086,0.071238,0.077501,0.089800,0.113928,0.161338,0.254752", \ "0.102408,0.106314,0.113587,0.126836,0.150482,0.197316,0.290362", \ "0.141060,0.146420,0.156363,0.174590,0.205605,0.255567,0.347351", \ "0.182573,0.189459,0.202397,0.225646,0.265522,0.329939,0.429352", \ "0.227045,0.235497,0.251393,0.279929,0.329005,0.408469,0.530860"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.020793,0.022668,0.026377,0.033719,0.048234,0.077027,0.134224", \ "0.020783,0.022663,0.026373,0.033706,0.048224,0.077018,0.134173", \ "0.020979,0.022724,0.026261,0.033532,0.048169,0.077022,0.134157", \ "0.027424,0.029116,0.032402,0.038776,0.051017,0.077433,0.134140", \ "0.039205,0.041013,0.044537,0.051001,0.063239,0.086983,0.136552", \ "0.055667,0.057860,0.062099,0.069428,0.082186,0.105691,0.151583", \ "0.075882,0.078594,0.083750,0.092644,0.107316,0.131942,0.177053"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.033931,0.037041,0.043176,0.055314,0.079305,0.126536,0.218749", \ "0.033915,0.037029,0.043184,0.055341,0.079285,0.126546,0.218779", \ "0.033873,0.036971,0.043151,0.055305,0.079288,0.126493,0.218743", \ "0.045835,0.047816,0.051662,0.060402,0.080623,0.126445,0.218699", \ "0.067056,0.069724,0.074913,0.083776,0.099028,0.133444,0.218613", \ "0.092658,0.095975,0.102434,0.113530,0.132289,0.163205,0.229513", \ "0.122400,0.126381,0.134180,0.147636,0.170169,0.206811,0.265538"); } } timing () { related_pin : "A"; when : "B1 & B2 & C1 & !C2"; sdf_cond : "(B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.031381,0.033560,0.037868,0.046385,0.063227,0.096549,0.162551", \ "0.036263,0.038476,0.042838,0.051420,0.068331,0.101711,0.167754", \ "0.051054,0.053461,0.057959,0.066659,0.083712,0.117231,0.183390", \ "0.070248,0.073599,0.079802,0.091053,0.110856,0.145625,0.212049", \ "0.087311,0.092196,0.101006,0.116694,0.142881,0.185401,0.256301", \ "0.098621,0.105452,0.117386,0.138919,0.174088,0.228348,0.312246", \ "0.103176,0.112028,0.127783,0.155397,0.200880,0.269986,0.371850"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.044496,0.047646,0.053850,0.066048,0.090013,0.137199,0.230203", \ "0.048942,0.052141,0.058418,0.070722,0.094810,0.142100,0.235216", \ "0.066971,0.070132,0.076379,0.088665,0.112792,0.160162,0.253433", \ "0.100915,0.104897,0.112263,0.125664,0.149330,0.196150,0.289080", \ "0.138978,0.144419,0.154484,0.172887,0.204157,0.254427,0.346109", \ "0.179791,0.186804,0.199840,0.223360,0.263581,0.328420,0.428124", \ "0.223539,0.232114,0.248169,0.277059,0.326526,0.406464,0.529293"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.016025,0.017884,0.021595,0.028949,0.043521,0.072391,0.129539", \ "0.016022,0.017881,0.021590,0.028958,0.043517,0.072389,0.129534", \ "0.017308,0.018914,0.022225,0.029068,0.043513,0.072383,0.129540", \ "0.024835,0.026431,0.029632,0.035815,0.047879,0.073380,0.129525", \ "0.037811,0.039630,0.043135,0.049345,0.061153,0.084281,0.132752", \ "0.055347,0.057537,0.061788,0.068945,0.081297,0.103962,0.148964", \ "0.076600,0.079260,0.084347,0.093061,0.107363,0.131251,0.175190"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.030292,0.033235,0.039029,0.050577,0.073366,0.118576,0.207870", \ "0.030280,0.033227,0.039042,0.050568,0.073374,0.118555,0.207888", \ "0.030284,0.033170,0.038999,0.050555,0.073363,0.118535,0.207831", \ "0.041949,0.044009,0.047795,0.055863,0.074710,0.118505,0.207830", \ "0.060370,0.063141,0.068526,0.077672,0.093273,0.125658,0.207726", \ "0.081353,0.085033,0.092098,0.104039,0.123560,0.155050,0.218760", \ "0.104713,0.109364,0.118228,0.133194,0.157341,0.195422,0.254821"); } } timing () { related_pin : "A"; when : "B1 & B2 & C1 & C2"; sdf_cond : "(B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.027758,0.029557,0.033122,0.040166,0.054091,0.081651,0.136233", \ "0.032732,0.034561,0.038169,0.045269,0.059252,0.086862,0.141475", \ "0.048795,0.050861,0.054753,0.061981,0.076094,0.103833,0.158550", \ "0.068963,0.072123,0.077915,0.088287,0.105974,0.135707,0.190693", \ "0.085942,0.090664,0.099229,0.114359,0.139314,0.178518,0.240340", \ "0.096800,0.103414,0.115145,0.136045,0.170342,0.222600,0.300075", \ "0.100723,0.109355,0.124843,0.151661,0.196261,0.263777,0.361565"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.048028,0.051173,0.057357,0.069519,0.093446,0.140554,0.233563", \ "0.052499,0.055681,0.061946,0.074223,0.098293,0.145554,0.238703", \ "0.070454,0.073586,0.079844,0.092092,0.116180,0.163546,0.256825", \ "0.105331,0.109137,0.116199,0.129157,0.152682,0.199499,0.292379", \ "0.145020,0.150280,0.159956,0.177739,0.208220,0.257731,0.349396", \ "0.187628,0.194339,0.206931,0.229594,0.268861,0.332643,0.431455", \ "0.233212,0.241429,0.256887,0.284866,0.333120,0.411746,0.533286"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.013757,0.015291,0.018328,0.024344,0.036217,0.059723,0.106280", \ "0.013761,0.015291,0.018323,0.024328,0.036215,0.059734,0.106278", \ "0.015483,0.016711,0.019253,0.024645,0.036221,0.059716,0.106283", \ "0.024436,0.025744,0.028302,0.033138,0.042321,0.061514,0.106260", \ "0.038476,0.040088,0.043103,0.048564,0.058141,0.075815,0.111711", \ "0.056858,0.058829,0.062622,0.069188,0.080163,0.098913,0.133124", \ "0.079099,0.081454,0.085984,0.094027,0.107166,0.128501,0.164184"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.031960,0.034923,0.040755,0.052315,0.075211,0.120435,0.209823", \ "0.031948,0.034892,0.040749,0.052308,0.075218,0.120424,0.209860", \ "0.031902,0.034864,0.040738,0.052302,0.075204,0.120426,0.209842", \ "0.042451,0.044477,0.048322,0.056806,0.076332,0.120432,0.209760", \ "0.061050,0.063822,0.069094,0.078242,0.093808,0.126917,0.209716", \ "0.082284,0.085917,0.092825,0.104700,0.124164,0.155629,0.220124", \ "0.105946,0.110502,0.119199,0.133955,0.157967,0.195952,0.255425"); } } timing () { related_pin : "B1"; when : "A & !B2 & !C1 & C2"; sdf_cond : "(A == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.050204,0.053061,0.058643,0.069510,0.090626,0.131821,0.212703", \ "0.055753,0.058636,0.064267,0.075192,0.096377,0.137638,0.218564", \ "0.069994,0.072901,0.078562,0.089568,0.110877,0.152268,0.233356", \ "0.090379,0.093646,0.099835,0.111669,0.133687,0.175165,0.256369", \ "0.112311,0.116244,0.123576,0.137497,0.162674,0.208026,0.290559", \ "0.131912,0.137047,0.146260,0.163480,0.193782,0.245702,0.336039", \ "0.145305,0.152044,0.163944,0.185965,0.223582,0.285075,0.386279"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.130143,0.137535,0.152237,0.180845,0.236902,0.346199,0.561187", \ "0.132107,0.139534,0.154277,0.183019,0.239085,0.348625,0.563677", \ "0.145258,0.152717,0.167472,0.196343,0.252681,0.362534,0.577880", \ "0.176759,0.184078,0.198396,0.226815,0.282760,0.392378,0.607549", \ "0.229810,0.237351,0.251395,0.278915,0.333644,0.442007,0.656114", \ "0.292071,0.301370,0.319017,0.351450,0.409130,0.515239,0.727207", \ "0.358656,0.369746,0.390830,0.429697,0.498505,0.614931,0.823844"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.025370,0.027631,0.032100,0.040958,0.058530,0.093400,0.162522", \ "0.025384,0.027645,0.032105,0.040963,0.058535,0.093381,0.162535", \ "0.025213,0.027500,0.032004,0.040911,0.058524,0.093390,0.162578", \ "0.028754,0.030921,0.035181,0.043397,0.059590,0.093325,0.162481", \ "0.035841,0.038065,0.042439,0.050901,0.067218,0.098779,0.163403", \ "0.048372,0.050615,0.055067,0.063465,0.079607,0.111045,0.172648", \ "0.066648,0.069151,0.074016,0.082696,0.098462,0.128950,0.189842"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.097509,0.104653,0.118860,0.146511,0.200127,0.302321,0.499366", \ "0.097500,0.104620,0.118837,0.146538,0.199979,0.302321,0.499363", \ "0.097525,0.104656,0.118797,0.146480,0.199905,0.302355,0.499350", \ "0.097404,0.104599,0.118764,0.146308,0.199880,0.302328,0.499389", \ "0.106444,0.112317,0.124295,0.148626,0.199655,0.302330,0.499358", \ "0.135277,0.140910,0.151787,0.171110,0.212395,0.303509,0.499348", \ "0.169119,0.175479,0.187735,0.209802,0.248723,0.323993,0.500130"); } } timing () { related_pin : "B1"; when : "A & !B2 & C1 & !C2"; sdf_cond : "(A == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.042380,0.045023,0.050272,0.060638,0.081122,0.121648,0.201923", \ "0.047833,0.050515,0.055825,0.066277,0.086849,0.127462,0.207786", \ "0.061684,0.064457,0.069891,0.080500,0.101266,0.142052,0.222526", \ "0.079946,0.083273,0.089544,0.101471,0.123697,0.164870,0.245545", \ "0.098265,0.102553,0.110431,0.124879,0.150857,0.196878,0.279667", \ "0.111890,0.117812,0.128303,0.147270,0.179349,0.232895,0.324315", \ "0.117225,0.125222,0.139362,0.164242,0.205421,0.269949,0.373229"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.127279,0.134681,0.149253,0.177867,0.233687,0.343038,0.557580", \ "0.129240,0.136691,0.151331,0.180038,0.236050,0.345465,0.560101", \ "0.142379,0.149866,0.164561,0.193367,0.249583,0.359369,0.574308", \ "0.173926,0.181261,0.195535,0.223906,0.279752,0.389212,0.603981", \ "0.226837,0.234457,0.248672,0.276110,0.330743,0.438883,0.652505", \ "0.288257,0.297664,0.315457,0.348137,0.406205,0.512177,0.723636", \ "0.353936,0.365150,0.386375,0.425571,0.494854,0.611762,0.820386"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.019618,0.021862,0.026351,0.035271,0.052890,0.087761,0.156843", \ "0.019612,0.021860,0.026362,0.035256,0.052883,0.087786,0.156840", \ "0.019777,0.021956,0.026380,0.035253,0.052883,0.087758,0.156848", \ "0.024065,0.026216,0.030486,0.038792,0.054759,0.087957,0.156820", \ "0.032311,0.034448,0.038686,0.046935,0.063027,0.094475,0.158216", \ "0.046508,0.048725,0.053100,0.061049,0.076450,0.107202,0.168408", \ "0.066425,0.068913,0.073714,0.082056,0.097036,0.126145,0.185979"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.080710,0.087267,0.100473,0.126164,0.176568,0.275654,0.470797", \ "0.080711,0.087265,0.100471,0.126148,0.176557,0.275655,0.470805", \ "0.080697,0.087260,0.100476,0.126113,0.176484,0.275680,0.470816", \ "0.080594,0.087233,0.100454,0.125986,0.176434,0.275646,0.470800", \ "0.090306,0.095481,0.106322,0.128539,0.176273,0.275628,0.470794", \ "0.114154,0.119986,0.131261,0.151021,0.189183,0.276830,0.470786", \ "0.140377,0.147309,0.160674,0.184027,0.224180,0.297465,0.471679"); } } timing () { related_pin : "B1"; when : "A & !B2 & C1 & C2"; sdf_cond : "(A == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.038834,0.041116,0.045625,0.054538,0.072155,0.107014,0.176056", \ "0.044296,0.046616,0.051180,0.060167,0.077861,0.112787,0.181872", \ "0.058555,0.060946,0.065620,0.074741,0.092611,0.127692,0.196900", \ "0.077643,0.080653,0.086295,0.096946,0.116524,0.152290,0.221671", \ "0.096720,0.100771,0.108218,0.121665,0.145409,0.186686,0.259245", \ "0.110579,0.116292,0.126547,0.144719,0.175336,0.224909,0.307092", \ "0.115934,0.123684,0.137501,0.161724,0.201898,0.263632,0.359046"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.136731,0.144062,0.158522,0.186894,0.242493,0.351527,0.565761", \ "0.138737,0.146107,0.160602,0.189145,0.244884,0.354056,0.568395", \ "0.151886,0.159319,0.173861,0.202433,0.258447,0.367955,0.582643", \ "0.183391,0.190670,0.204769,0.232985,0.288595,0.397792,0.612261", \ "0.236615,0.243946,0.257709,0.285106,0.339551,0.447513,0.660779", \ "0.300264,0.309322,0.326525,0.358335,0.414939,0.520827,0.732021", \ "0.368220,0.379023,0.399584,0.437631,0.505383,0.620552,0.828867"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.017569,0.019504,0.023327,0.030891,0.045846,0.075422,0.133989", \ "0.017571,0.019502,0.023326,0.030895,0.045872,0.075431,0.133994", \ "0.017901,0.019719,0.023392,0.030905,0.045844,0.075435,0.133983", \ "0.022690,0.024520,0.028125,0.035081,0.048417,0.075930,0.133969", \ "0.032011,0.033841,0.037448,0.044404,0.057727,0.083866,0.136316", \ "0.047372,0.049313,0.053028,0.059959,0.072816,0.098151,0.148790", \ "0.068325,0.070517,0.074750,0.082245,0.095185,0.119369,0.168403"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.084915,0.091538,0.104860,0.130688,0.181259,0.280693,0.476058", \ "0.084915,0.091540,0.104830,0.130768,0.181290,0.280697,0.476054", \ "0.084912,0.091529,0.104844,0.130655,0.181190,0.280639,0.476061", \ "0.084904,0.091536,0.104823,0.130567,0.181138,0.280681,0.476052", \ "0.092794,0.098254,0.109462,0.132316,0.181057,0.280666,0.476051", \ "0.116861,0.122601,0.133713,0.153221,0.192477,0.281533,0.476053", \ "0.143697,0.150495,0.163572,0.186728,0.226667,0.300882,0.476730"); } } timing () { related_pin : "B2"; when : "A & !B1 & !C1 & C2"; sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.058748,0.061679,0.067407,0.078539,0.100034,0.141737,0.223179", \ "0.063664,0.066617,0.072339,0.083471,0.104983,0.146686,0.228122", \ "0.077732,0.080679,0.086428,0.097578,0.119135,0.160877,0.242368", \ "0.099331,0.102507,0.108546,0.120207,0.142070,0.183892,0.265425", \ "0.123832,0.127575,0.134652,0.148014,0.172560,0.217367,0.299781", \ "0.147638,0.152273,0.160719,0.176855,0.205916,0.256570,0.345905", \ "0.166804,0.172775,0.183486,0.203489,0.238658,0.297925,0.397435"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.143516,0.150913,0.165654,0.194206,0.250161,0.359539,0.574484", \ "0.147810,0.155244,0.169927,0.198588,0.254566,0.363973,0.578990", \ "0.165406,0.172873,0.187618,0.216401,0.272445,0.382091,0.597213", \ "0.195446,0.202899,0.217538,0.246184,0.302235,0.412026,0.627315", \ "0.240265,0.247893,0.262699,0.291249,0.347113,0.456842,0.672060", \ "0.293761,0.302532,0.319528,0.351479,0.410332,0.519852,0.735159", \ "0.354090,0.364109,0.383424,0.419446,0.485339,0.603041,0.818434"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.030651,0.032932,0.037445,0.046338,0.063994,0.098965,0.168288", \ "0.030658,0.032951,0.037450,0.046356,0.064009,0.098963,0.168272", \ "0.030567,0.032863,0.037400,0.046342,0.063990,0.098968,0.168287", \ "0.033417,0.035608,0.039863,0.048122,0.064803,0.099002,0.168252", \ "0.039887,0.042175,0.046652,0.055342,0.071739,0.103524,0.169021", \ "0.051088,0.053410,0.058065,0.066750,0.083501,0.115392,0.177402", \ "0.068089,0.070605,0.075636,0.084553,0.100952,0.132560,0.194245"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.097464,0.104626,0.118901,0.146535,0.199901,0.302349,0.499370", \ "0.097542,0.104680,0.118763,0.146578,0.200042,0.302350,0.499364", \ "0.097471,0.104682,0.118785,0.146588,0.199911,0.302331,0.499348", \ "0.097454,0.104628,0.118737,0.146353,0.199905,0.302351,0.499362", \ "0.101929,0.108411,0.121442,0.147537,0.199812,0.302335,0.499370", \ "0.119709,0.125850,0.138059,0.161013,0.207184,0.303238,0.499368", \ "0.142017,0.148389,0.161164,0.185067,0.229684,0.315985,0.500288"); } } timing () { related_pin : "B2"; when : "A & !B1 & C1 & !C2"; sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.049803,0.052649,0.058215,0.069070,0.090184,0.131396,0.212347", \ "0.054717,0.057565,0.063143,0.074006,0.095125,0.136347,0.217297", \ "0.068704,0.071581,0.077184,0.088083,0.109260,0.150532,0.231513", \ "0.088877,0.092131,0.098250,0.110017,0.132014,0.173477,0.254553", \ "0.110719,0.114666,0.122006,0.135807,0.160997,0.206291,0.288843", \ "0.129949,0.135157,0.144446,0.161733,0.192064,0.243987,0.334279", \ "0.142708,0.149520,0.161591,0.183820,0.221676,0.283294,0.384533"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.140673,0.148074,0.162630,0.191230,0.247042,0.356402,0.570924", \ "0.144945,0.152392,0.167000,0.195569,0.251434,0.360834,0.575379", \ "0.162550,0.170016,0.184667,0.213333,0.269399,0.378894,0.593643", \ "0.192591,0.200038,0.214602,0.243222,0.299216,0.408879,0.623716", \ "0.237284,0.245000,0.259866,0.288402,0.344171,0.453650,0.668493", \ "0.290304,0.299146,0.316153,0.348238,0.407322,0.516772,0.731586", \ "0.350055,0.360162,0.379443,0.415699,0.481859,0.599793,0.814866"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.025418,0.027682,0.032155,0.041016,0.058609,0.093500,0.162710", \ "0.025404,0.027665,0.032147,0.041015,0.058598,0.093498,0.162690", \ "0.025468,0.027705,0.032159,0.041026,0.058609,0.093498,0.162680", \ "0.029058,0.031221,0.035483,0.043736,0.059993,0.093622,0.162668", \ "0.036248,0.038474,0.042841,0.051316,0.067577,0.099150,0.163794", \ "0.048880,0.051133,0.055599,0.063962,0.080053,0.111454,0.173050", \ "0.067133,0.069664,0.074639,0.083272,0.098996,0.129452,0.190311"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.080708,0.087267,0.100515,0.126151,0.176553,0.275654,0.470808", \ "0.080695,0.087266,0.100569,0.126126,0.176517,0.275653,0.470799", \ "0.080707,0.087264,0.100567,0.126097,0.176523,0.275649,0.470792", \ "0.080669,0.087249,0.100526,0.126018,0.176449,0.275646,0.470799", \ "0.085433,0.091324,0.103285,0.127248,0.176274,0.275660,0.470804", \ "0.099993,0.106062,0.118249,0.140618,0.183883,0.276506,0.470800", \ "0.117423,0.123947,0.137111,0.160940,0.205126,0.289218,0.471774"); } } timing () { related_pin : "B2"; when : "A & !B1 & C1 & C2"; sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.044884,0.047342,0.052153,0.061521,0.079719,0.115221,0.184872", \ "0.049876,0.052341,0.057160,0.066529,0.084740,0.120240,0.189909", \ "0.064252,0.066734,0.071570,0.080979,0.099235,0.134785,0.204496", \ "0.085458,0.088364,0.093816,0.104272,0.123597,0.159469,0.229255", \ "0.108323,0.112015,0.118867,0.131514,0.154495,0.194892,0.266973", \ "0.128054,0.132996,0.141996,0.158522,0.187067,0.234907,0.315807", \ "0.140693,0.147327,0.159222,0.180854,0.217533,0.276046,0.369184"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.150138,0.157460,0.171898,0.200254,0.255855,0.364833,0.579111", \ "0.154489,0.161851,0.176323,0.204726,0.260360,0.369398,0.583718", \ "0.172088,0.179476,0.193981,0.222491,0.278278,0.387546,0.602004", \ "0.202115,0.209480,0.223903,0.252350,0.308073,0.417381,0.632019", \ "0.247131,0.254576,0.269143,0.297495,0.353013,0.462225,0.676738", \ "0.301556,0.310151,0.326752,0.358246,0.416322,0.525356,0.739777", \ "0.362951,0.372738,0.391577,0.427012,0.491980,0.608672,0.823178"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.022596,0.024515,0.028323,0.035847,0.050751,0.080297,0.138924", \ "0.022580,0.024498,0.028300,0.035840,0.050736,0.080282,0.138941", \ "0.022714,0.024587,0.028330,0.035854,0.050737,0.080287,0.138952", \ "0.026886,0.028705,0.032291,0.039205,0.052679,0.080658,0.138896", \ "0.035184,0.037039,0.040722,0.047802,0.061386,0.087660,0.140869", \ "0.049169,0.051127,0.054938,0.062046,0.075440,0.101481,0.152537", \ "0.068549,0.070781,0.075095,0.082881,0.096367,0.121681,0.171765"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.084913,0.091544,0.104874,0.130711,0.181250,0.280660,0.476061", \ "0.084910,0.091532,0.104867,0.130736,0.181267,0.280650,0.476060", \ "0.084910,0.091529,0.104874,0.130703,0.181256,0.280633,0.476051", \ "0.084903,0.091538,0.104865,0.130553,0.181159,0.280660,0.476050", \ "0.088820,0.094874,0.107068,0.131452,0.181051,0.280674,0.476051", \ "0.103320,0.109395,0.121467,0.143875,0.187853,0.281317,0.476052", \ "0.120906,0.127427,0.140450,0.164460,0.208723,0.293340,0.476846"); } } timing () { related_pin : "C1"; when : "A & !B1 & B2 & !C2"; sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.036338,0.039207,0.044809,0.055692,0.076834,0.118075,0.199009", \ "0.040679,0.043573,0.049229,0.060184,0.081406,0.122713,0.203748", \ "0.056110,0.058844,0.064366,0.075265,0.096509,0.137868,0.218953", \ "0.079468,0.083310,0.090492,0.103733,0.126214,0.167001,0.247783", \ "0.098157,0.103552,0.113244,0.131605,0.162597,0.212445,0.293765", \ "0.110254,0.117311,0.130232,0.153889,0.194089,0.258603,0.357700", \ "0.114785,0.123546,0.139747,0.169109,0.219119,0.299364,0.421913"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.078226,0.085449,0.099689,0.127454,0.182294,0.290195,0.503597", \ "0.079900,0.087293,0.101841,0.130150,0.185540,0.294067,0.507890", \ "0.093036,0.100241,0.114548,0.142777,0.198375,0.307530,0.522071", \ "0.126234,0.133045,0.146481,0.173755,0.228252,0.336654,0.550976", \ "0.167967,0.177352,0.194811,0.226018,0.279844,0.386115,0.598881", \ "0.213703,0.225304,0.246970,0.285571,0.351391,0.459793,0.669728", \ "0.263993,0.277727,0.303495,0.349418,0.428053,0.556067,0.766563"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.025386,0.027650,0.032120,0.040996,0.058584,0.093482,0.162670", \ "0.025414,0.027674,0.032146,0.041009,0.058583,0.093469,0.162754", \ "0.025133,0.027238,0.031565,0.040699,0.058538,0.093465,0.162714", \ "0.036935,0.038835,0.042322,0.048800,0.061750,0.093418,0.162637", \ "0.054663,0.057130,0.061910,0.069694,0.083287,0.107171,0.164011", \ "0.076443,0.079615,0.085699,0.095774,0.112472,0.139702,0.186027", \ "0.102119,0.106085,0.113563,0.126080,0.146618,0.179053,0.230239"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.067963,0.075098,0.089138,0.116468,0.169858,0.271828,0.467726", \ "0.067963,0.075126,0.089107,0.116492,0.169810,0.271765,0.467730", \ "0.067925,0.075069,0.089043,0.116462,0.169738,0.271815,0.467700", \ "0.073878,0.079384,0.091149,0.116315,0.169767,0.271815,0.467702", \ "0.099477,0.104186,0.112812,0.130485,0.173445,0.271761,0.467738", \ "0.130732,0.135910,0.146119,0.164731,0.198483,0.278506,0.467746", \ "0.167701,0.173084,0.184039,0.204490,0.241886,0.309132,0.471685"); } } timing () { related_pin : "C1"; when : "A & B1 & !B2 & !C2"; sdf_cond : "(A == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.028473,0.031134,0.036390,0.046760,0.067248,0.107780,0.188044", \ "0.032705,0.035401,0.040729,0.051201,0.071794,0.112412,0.192727", \ "0.047713,0.050560,0.055819,0.066217,0.086852,0.127544,0.207941", \ "0.064883,0.069368,0.077559,0.092065,0.116137,0.156721,0.236831", \ "0.076453,0.082752,0.094204,0.114518,0.148117,0.200654,0.282923", \ "0.081136,0.089373,0.104273,0.130796,0.174613,0.242976,0.345482", \ "0.078096,0.088311,0.106954,0.139867,0.194399,0.279556,0.406629"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.075640,0.082847,0.097071,0.124838,0.179495,0.287222,0.500129", \ "0.077281,0.084659,0.099236,0.127481,0.182774,0.291025,0.504422", \ "0.090463,0.097630,0.111955,0.140109,0.195603,0.304539,0.518611", \ "0.123492,0.130606,0.143973,0.171153,0.225479,0.333584,0.547500", \ "0.164270,0.173826,0.191352,0.223062,0.277174,0.383159,0.595425", \ "0.208984,0.220779,0.242687,0.281710,0.348124,0.456910,0.666299", \ "0.258221,0.272171,0.298152,0.344665,0.424015,0.552700,0.763185"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.019583,0.021850,0.026344,0.035264,0.052893,0.087755,0.156855", \ "0.019561,0.021843,0.026354,0.035265,0.052880,0.087767,0.156838", \ "0.021506,0.023209,0.026920,0.035123,0.052878,0.087753,0.156841", \ "0.035189,0.037084,0.040488,0.046858,0.058870,0.088209,0.156820", \ "0.053600,0.056080,0.060868,0.068620,0.082041,0.105345,0.159325", \ "0.076001,0.079172,0.085283,0.095275,0.111815,0.138702,0.184076", \ "0.102332,0.106279,0.113708,0.126138,0.146401,0.178506,0.229146"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.055210,0.061598,0.074363,0.099233,0.148669,0.246418,0.439650", \ "0.055215,0.061600,0.074375,0.099272,0.148716,0.246367,0.439651", \ "0.055165,0.061579,0.074259,0.099163,0.148596,0.246404,0.439646", \ "0.061702,0.066416,0.076609,0.099176,0.148531,0.246362,0.439654", \ "0.082806,0.087783,0.097449,0.113631,0.152549,0.246303,0.439655", \ "0.106309,0.112228,0.123667,0.143513,0.177597,0.253206,0.439655", \ "0.132778,0.139688,0.153035,0.176215,0.216208,0.283945,0.443751"); } } timing () { related_pin : "C1"; when : "A & B1 & B2 & !C2"; sdf_cond : "(A == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.024521,0.026706,0.031023,0.039554,0.056391,0.089708,0.155675", \ "0.028930,0.031140,0.035522,0.044124,0.061046,0.094438,0.160451", \ "0.044136,0.046700,0.051472,0.060015,0.076935,0.110355,0.176411", \ "0.059920,0.064056,0.071557,0.084799,0.106547,0.141240,0.206944", \ "0.070119,0.076012,0.086607,0.105412,0.136219,0.183913,0.255660", \ "0.073350,0.081113,0.095123,0.119822,0.160477,0.223226,0.316120", \ "0.068643,0.078330,0.095960,0.126883,0.177852,0.256704,0.373012"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.075637,0.082826,0.097038,0.124820,0.179496,0.287228,0.500157", \ "0.077009,0.084380,0.098928,0.127234,0.182520,0.290828,0.504258", \ "0.090150,0.097289,0.111581,0.139696,0.195137,0.304059,0.518196", \ "0.123402,0.130523,0.143865,0.170946,0.225174,0.333167,0.547043", \ "0.164405,0.173945,0.191498,0.223089,0.277167,0.382993,0.595115", \ "0.209474,0.221243,0.243076,0.282133,0.348372,0.457035,0.666374", \ "0.259226,0.273127,0.299026,0.345430,0.424645,0.553154,0.763472"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.016005,0.017877,0.021601,0.028955,0.043519,0.072374,0.129528", \ "0.016003,0.017872,0.021590,0.028949,0.043522,0.072386,0.129543", \ "0.019430,0.020614,0.023283,0.029418,0.043506,0.072383,0.129525", \ "0.032775,0.034430,0.037441,0.042838,0.052533,0.074366,0.129512", \ "0.050714,0.052909,0.057172,0.064068,0.075733,0.095429,0.135625", \ "0.072684,0.075544,0.080966,0.090042,0.104674,0.128102,0.166254", \ "0.098631,0.102235,0.108942,0.120227,0.138442,0.166778,0.210688"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.055217,0.061566,0.074348,0.099224,0.148687,0.246417,0.439681", \ "0.055219,0.061566,0.074345,0.099308,0.148666,0.246399,0.439671", \ "0.055164,0.061545,0.074266,0.099239,0.148604,0.246412,0.439684", \ "0.061741,0.066434,0.076666,0.099131,0.148536,0.246373,0.439674", \ "0.082683,0.087669,0.097363,0.113609,0.152537,0.246316,0.439680", \ "0.106035,0.111933,0.123366,0.143310,0.177483,0.253194,0.439812", \ "0.132225,0.139136,0.152430,0.175807,0.215882,0.283760,0.443742"); } } timing () { related_pin : "C2"; when : "A & !B1 & B2 & !C1"; sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.044944,0.047872,0.053606,0.064709,0.086202,0.127881,0.209290", \ "0.048664,0.051606,0.057343,0.068458,0.089968,0.131668,0.213079", \ "0.063324,0.066227,0.071978,0.083134,0.104663,0.146368,0.227809", \ "0.090179,0.093671,0.100241,0.112593,0.134110,0.175472,0.256628", \ "0.114440,0.119268,0.127973,0.144841,0.173980,0.221795,0.302627", \ "0.132727,0.139036,0.150620,0.172318,0.209852,0.271255,0.367500", \ "0.144000,0.151817,0.166347,0.193199,0.239756,0.315950,0.434547"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.092346,0.099485,0.113575,0.141181,0.195837,0.303681,0.517010", \ "0.096717,0.104004,0.118348,0.146325,0.201396,0.309692,0.523313", \ "0.113097,0.120338,0.134698,0.162871,0.218341,0.327231,0.541480", \ "0.142797,0.149870,0.163892,0.191744,0.246927,0.355934,0.570607", \ "0.180834,0.189426,0.205712,0.235903,0.291233,0.399780,0.614341", \ "0.223290,0.233517,0.252769,0.288144,0.351264,0.462595,0.676749", \ "0.271193,0.283245,0.305830,0.346618,0.418515,0.542557,0.759698"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.030690,0.032964,0.037454,0.046354,0.064003,0.098964,0.168279", \ "0.030726,0.032993,0.037470,0.046363,0.064017,0.098977,0.168278", \ "0.030170,0.032479,0.037143,0.046381,0.064010,0.098979,0.168316", \ "0.039705,0.041555,0.045108,0.051544,0.065854,0.098872,0.168261", \ "0.057269,0.059668,0.064347,0.072050,0.085609,0.110141,0.169107", \ "0.078760,0.081882,0.087824,0.097784,0.114492,0.141793,0.188986", \ "0.103619,0.107560,0.115010,0.127545,0.148198,0.180814,0.232278"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.067962,0.075088,0.089149,0.116431,0.169813,0.271837,0.467732", \ "0.067968,0.075129,0.089144,0.116470,0.169793,0.271774,0.467699", \ "0.067987,0.075113,0.089120,0.116479,0.169766,0.271786,0.467756", \ "0.069714,0.076207,0.089355,0.116435,0.169753,0.271824,0.467734", \ "0.084720,0.090462,0.101163,0.123301,0.171565,0.271770,0.467735", \ "0.105789,0.111460,0.122844,0.144653,0.186331,0.275899,0.467695", \ "0.133015,0.138359,0.149513,0.171136,0.213488,0.294603,0.470802"); } } timing () { related_pin : "C2"; when : "A & B1 & !B2 & !C1"; sdf_cond : "(A == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.035930,0.038774,0.044354,0.055198,0.076282,0.117444,0.198249", \ "0.039616,0.042468,0.048066,0.058938,0.080045,0.121209,0.202041", \ "0.054476,0.057180,0.062724,0.073617,0.094743,0.135910,0.216759", \ "0.077203,0.081094,0.088366,0.101721,0.124361,0.165076,0.245611", \ "0.095402,0.100863,0.110629,0.129161,0.160368,0.210402,0.291738", \ "0.107281,0.114413,0.127415,0.151263,0.191648,0.256338,0.355574", \ "0.112036,0.120849,0.137115,0.166594,0.216720,0.297051,0.419658"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.089759,0.096884,0.110930,0.138555,0.193070,0.300694,0.513532", \ "0.094102,0.101363,0.115669,0.143683,0.198638,0.306648,0.519857", \ "0.110479,0.117720,0.132031,0.160175,0.215533,0.324178,0.538036", \ "0.140242,0.147350,0.161291,0.189090,0.244155,0.352913,0.567110", \ "0.177644,0.186315,0.202697,0.233103,0.288511,0.396796,0.610874", \ "0.219367,0.229684,0.249140,0.284787,0.348198,0.459672,0.673335", \ "0.266416,0.278625,0.301317,0.342604,0.414914,0.539285,0.756301"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.025633,0.027836,0.032242,0.041028,0.058556,0.093419,0.162506", \ "0.025614,0.027831,0.032241,0.041027,0.058553,0.093375,0.162508", \ "0.025748,0.027800,0.032019,0.040905,0.058608,0.093380,0.162526", \ "0.037980,0.039828,0.043314,0.049631,0.062431,0.093578,0.162492", \ "0.055951,0.058392,0.063172,0.070816,0.084315,0.107960,0.164211", \ "0.077485,0.080668,0.086846,0.096939,0.113583,0.140675,0.186730", \ "0.102240,0.106293,0.113939,0.126692,0.147465,0.179990,0.231059"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.055206,0.061604,0.074351,0.099237,0.148653,0.246405,0.439650", \ "0.055207,0.061609,0.074356,0.099225,0.148649,0.246362,0.439647", \ "0.055208,0.061604,0.074320,0.099202,0.148645,0.246365,0.439656", \ "0.057211,0.062951,0.074626,0.099161,0.148546,0.246407,0.439654", \ "0.069685,0.075274,0.086115,0.106235,0.150352,0.246306,0.439656", \ "0.085993,0.091799,0.103472,0.124753,0.165208,0.250384,0.439642", \ "0.106616,0.112605,0.124835,0.146851,0.189181,0.269122,0.442842"); } } timing () { related_pin : "C2"; when : "A & B1 & B2 & !C1"; sdf_cond : "(A == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.030159,0.032532,0.037157,0.046131,0.063520,0.097399,0.163880", \ "0.034140,0.036515,0.041144,0.050133,0.067542,0.101435,0.167921", \ "0.050027,0.052368,0.056830,0.065748,0.083127,0.117007,0.183460", \ "0.070988,0.074569,0.081243,0.093361,0.113769,0.147848,0.213964", \ "0.087463,0.092563,0.101614,0.118710,0.147237,0.192571,0.262668", \ "0.097584,0.104279,0.116487,0.138484,0.175898,0.235250,0.325074", \ "0.100419,0.108745,0.124058,0.151660,0.198371,0.272621,0.384727"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.089749,0.096851,0.110946,0.138557,0.193068,0.300694,0.513590", \ "0.093868,0.101126,0.115432,0.143453,0.198433,0.306484,0.519702", \ "0.110224,0.117426,0.131695,0.159800,0.215133,0.323776,0.537673", \ "0.140138,0.147233,0.161140,0.188872,0.243879,0.352517,0.566680", \ "0.177591,0.186251,0.202665,0.233001,0.288356,0.396540,0.610519", \ "0.219411,0.229714,0.249155,0.284776,0.348169,0.459554,0.673098", \ "0.266760,0.278933,0.301639,0.342822,0.415034,0.539374,0.756231"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.021091,0.022928,0.026573,0.033832,0.048273,0.077011,0.134182", \ "0.021032,0.022879,0.026534,0.033821,0.048268,0.077015,0.134176", \ "0.022260,0.023780,0.026959,0.033728,0.048289,0.077025,0.134153", \ "0.035124,0.036743,0.039705,0.045031,0.054887,0.078190,0.134163", \ "0.052602,0.054750,0.059000,0.065847,0.077497,0.097277,0.139107", \ "0.073635,0.076525,0.082060,0.091190,0.105988,0.129609,0.167941", \ "0.097937,0.101656,0.108673,0.120301,0.138934,0.167772,0.212083"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.055210,0.061569,0.074343,0.099254,0.148655,0.246411,0.439673", \ "0.055210,0.061572,0.074337,0.099240,0.148666,0.246408,0.439671", \ "0.055209,0.061571,0.074328,0.099219,0.148658,0.246380,0.439683", \ "0.057231,0.062949,0.074632,0.099152,0.148556,0.246414,0.439685", \ "0.069720,0.075279,0.086123,0.106240,0.150376,0.246317,0.439680", \ "0.085993,0.091713,0.103333,0.124717,0.165198,0.250411,0.439673", \ "0.106494,0.112437,0.124606,0.146812,0.189156,0.269202,0.442873"); } } internal_power () { related_pin : "A"; when : "!B1 & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("1.137666,1.143893,1.146894,1.174206,1.194355,1.235404,1.269321", \ "1.113972,1.120483,1.136059,1.154411,1.180784,1.226505,1.269654", \ "1.078660,1.088077,1.096948,1.127373,1.165213,1.207637,1.256887", \ "1.049915,1.060153,1.071038,1.106469,1.134184,1.186400,1.237726", \ "1.108750,1.109256,1.104348,1.113616,1.129320,1.173740,1.219399", \ "1.218432,1.214745,1.214316,1.206831,1.200104,1.191328,1.228382", \ "1.397892,1.391250,1.384614,1.366659,1.343209,1.311319,1.278849"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("3.901181,3.903601,3.902069,3.902930,3.938963,3.925119,3.934734", \ "3.860047,3.865726,3.876285,3.882372,3.905211,3.951431,3.971599", \ "3.792730,3.799544,3.817168,3.841651,3.830483,3.884354,3.912425", \ "3.840473,3.836613,3.834157,3.841271,3.864645,3.858995,3.886224", \ "3.984656,3.980125,3.975628,3.948899,3.956687,3.921828,3.928780", \ "4.184382,4.189191,4.182375,4.197447,4.156688,4.123259,4.030697", \ "4.498933,4.491757,4.479199,4.471400,4.442902,4.372860,4.254021"); } } internal_power () { related_pin : "A"; when : "!B1 & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.782436,0.785432,0.792902,0.801165,0.823761,0.840557,0.858058", \ "0.754314,0.763179,0.767853,0.788077,0.811884,0.833207,0.857601", \ "0.706107,0.718840,0.727044,0.751298,0.771951,0.813817,0.844414", \ "0.682364,0.688912,0.700192,0.715651,0.750149,0.784914,0.821527", \ "0.751161,0.749060,0.745153,0.740823,0.739676,0.772952,0.806419", \ "0.867780,0.861180,0.851118,0.839009,0.823747,0.798067,0.815050", \ "1.060010,1.050343,1.037285,1.010521,0.971387,0.920351,0.867490"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("3.419543,3.428556,3.433380,3.447691,3.424568,3.459961,3.464640", \ "3.376991,3.388089,3.403550,3.424791,3.445626,3.442836,3.504381", \ "3.310098,3.322041,3.336102,3.334635,3.369672,3.422096,3.442989", \ "3.358241,3.360813,3.366290,3.355013,3.351150,3.395445,3.454935", \ "3.506314,3.509181,3.492975,3.474857,3.497755,3.459615,3.460403", \ "3.667763,3.669412,3.680862,3.675805,3.697455,3.658462,3.561186", \ "3.987123,3.980730,3.981077,3.970671,3.950502,3.909468,3.784236"); } } internal_power () { related_pin : "A"; when : "!B1 & B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.776186,0.781816,0.788379,0.798995,0.811225,0.838177,0.857011", \ "0.753098,0.760091,0.768027,0.787313,0.806891,0.821845,0.851791", \ "0.707806,0.713438,0.724399,0.748498,0.776993,0.808752,0.837274", \ "0.680882,0.683295,0.696225,0.713548,0.742532,0.780239,0.816641", \ "0.752208,0.752987,0.747088,0.744361,0.741138,0.769628,0.802735", \ "0.873352,0.868009,0.860068,0.846134,0.828203,0.806004,0.817255", \ "1.066986,1.061102,1.043162,1.018893,0.977031,0.929011,0.871132"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("3.656069,3.658466,3.672831,3.651035,3.684482,3.694350,3.744996", \ "3.615423,3.620594,3.636785,3.657641,3.684404,3.669981,3.744121", \ "3.544277,3.548580,3.567953,3.590367,3.604299,3.657628,3.705981", \ "3.588898,3.588712,3.590266,3.598956,3.584383,3.629153,3.692159", \ "3.742369,3.737814,3.728952,3.712347,3.728985,3.693651,3.712026", \ "3.903265,3.902140,3.915623,3.929207,3.928375,3.879468,3.796367", \ "4.218405,4.212752,4.210465,4.189504,4.176542,4.144596,4.022447"); } } internal_power () { related_pin : "A"; when : "B1 & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.782436,0.785432,0.792902,0.801165,0.823761,0.840557,0.858058", \ "0.754314,0.763179,0.767853,0.788077,0.811884,0.833207,0.857601", \ "0.706107,0.718840,0.727044,0.751298,0.771951,0.813817,0.844414", \ "0.682364,0.688912,0.700192,0.715651,0.750149,0.784914,0.821527", \ "0.751161,0.749060,0.745153,0.740823,0.739676,0.772952,0.806419", \ "0.867780,0.861180,0.851118,0.839009,0.823747,0.798067,0.815050", \ "1.060010,1.050343,1.037285,1.010521,0.971387,0.920351,0.867490"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("3.419543,3.428556,3.433380,3.447691,3.424568,3.459961,3.464640", \ "3.376991,3.388089,3.403550,3.424791,3.445626,3.442836,3.504381", \ "3.310098,3.322041,3.336102,3.334635,3.369672,3.422096,3.442989", \ "3.358241,3.360813,3.366290,3.355013,3.351150,3.395445,3.454935", \ "3.506314,3.509181,3.492975,3.474857,3.497755,3.459615,3.460403", \ "3.667763,3.669412,3.680862,3.675805,3.697455,3.658462,3.561186", \ "3.987123,3.980730,3.981077,3.970671,3.950502,3.909468,3.784236"); } } internal_power () { related_pin : "A"; when : "B1 & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.444868,0.444958,0.443444,0.444152,0.441581,0.449784,0.445909", \ "0.414310,0.416327,0.422286,0.423016,0.435916,0.438831,0.444600", \ "0.345698,0.352243,0.365723,0.378852,0.395672,0.416520,0.431320", \ "0.331036,0.327414,0.323252,0.337293,0.359031,0.389464,0.409115", \ "0.399694,0.395982,0.386414,0.375898,0.354128,0.373509,0.394020", \ "0.524526,0.516258,0.500123,0.476248,0.445276,0.405383,0.402516", \ "0.734293,0.723037,0.695781,0.656241,0.598799,0.528682,0.456277"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("2.945247,2.953232,2.948706,2.949860,2.984037,3.007407,2.999063", \ "2.893120,2.909399,2.918050,2.924198,2.948651,2.977779,3.040427", \ "2.834473,2.845046,2.860638,2.865228,2.868895,2.971476,2.979229", \ "2.884742,2.886396,2.879486,2.863034,2.910935,2.943425,2.973444", \ "2.960514,2.974922,3.001825,2.989978,3.000139,3.008343,2.994599", \ "3.137750,3.151161,3.167247,3.171342,3.218167,3.148574,3.127997", \ "3.461729,3.462433,3.463765,3.465845,3.463474,3.453200,3.315990"); } } internal_power () { related_pin : "A"; when : "B1 & !B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.441358,0.442929,0.441877,0.440540,0.444109,0.448324,0.447662", \ "0.411849,0.416040,0.416933,0.419163,0.429457,0.439834,0.442435", \ "0.347759,0.355081,0.365791,0.380453,0.393757,0.416463,0.428185", \ "0.332975,0.329052,0.327273,0.339978,0.356624,0.386823,0.412024", \ "0.401436,0.397180,0.390307,0.378031,0.361769,0.372314,0.397745", \ "0.527141,0.519142,0.505900,0.480409,0.450641,0.414961,0.406284", \ "0.744996,0.730912,0.706425,0.666237,0.607556,0.540255,0.467569"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("3.174927,3.181898,3.178627,3.202822,3.218569,3.241410,3.234876", \ "3.131143,3.143721,3.159451,3.167682,3.186059,3.215697,3.278439", \ "3.060126,3.071638,3.081429,3.108230,3.151615,3.204330,3.216691", \ "3.107327,3.114199,3.106803,3.113093,3.143488,3.175630,3.222182", \ "3.197874,3.212937,3.236284,3.243905,3.232339,3.240776,3.228006", \ "3.374225,3.379691,3.394950,3.419946,3.452129,3.382565,3.330252", \ "3.692594,3.690930,3.704555,3.700495,3.685170,3.708834,3.553832"); } } internal_power () { related_pin : "A"; when : "B1 & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.768021,0.775306,0.777545,0.797204,0.814627,0.833842,0.851408", \ "0.742491,0.751439,0.760442,0.772170,0.805174,0.824117,0.851460", \ "0.693040,0.703245,0.714236,0.737167,0.773318,0.803188,0.837975", \ "0.692741,0.692391,0.694785,0.707611,0.739455,0.779578,0.816479", \ "0.759421,0.761623,0.758436,0.753089,0.746529,0.766800,0.806203", \ "0.877139,0.873547,0.867484,0.851581,0.838889,0.816447,0.817412", \ "1.072399,1.065388,1.051269,1.025218,0.987930,0.941586,0.886386"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("3.412500,3.422942,3.419522,3.422132,3.417717,3.453575,3.459606", \ "3.361364,3.366240,3.381369,3.406862,3.430125,3.416887,3.490521", \ "3.297459,3.301167,3.322668,3.329370,3.354059,3.405752,3.428664", \ "3.355117,3.353939,3.350451,3.370618,3.343660,3.383163,3.446420", \ "3.509094,3.513484,3.496755,3.479252,3.496893,3.454757,3.451096", \ "3.677140,3.681576,3.690112,3.684103,3.703747,3.650919,3.588459", \ "4.004345,4.003109,4.004619,3.986790,3.964797,3.921345,3.792379"); } } internal_power () { related_pin : "A"; when : "B1 & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.444134,0.440732,0.445675,0.447582,0.444371,0.445565,0.448131", \ "0.412629,0.417455,0.414639,0.425350,0.436940,0.441969,0.442685", \ "0.347119,0.355752,0.361540,0.376099,0.396870,0.419524,0.429633", \ "0.349103,0.344969,0.343326,0.344353,0.362992,0.384823,0.411150", \ "0.414697,0.411681,0.406312,0.388301,0.374537,0.369929,0.396733", \ "0.538689,0.532060,0.516779,0.492636,0.463284,0.429097,0.407605", \ "0.752605,0.737028,0.716874,0.674224,0.618861,0.553241,0.481300"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("2.929036,2.939358,2.941816,2.950728,2.977277,3.001923,2.992093", \ "2.877745,2.890534,2.902357,2.895211,2.939197,2.963157,3.024333", \ "2.813753,2.825740,2.835256,2.845700,2.905921,2.929488,2.962143", \ "2.881542,2.879568,2.880958,2.872004,2.901550,2.931193,2.936381", \ "2.963341,2.975299,2.991850,3.024012,2.999264,3.003222,2.985150", \ "3.154381,3.157387,3.174802,3.178460,3.224277,3.151422,3.131081", \ "3.478653,3.480940,3.480175,3.481306,3.477514,3.465113,3.323521"); } } internal_power () { related_pin : "A"; when : "B1 & B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.440175,0.443930,0.443713,0.446865,0.447181,0.445629,0.447536", \ "0.410682,0.413266,0.421185,0.421401,0.437061,0.438962,0.446013", \ "0.347414,0.356878,0.363988,0.376749,0.399339,0.420146,0.432289", \ "0.349414,0.349625,0.346667,0.344012,0.366385,0.387671,0.409506", \ "0.415454,0.411921,0.406693,0.397677,0.381146,0.373177,0.400686", \ "0.544530,0.536822,0.521319,0.498175,0.469208,0.439607,0.410233", \ "0.760319,0.747107,0.721624,0.681102,0.629508,0.566113,0.496769"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("3.165593,3.175592,3.185779,3.186370,3.211987,3.234738,3.227877", \ "3.115201,3.127921,3.135325,3.159218,3.170788,3.200625,3.265366", \ "3.047730,3.058981,3.077298,3.098340,3.087557,3.124823,3.199223", \ "3.103249,3.110023,3.108646,3.111960,3.134084,3.164770,3.169379", \ "3.200909,3.215419,3.238832,3.236043,3.231487,3.236230,3.218535", \ "3.383561,3.388771,3.410242,3.428116,3.458721,3.386627,3.328931", \ "3.709688,3.714527,3.719645,3.716440,3.699607,3.726728,3.608799"); } } internal_power () { related_pin : "B1"; when : "A & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.800389,0.801615,0.812830,0.825906,0.843581,0.862522,0.878755", \ "0.807735,0.810660,0.825221,0.839700,0.863091,0.881740,0.908044", \ "0.773521,0.783571,0.799618,0.819893,0.843106,0.884413,0.912044", \ "0.735839,0.741700,0.749863,0.776551,0.812489,0.856597,0.899131", \ "0.773208,0.775673,0.776400,0.785369,0.790102,0.831946,0.879053", \ "0.829608,0.831830,0.835292,0.834569,0.843530,0.838787,0.870680", \ "0.948333,0.943184,0.938940,0.934750,0.929462,0.918981,0.894969"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("4.151646,4.157998,4.170609,4.177908,4.220820,4.235525,4.294772", \ "4.104996,4.111737,4.128531,4.146723,4.162292,4.209253,4.269979", \ "4.045997,4.053383,4.070434,4.097326,4.129126,4.184954,4.251273", \ "4.063484,4.069411,4.072318,4.097171,4.117292,4.170249,4.237245", \ "4.169901,4.172538,4.177183,4.175054,4.194429,4.203073,4.256282", \ "4.377577,4.375271,4.378799,4.361563,4.331497,4.346524,4.346121", \ "4.654628,4.660785,4.678990,4.652900,4.618801,4.568143,4.507450"); } } internal_power () { related_pin : "B1"; when : "A & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.462345,0.464043,0.464190,0.465047,0.462933,0.469160,0.467257", \ "0.463234,0.463081,0.471197,0.478210,0.485752,0.489189,0.495686", \ "0.407695,0.417140,0.427472,0.447777,0.464209,0.487861,0.499385", \ "0.369593,0.370620,0.372203,0.392763,0.423791,0.458896,0.484562", \ "0.402188,0.403408,0.404859,0.405126,0.404618,0.430113,0.464354", \ "0.465013,0.463332,0.458708,0.456904,0.452015,0.441368,0.455484", \ "0.586064,0.582523,0.572307,0.562579,0.541363,0.518784,0.483254"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("3.637585,3.645001,3.663891,3.683185,3.727248,3.773645,3.829791", \ "3.590128,3.598565,3.619822,3.650174,3.669751,3.747611,3.805778", \ "3.530533,3.544585,3.565532,3.598353,3.636251,3.692299,3.755147", \ "3.548848,3.556097,3.565913,3.583934,3.625601,3.677858,3.741123", \ "3.656628,3.660696,3.667979,3.678225,3.702374,3.709770,3.759451", \ "3.867527,3.867715,3.866899,3.869499,3.838889,3.854586,3.849920", \ "4.088362,4.103602,4.124512,4.167766,4.128105,4.076382,4.044351"); } } internal_power () { related_pin : "B1"; when : "A & !B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.464028,0.461201,0.464278,0.467075,0.465937,0.470058,0.469203", \ "0.460746,0.465000,0.466096,0.477774,0.479962,0.490066,0.493514", \ "0.409179,0.417937,0.431351,0.444995,0.471811,0.488368,0.501090", \ "0.375162,0.376857,0.376686,0.389705,0.427363,0.459501,0.484874", \ "0.400504,0.402341,0.407352,0.412819,0.413156,0.431228,0.464298", \ "0.464330,0.461728,0.458960,0.459253,0.455426,0.449636,0.453921", \ "0.589450,0.582577,0.574371,0.563276,0.546950,0.525410,0.495212"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("3.891887,3.903704,3.920593,3.938184,3.970427,4.015114,4.069065", \ "3.845521,3.858247,3.877600,3.901271,3.944065,3.991159,4.047538", \ "3.791426,3.800173,3.819020,3.841314,3.894612,3.965884,4.029453", \ "3.804548,3.816232,3.828558,3.839655,3.869273,3.952051,4.014447", \ "3.915136,3.919325,3.924167,3.936205,3.947013,3.985343,4.032994", \ "4.121944,4.125253,4.127965,4.116756,4.114827,4.100648,4.125849", \ "4.352183,4.364726,4.384346,4.421304,4.372218,4.323368,4.294699"); } } internal_power () { related_pin : "B2"; when : "A & !B1 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("1.120645,1.128632,1.142617,1.157897,1.185600,1.209742,1.251171", \ "1.089089,1.097456,1.107211,1.124149,1.151668,1.185119,1.218402", \ "1.050640,1.059566,1.070048,1.093529,1.118319,1.152292,1.194236", \ "1.024965,1.034755,1.047241,1.061078,1.091614,1.134986,1.181012", \ "1.068942,1.074193,1.078291,1.085717,1.088883,1.123661,1.170814", \ "1.139211,1.139232,1.143630,1.147930,1.153485,1.142287,1.173768", \ "1.247727,1.245468,1.245093,1.242430,1.239070,1.234760,1.207048"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("4.710121,4.716276,4.729960,4.748617,4.760559,4.805862,4.862891", \ "4.673810,4.679949,4.696496,4.716931,4.754068,4.771498,4.830778", \ "4.635525,4.642361,4.661370,4.682026,4.709888,4.760746,4.823984", \ "4.619373,4.626420,4.636126,4.648245,4.685776,4.739795,4.805050", \ "4.622662,4.628622,4.642804,4.663875,4.674846,4.726528,4.788235", \ "4.683440,4.688216,4.698939,4.715460,4.730451,4.771627,4.798160", \ "4.780118,4.793240,4.826357,4.825961,4.841590,4.827567,4.861239"); } } internal_power () { related_pin : "B2"; when : "A & !B1 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.766605,0.773502,0.782288,0.790951,0.800946,0.824541,0.839274", \ "0.736595,0.737243,0.746976,0.758890,0.774098,0.791121,0.807045", \ "0.691392,0.698580,0.702744,0.722397,0.736314,0.762921,0.781970", \ "0.656051,0.663378,0.671258,0.685554,0.716804,0.740937,0.768912", \ "0.708785,0.711203,0.712823,0.711797,0.700171,0.725085,0.760568", \ "0.774738,0.773633,0.769729,0.772532,0.765390,0.745067,0.764386", \ "0.883768,0.881601,0.877515,0.869127,0.857850,0.836776,0.794018"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("4.195697,4.203083,4.226468,4.248539,4.267590,4.312702,4.400225", \ "4.158868,4.171539,4.193001,4.213895,4.261550,4.309286,4.365857", \ "4.120349,4.133903,4.151527,4.181735,4.218822,4.268354,4.327818", \ "4.099444,4.112774,4.125126,4.149156,4.193821,4.247145,4.308439", \ "4.108204,4.120394,4.134630,4.158977,4.182259,4.232804,4.324789", \ "4.173677,4.180616,4.195801,4.214970,4.240177,4.279523,4.334235", \ "4.215769,4.231553,4.276091,4.336644,4.351869,4.364572,4.397135"); } } internal_power () { related_pin : "B2"; when : "A & !B1 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.765610,0.770373,0.775144,0.788610,0.793281,0.815313,0.833818", \ "0.729806,0.734980,0.736982,0.749478,0.767527,0.780812,0.806857", \ "0.688043,0.694512,0.703498,0.714477,0.735150,0.753887,0.775897", \ "0.657527,0.659833,0.668061,0.687157,0.704051,0.736106,0.760453", \ "0.710190,0.711805,0.713860,0.707719,0.707481,0.724698,0.752496", \ "0.776267,0.776009,0.771058,0.773563,0.769086,0.752498,0.759634", \ "0.886575,0.882858,0.878237,0.871079,0.860320,0.840002,0.803686"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("4.455303,4.462095,4.484164,4.509159,4.540079,4.584810,4.639444", \ "4.420037,4.432183,4.445328,4.469990,4.506800,4.552693,4.608028", \ "4.381333,4.394083,4.411487,4.429949,4.487205,4.543592,4.602974", \ "4.359817,4.372533,4.389781,4.406340,4.437301,4.519827,4.582658", \ "4.367141,4.378940,4.391813,4.407515,4.455552,4.505757,4.565436", \ "4.426826,4.437798,4.451081,4.462813,4.483073,4.521750,4.599505", \ "4.478218,4.493735,4.532670,4.586163,4.594314,4.606214,4.637380"); } } internal_power () { related_pin : "C1"; when : "A & !B1 & B2 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.796814,0.802411,0.810168,0.817574,0.832060,0.860106,0.881819", \ "0.797926,0.807123,0.812234,0.838189,0.861040,0.883193,0.907063", \ "0.786646,0.791168,0.806098,0.823929,0.854405,0.886233,0.916026", \ "0.805903,0.802316,0.801583,0.819736,0.844577,0.879660,0.911278", \ "0.925620,0.924024,0.914918,0.898654,0.878634,0.898701,0.919712", \ "1.115439,1.104681,1.090829,1.066169,1.024229,0.972436,0.963719", \ "1.387384,1.374367,1.351481,1.310724,1.246126,1.158855,1.065401"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("2.587707,2.592914,2.601864,2.609639,2.601361,2.620753,2.611779", \ "2.533255,2.542650,2.563623,2.581275,2.590874,2.621196,2.621267", \ "2.473155,2.480238,2.490779,2.505958,2.541941,2.558213,2.601014", \ "2.511865,2.511099,2.501958,2.509839,2.521353,2.533375,2.571289", \ "2.653918,2.648612,2.638580,2.596103,2.571766,2.581242,2.576370", \ "2.891700,2.877055,2.857071,2.806646,2.744802,2.691805,2.656771", \ "3.133602,3.130204,3.120352,3.117120,3.044982,2.918098,2.811662"); } } internal_power () { related_pin : "C1"; when : "A & B1 & !B2 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.457711,0.457445,0.462767,0.463425,0.466727,0.467535,0.466593", \ "0.457542,0.460849,0.464218,0.471211,0.483982,0.491160,0.495439", \ "0.423830,0.427458,0.436061,0.454112,0.470378,0.489601,0.504147", \ "0.463484,0.455009,0.441764,0.446829,0.458692,0.481953,0.496790", \ "0.591907,0.580087,0.565441,0.538709,0.503209,0.501071,0.507880", \ "0.795669,0.780963,0.752794,0.713682,0.653535,0.583250,0.553626", \ "1.087790,1.070037,1.035502,0.978887,0.888008,0.775675,0.659057"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("2.125071,2.132303,2.137251,2.146927,2.156096,2.139759,2.152589", \ "2.068546,2.080083,2.097500,2.117161,2.146748,2.140282,2.161864", \ "2.009696,2.015280,2.020865,2.036790,2.069382,2.106813,2.142758", \ "2.049896,2.045677,2.047350,2.033432,2.048699,2.081279,2.113199", \ "2.193777,2.185380,2.169489,2.128729,2.127593,2.117375,2.085113", \ "2.341685,2.354383,2.367382,2.347596,2.298578,2.241471,2.165577", \ "2.610954,2.604599,2.611069,2.601286,2.576118,2.465222,2.353085"); } } internal_power () { related_pin : "C1"; when : "A & B1 & B2 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.459458,0.461523,0.462174,0.465964,0.468440,0.467034,0.470928", \ "0.453392,0.461792,0.461744,0.473765,0.482924,0.487479,0.495697", \ "0.428068,0.432726,0.442343,0.455939,0.473706,0.488865,0.504078", \ "0.477538,0.471479,0.463344,0.448512,0.461686,0.478881,0.499808", \ "0.605929,0.594933,0.575750,0.558327,0.522960,0.505142,0.510853", \ "0.811030,0.795885,0.772548,0.730802,0.675957,0.606942,0.561659", \ "1.098823,1.082904,1.051735,0.996613,0.911606,0.800855,0.684111"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("2.123333,2.130773,2.139677,2.136827,2.155391,2.138757,2.151780", \ "2.062378,2.072472,2.087839,2.095356,2.111647,2.135478,2.157225", \ "1.997827,2.003364,2.017073,2.031683,2.059352,2.096787,2.133528", \ "2.047405,2.042825,2.041233,2.024922,2.041502,2.067004,2.070202", \ "2.193626,2.186875,2.173718,2.131866,2.125896,2.096109,2.077175", \ "2.347043,2.359411,2.382572,2.370168,2.301880,2.242444,2.196370", \ "2.621783,2.619462,2.620483,2.623701,2.584266,2.471913,2.356330"); } } internal_power () { related_pin : "C2"; when : "A & !B1 & B2 & !C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("1.117836,1.129123,1.137218,1.160019,1.176867,1.216696,1.249644", \ "1.082616,1.094095,1.099656,1.123440,1.143877,1.184488,1.217863", \ "1.044546,1.056021,1.069927,1.085444,1.116706,1.158955,1.194486", \ "1.055360,1.059769,1.065345,1.081467,1.115672,1.146028,1.188077", \ "1.180486,1.178302,1.172816,1.156617,1.157894,1.182217,1.206849", \ "1.373141,1.366221,1.352871,1.335607,1.300387,1.255782,1.260640", \ "1.644301,1.637557,1.621511,1.588371,1.534754,1.453406,1.370111"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("3.162995,3.167633,3.170194,3.183092,3.173738,3.193023,3.183877", \ "3.131797,3.138795,3.151165,3.150316,3.162119,3.188047,3.183855", \ "3.061316,3.066574,3.078063,3.089840,3.126036,3.137122,3.177007", \ "3.027551,3.034658,3.029812,3.042776,3.049639,3.089741,3.138346", \ "3.048838,3.050607,3.049283,3.042273,3.056213,3.071373,3.099653", \ "3.134467,3.136530,3.127833,3.115596,3.095447,3.105152,3.096501", \ "3.209918,3.216383,3.224165,3.246331,3.219797,3.196023,3.159767"); } } internal_power () { related_pin : "C2"; when : "A & B1 & !B2 & !C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.769860,0.769302,0.772387,0.789610,0.796827,0.821585,0.838815", \ "0.727984,0.736166,0.744434,0.749739,0.763749,0.789177,0.807780", \ "0.685607,0.693437,0.697473,0.722892,0.738661,0.763176,0.781836", \ "0.707368,0.701712,0.699800,0.720072,0.737395,0.751253,0.776051", \ "0.843156,0.838360,0.823594,0.802070,0.777737,0.782902,0.796132", \ "1.045650,1.034732,1.015785,0.987776,0.936012,0.868871,0.851982", \ "1.331651,1.321215,1.293578,1.248845,1.176026,1.074174,0.964081"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("2.699357,2.701382,2.711123,2.705768,2.707696,2.712121,2.724956", \ "2.667498,2.676226,2.679006,2.679637,2.717293,2.728827,2.725315", \ "2.597290,2.600750,2.613282,2.614893,2.653247,2.686038,2.717612", \ "2.563724,2.568818,2.569292,2.586138,2.604463,2.639509,2.651188", \ "2.589841,2.587479,2.576432,2.574388,2.582803,2.607780,2.641995", \ "2.585869,2.599619,2.636488,2.656541,2.619751,2.619934,2.639068", \ "2.684297,2.689267,2.704487,2.732263,2.775310,2.711728,2.697840"); } } internal_power () { related_pin : "C2"; when : "A & B1 & B2 & !C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.755762,0.757581,0.764688,0.767067,0.793847,0.810609,0.834343", \ "0.717989,0.721235,0.727358,0.742181,0.765234,0.778556,0.801852", \ "0.677353,0.682960,0.694878,0.707436,0.734042,0.749280,0.776772", \ "0.715192,0.713800,0.703982,0.706868,0.731918,0.751812,0.770513", \ "0.854585,0.847628,0.830749,0.814861,0.787303,0.782135,0.789673", \ "1.057368,1.047354,1.024846,0.999794,0.953065,0.887799,0.852064", \ "1.347001,1.331491,1.306974,1.262107,1.193744,1.094697,0.977094"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("2.698791,2.702092,2.709533,2.703676,2.700147,2.711383,2.724156", \ "2.662136,2.669839,2.676689,2.689059,2.712318,2.702305,2.720782", \ "2.586834,2.594476,2.603792,2.615764,2.644662,2.677851,2.709234", \ "2.561060,2.565912,2.568616,2.557983,2.598348,2.630450,2.636880", \ "2.588551,2.585135,2.576574,2.582865,2.578845,2.601626,2.633813", \ "2.585721,2.600615,2.636724,2.641094,2.617930,2.616229,2.632742", \ "2.691399,2.697339,2.711962,2.733737,2.775809,2.711740,2.694241"); } } } } /****************************************************************************************** Module : OAI221_X2 Cell Description : Combinational cell (OAI221_X2) with drive strength X2 *******************************************************************************************/ cell (OAI221_X2) { drive_strength : 2; area : 2.926000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 36.593315; leakage_power () { when : "!A & !B1 & !B2 & !C1 & !C2"; value : 7.078792; } leakage_power () { when : "!A & !B1 & !B2 & !C1 & C2"; value : 9.321709; } leakage_power () { when : "!A & !B1 & !B2 & C1 & !C2"; value : 9.321710; } leakage_power () { when : "!A & !B1 & !B2 & C1 & C2"; value : 7.462853; } leakage_power () { when : "!A & !B1 & B2 & !C1 & !C2"; value : 18.777253; } leakage_power () { when : "!A & !B1 & B2 & !C1 & C2"; value : 27.670167; } leakage_power () { when : "!A & !B1 & B2 & C1 & !C2"; value : 27.670168; } leakage_power () { when : "!A & !B1 & B2 & C1 & C2"; value : 26.370899; } leakage_power () { when : "!A & B1 & !B2 & !C1 & !C2"; value : 18.777253; } leakage_power () { when : "!A & B1 & !B2 & !C1 & C2"; value : 27.670167; } leakage_power () { when : "!A & B1 & !B2 & C1 & !C2"; value : 27.670168; } leakage_power () { when : "!A & B1 & !B2 & C1 & C2"; value : 26.370899; } leakage_power () { when : "!A & B1 & B2 & !C1 & !C2"; value : 28.917591; } leakage_power () { when : "!A & B1 & B2 & !C1 & C2"; value : 37.812272; } leakage_power () { when : "!A & B1 & B2 & C1 & !C2"; value : 37.812274; } leakage_power () { when : "!A & B1 & B2 & C1 & C2"; value : 36.513101; } leakage_power () { when : "A & !B1 & !B2 & !C1 & !C2"; value : 13.844198; } leakage_power () { when : "A & !B1 & !B2 & !C1 & C2"; value : 29.939651; } leakage_power () { when : "A & !B1 & !B2 & C1 & !C2"; value : 29.939651; } leakage_power () { when : "A & !B1 & !B2 & C1 & C2"; value : 28.432433; } leakage_power () { when : "A & !B1 & B2 & !C1 & !C2"; value : 69.646020; } leakage_power () { when : "A & !B1 & B2 & !C1 & C2"; value : 46.259870; } leakage_power () { when : "A & !B1 & B2 & C1 & !C2"; value : 48.991880; } leakage_power () { when : "A & !B1 & B2 & C1 & C2"; value : 53.219380; } leakage_power () { when : "A & B1 & !B2 & !C1 & !C2"; value : 69.646210; } leakage_power () { when : "A & B1 & !B2 & !C1 & C2"; value : 48.991880; } leakage_power () { when : "A & B1 & !B2 & C1 & !C2"; value : 51.723700; } leakage_power () { when : "A & B1 & !B2 & C1 & C2"; value : 55.951105; } leakage_power () { when : "A & B1 & B2 & !C1 & !C2"; value : 79.810070; } leakage_power () { when : "A & B1 & B2 & !C1 & C2"; value : 53.226410; } leakage_power () { when : "A & B1 & B2 & C1 & !C2"; value : 55.958895; } leakage_power () { when : "A & B1 & B2 & C1 & C2"; value : 60.187449; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.475169; fall_capacitance : 3.220802; rise_capacitance : 3.475169; } pin (B1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.340892; fall_capacitance : 2.910516; rise_capacitance : 3.340892; } pin (B2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.037239; fall_capacitance : 2.874075; rise_capacitance : 3.037239; } pin (C1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 2.936113; fall_capacitance : 2.610084; rise_capacitance : 2.936113; } pin (C2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.144113; fall_capacitance : 3.113541; rise_capacitance : 3.144113; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 43.854920; function : "!(((C1 | C2) & A) & (B1 | B2))"; timing () { related_pin : "A"; when : "!B1 & B2 & !C1 & C2"; sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.055882,0.060012,0.065537,0.076356,0.097478,0.138809,0.220231", \ "0.060562,0.064718,0.070264,0.081127,0.102302,0.143685,0.225154", \ "0.075655,0.079800,0.085365,0.096258,0.117522,0.159017,0.240586", \ "0.101956,0.106567,0.112594,0.124209,0.145464,0.187040,0.268802", \ "0.131236,0.137123,0.144581,0.158783,0.184604,0.230103,0.312563", \ "0.158034,0.165769,0.175302,0.193687,0.226014,0.280758,0.372669", \ "0.179312,0.189113,0.201383,0.224489,0.265189,0.332394,0.440080"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.047707,0.052107,0.058091,0.069955,0.093528,0.140312,0.233445", \ "0.052318,0.056780,0.062826,0.074796,0.098477,0.145373,0.238638", \ "0.070183,0.074666,0.080734,0.092721,0.116444,0.163474,0.256881", \ "0.104926,0.110246,0.117140,0.129631,0.152873,0.199409,0.292465", \ "0.144487,0.151789,0.161127,0.178420,0.208391,0.257493,0.349409", \ "0.186817,0.196197,0.208279,0.230484,0.268985,0.332245,0.431291", \ "0.232005,0.243523,0.258439,0.285579,0.332984,0.411108,0.532905"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.030799,0.033969,0.038304,0.046986,0.064331,0.098958,0.168208", \ "0.030798,0.033967,0.038302,0.046994,0.064315,0.098963,0.168217", \ "0.030503,0.033756,0.038168,0.046932,0.064303,0.098949,0.168212", \ "0.034750,0.037623,0.041431,0.048973,0.064883,0.098866,0.168201", \ "0.045639,0.048582,0.052576,0.060250,0.075112,0.104159,0.168551", \ "0.062120,0.065537,0.070134,0.078350,0.093458,0.122093,0.178591", \ "0.082751,0.086899,0.092375,0.102096,0.118869,0.148272,0.203391"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.039536,0.044137,0.050468,0.063050,0.087992,0.136973,0.231408", \ "0.039526,0.044136,0.050467,0.063048,0.087969,0.136974,0.231429", \ "0.039454,0.044114,0.050434,0.063036,0.087973,0.136982,0.231450", \ "0.050930,0.053617,0.057873,0.067459,0.089065,0.136968,0.231401", \ "0.076950,0.079998,0.084305,0.092149,0.106875,0.143556,0.231385", \ "0.110304,0.113601,0.118437,0.127389,0.143914,0.173100,0.241964", \ "0.150350,0.153832,0.158904,0.168812,0.187453,0.220631,0.277726"); } } timing () { related_pin : "A"; when : "!B1 & B2 & C1 & !C2"; sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.046854,0.050850,0.056220,0.066779,0.087502,0.128315,0.209179", \ "0.051473,0.055501,0.060912,0.071525,0.092314,0.133193,0.214095", \ "0.066492,0.070517,0.075924,0.086586,0.107478,0.148489,0.229507", \ "0.090584,0.095444,0.101705,0.113619,0.135333,0.176455,0.257693", \ "0.115355,0.121824,0.130017,0.145206,0.172149,0.218757,0.301392", \ "0.135891,0.144564,0.155264,0.175373,0.210080,0.267117,0.360721", \ "0.150152,0.161295,0.175031,0.200592,0.244637,0.315567,0.426258"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.046568,0.050984,0.056966,0.068836,0.092388,0.139144,0.232199", \ "0.051177,0.055663,0.061693,0.073662,0.097340,0.144224,0.237359", \ "0.069052,0.073548,0.079603,0.091585,0.115306,0.162306,0.255607", \ "0.103478,0.108890,0.115871,0.128537,0.151753,0.198230,0.291255", \ "0.142386,0.149812,0.159321,0.176792,0.206937,0.256350,0.348166", \ "0.184058,0.193591,0.205818,0.228254,0.267140,0.330752,0.430052", \ "0.228511,0.240207,0.255262,0.282717,0.330582,0.409145,0.531370"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.025504,0.028649,0.032969,0.041603,0.058842,0.093354,0.162453", \ "0.025496,0.028649,0.032963,0.041604,0.058855,0.093368,0.162461", \ "0.025250,0.028423,0.032798,0.041502,0.058822,0.093353,0.162432", \ "0.031258,0.034051,0.037850,0.045165,0.060272,0.093303,0.162424", \ "0.043359,0.046264,0.050180,0.057613,0.071983,0.100294,0.163185", \ "0.060606,0.064065,0.068682,0.076834,0.091571,0.119342,0.174687", \ "0.081693,0.085922,0.091462,0.101193,0.117833,0.146628,0.200529"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.034482,0.038817,0.044720,0.056534,0.080041,0.126786,0.218968", \ "0.034478,0.038828,0.044708,0.056507,0.080050,0.126795,0.218981", \ "0.034382,0.038770,0.044702,0.056521,0.080038,0.126800,0.218985", \ "0.045951,0.048587,0.052411,0.061174,0.081222,0.126777,0.218971", \ "0.067264,0.070940,0.075720,0.084207,0.099180,0.133555,0.218912", \ "0.093044,0.097657,0.103727,0.114246,0.132553,0.163139,0.229665", \ "0.123178,0.128699,0.135936,0.148755,0.170791,0.206948,0.265600"); } } timing () { related_pin : "A"; when : "!B1 & B2 & C1 & C2"; sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.041838,0.045294,0.049931,0.059050,0.076932,0.112114,0.181767", \ "0.046499,0.049983,0.054653,0.063820,0.081757,0.116989,0.186679", \ "0.062679,0.066139,0.070809,0.080001,0.098023,0.133378,0.203162", \ "0.088551,0.093011,0.098722,0.109458,0.128797,0.164275,0.234258", \ "0.113596,0.119885,0.127770,0.142372,0.167832,0.210443,0.282587", \ "0.133588,0.142132,0.152594,0.172265,0.206066,0.260776,0.346968", \ "0.147180,0.158048,0.171564,0.196623,0.239843,0.309237,0.415301"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.050170,0.054569,0.060520,0.072371,0.095871,0.142566,0.235551", \ "0.054819,0.059277,0.065297,0.077251,0.100889,0.147739,0.240824", \ "0.072636,0.077108,0.083148,0.095104,0.118797,0.165767,0.259042", \ "0.107850,0.113030,0.119749,0.131920,0.155139,0.201611,0.294591", \ "0.148437,0.155536,0.164607,0.181543,0.211001,0.259757,0.351505", \ "0.191853,0.201005,0.212785,0.234483,0.272396,0.334974,0.433402", \ "0.238131,0.249349,0.263838,0.290494,0.337184,0.414454,0.535394"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.022705,0.025392,0.029060,0.036387,0.051022,0.080308,0.138912", \ "0.022701,0.025387,0.029065,0.036388,0.051027,0.080310,0.138911", \ "0.022538,0.025137,0.028846,0.036276,0.050993,0.080314,0.138901", \ "0.030006,0.032248,0.035294,0.041207,0.053154,0.080332,0.138891", \ "0.043812,0.046368,0.049785,0.056069,0.067751,0.090113,0.140396", \ "0.062105,0.065271,0.069512,0.076944,0.089980,0.113038,0.156478", \ "0.084157,0.088031,0.093134,0.102243,0.117680,0.143412,0.187816"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.036234,0.040591,0.046516,0.058380,0.081982,0.128823,0.221036", \ "0.036229,0.040587,0.046521,0.058396,0.082004,0.128818,0.221028", \ "0.036191,0.040572,0.046516,0.058396,0.081980,0.128818,0.221038", \ "0.046264,0.048993,0.053160,0.062252,0.082904,0.128829,0.221027", \ "0.067710,0.071382,0.076160,0.084619,0.099725,0.135027,0.221015", \ "0.093521,0.098129,0.104098,0.114718,0.133014,0.163647,0.231134", \ "0.123602,0.129134,0.136346,0.149240,0.171276,0.207471,0.266354"); } } timing () { related_pin : "A"; when : "B1 & !B2 & !C1 & C2"; sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.046854,0.050850,0.056220,0.066779,0.087502,0.128315,0.209179", \ "0.051473,0.055501,0.060912,0.071525,0.092314,0.133193,0.214095", \ "0.066492,0.070517,0.075924,0.086586,0.107478,0.148489,0.229507", \ "0.090584,0.095444,0.101705,0.113619,0.135333,0.176455,0.257693", \ "0.115355,0.121824,0.130017,0.145206,0.172149,0.218757,0.301392", \ "0.135891,0.144564,0.155264,0.175373,0.210080,0.267117,0.360721", \ "0.150152,0.161295,0.175031,0.200592,0.244637,0.315567,0.426258"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.046568,0.050984,0.056966,0.068836,0.092388,0.139144,0.232199", \ "0.051177,0.055663,0.061693,0.073662,0.097340,0.144224,0.237359", \ "0.069052,0.073548,0.079603,0.091585,0.115306,0.162306,0.255607", \ "0.103478,0.108890,0.115871,0.128537,0.151753,0.198230,0.291255", \ "0.142386,0.149812,0.159321,0.176792,0.206937,0.256350,0.348166", \ "0.184058,0.193591,0.205818,0.228254,0.267140,0.330752,0.430052", \ "0.228511,0.240207,0.255262,0.282717,0.330582,0.409145,0.531370"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.025504,0.028649,0.032969,0.041603,0.058842,0.093354,0.162453", \ "0.025496,0.028649,0.032963,0.041604,0.058855,0.093368,0.162461", \ "0.025250,0.028423,0.032798,0.041502,0.058822,0.093353,0.162432", \ "0.031258,0.034051,0.037850,0.045165,0.060272,0.093303,0.162424", \ "0.043359,0.046264,0.050180,0.057613,0.071983,0.100294,0.163185", \ "0.060606,0.064065,0.068682,0.076834,0.091571,0.119342,0.174687", \ "0.081693,0.085922,0.091462,0.101193,0.117833,0.146628,0.200529"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.034482,0.038817,0.044720,0.056534,0.080041,0.126786,0.218968", \ "0.034478,0.038828,0.044708,0.056507,0.080050,0.126795,0.218981", \ "0.034382,0.038770,0.044702,0.056521,0.080038,0.126800,0.218985", \ "0.045951,0.048587,0.052411,0.061174,0.081222,0.126777,0.218971", \ "0.067264,0.070940,0.075720,0.084207,0.099180,0.133555,0.218912", \ "0.093044,0.097657,0.103727,0.114246,0.132553,0.163139,0.229665", \ "0.123178,0.128699,0.135936,0.148755,0.170791,0.206948,0.265600"); } } timing () { related_pin : "A"; when : "B1 & !B2 & C1 & !C2"; sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.039019,0.042727,0.047782,0.057862,0.077970,0.118117,0.198359", \ "0.043531,0.047301,0.052414,0.062569,0.082762,0.122983,0.203271", \ "0.058176,0.062030,0.067221,0.077502,0.097850,0.138249,0.218676", \ "0.078699,0.083919,0.090518,0.102873,0.125249,0.166120,0.246822", \ "0.097362,0.104809,0.114020,0.130669,0.159308,0.207347,0.290440", \ "0.110161,0.120363,0.132718,0.155330,0.193140,0.253105,0.348809", \ "0.116250,0.129301,0.145335,0.174215,0.222578,0.298036,0.412320"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.045446,0.049873,0.055846,0.067720,0.091254,0.137974,0.230953", \ "0.050038,0.054522,0.060573,0.072542,0.096200,0.143031,0.236099", \ "0.067944,0.072431,0.078482,0.090468,0.114175,0.161131,0.254352", \ "0.102025,0.107504,0.114580,0.127386,0.150600,0.197062,0.289959", \ "0.140316,0.147875,0.157434,0.175112,0.205550,0.255209,0.346923", \ "0.181303,0.190994,0.203295,0.225974,0.265231,0.329229,0.428822", \ "0.225023,0.236889,0.252076,0.279809,0.328097,0.407156,0.529824"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.019752,0.022890,0.027221,0.035885,0.053190,0.087733,0.156753", \ "0.019744,0.022887,0.027223,0.035896,0.053180,0.087726,0.156744", \ "0.020365,0.023258,0.027333,0.035901,0.053184,0.087731,0.156743", \ "0.027904,0.030580,0.034264,0.041525,0.055960,0.087941,0.156745", \ "0.041357,0.044261,0.048127,0.055328,0.069157,0.096782,0.158062", \ "0.059613,0.063100,0.067722,0.075770,0.090094,0.116943,0.171115", \ "0.081700,0.085892,0.091372,0.100967,0.117297,0.145350,0.197968"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.030806,0.034902,0.040483,0.051686,0.074096,0.118771,0.208019", \ "0.030802,0.034891,0.040483,0.051685,0.074071,0.118774,0.208010", \ "0.030718,0.034834,0.040458,0.051688,0.074092,0.118781,0.207987", \ "0.042076,0.044914,0.048478,0.056542,0.075293,0.118759,0.207958", \ "0.060636,0.064410,0.069432,0.078160,0.093403,0.125708,0.207913", \ "0.081883,0.086952,0.093557,0.104754,0.123790,0.154964,0.218845", \ "0.105569,0.111950,0.120272,0.134425,0.157955,0.195515,0.254841"); } } timing () { related_pin : "A"; when : "B1 & !B2 & C1 & C2"; sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.035371,0.038566,0.042913,0.051587,0.068884,0.103419,0.172435", \ "0.039947,0.043190,0.047585,0.056322,0.073695,0.108289,0.177351", \ "0.055715,0.059051,0.063521,0.072376,0.089889,0.124645,0.193832", \ "0.077422,0.082348,0.088523,0.099909,0.119968,0.155451,0.224895", \ "0.096049,0.103318,0.112254,0.128392,0.155785,0.200152,0.273162", \ "0.108506,0.118411,0.130563,0.152608,0.189534,0.247468,0.336168", \ "0.113938,0.126719,0.142410,0.170672,0.218123,0.292067,0.402097"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.049034,0.053443,0.059402,0.071244,0.094744,0.141396,0.234284", \ "0.053675,0.058141,0.064174,0.076123,0.099757,0.146556,0.239563", \ "0.071508,0.075981,0.082019,0.093982,0.117662,0.164588,0.257771", \ "0.106458,0.111713,0.118510,0.130811,0.154032,0.200450,0.293335", \ "0.146463,0.153656,0.162840,0.179946,0.209589,0.258602,0.350269", \ "0.189213,0.198493,0.210344,0.232285,0.270545,0.333470,0.432165", \ "0.234794,0.246163,0.260809,0.287682,0.334751,0.412473,0.533858"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.017713,0.020408,0.024110,0.031475,0.046147,0.075448,0.133967", \ "0.017715,0.020409,0.024105,0.031470,0.046155,0.075443,0.133973", \ "0.018525,0.020926,0.024310,0.031498,0.046142,0.075442,0.133973", \ "0.027565,0.029767,0.032791,0.038576,0.049927,0.075947,0.133972", \ "0.042315,0.044915,0.048362,0.054603,0.066008,0.087807,0.136282", \ "0.061555,0.064718,0.068908,0.076296,0.089143,0.111682,0.154231", \ "0.084705,0.088454,0.093437,0.102321,0.117494,0.142781,0.186348"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.032473,0.036578,0.042204,0.053494,0.075938,0.120705,0.209975", \ "0.032468,0.036573,0.042208,0.053482,0.075934,0.120718,0.209977", \ "0.032427,0.036557,0.042204,0.053464,0.075940,0.120726,0.209972", \ "0.042579,0.045289,0.049102,0.057531,0.076934,0.120719,0.209987", \ "0.061346,0.065067,0.070018,0.078712,0.093896,0.127081,0.209958", \ "0.082842,0.087828,0.094246,0.105419,0.124386,0.155544,0.220235", \ "0.106856,0.113120,0.121226,0.135273,0.158653,0.196126,0.255511"); } } timing () { related_pin : "A"; when : "B1 & B2 & !C1 & C2"; sdf_cond : "(B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.038009,0.041321,0.045767,0.054492,0.071587,0.105204,0.171728", \ "0.042997,0.046336,0.050812,0.059582,0.076731,0.110393,0.176952", \ "0.058529,0.061833,0.066278,0.075058,0.092272,0.126039,0.192703", \ "0.081524,0.085784,0.091255,0.101572,0.120346,0.154550,0.221403", \ "0.104678,0.110545,0.117905,0.131433,0.155217,0.195794,0.265750", \ "0.123591,0.131544,0.141323,0.159524,0.190710,0.241323,0.323067", \ "0.136228,0.146475,0.159193,0.182595,0.222692,0.286550,0.384725"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.046429,0.050850,0.056824,0.068703,0.092245,0.139001,0.232075", \ "0.050889,0.055370,0.061401,0.073370,0.097039,0.143912,0.237068", \ "0.068859,0.073343,0.079375,0.091339,0.115031,0.161999,0.255297", \ "0.103410,0.108800,0.115762,0.128436,0.151568,0.198025,0.290970", \ "0.142490,0.149927,0.159345,0.176804,0.206928,0.256275,0.348008", \ "0.184445,0.193961,0.206165,0.228551,0.267340,0.330854,0.430042", \ "0.229390,0.241032,0.255996,0.283398,0.331150,0.409525,0.531570"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.020919,0.023525,0.027104,0.034240,0.048494,0.077032,0.134169", \ "0.020906,0.023528,0.027105,0.034242,0.048497,0.077028,0.134156", \ "0.021045,0.023502,0.026927,0.034087,0.048446,0.077021,0.134155", \ "0.027374,0.029718,0.032865,0.039058,0.051156,0.077421,0.134137", \ "0.039067,0.041543,0.044869,0.051161,0.063198,0.086821,0.136508", \ "0.055489,0.058493,0.062498,0.069502,0.082043,0.105364,0.151378", \ "0.075725,0.079449,0.084285,0.092800,0.107088,0.131501,0.176694"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.034488,0.038831,0.044704,0.056522,0.080039,0.126790,0.218987", \ "0.034482,0.038828,0.044712,0.056519,0.080058,0.126792,0.218989", \ "0.034379,0.038768,0.044686,0.056511,0.080049,0.126802,0.218985", \ "0.045991,0.048638,0.052463,0.061193,0.081187,0.126785,0.218963", \ "0.067199,0.070864,0.075669,0.084164,0.099177,0.133582,0.218929", \ "0.092776,0.097399,0.103425,0.114054,0.132414,0.163084,0.229668", \ "0.122573,0.128128,0.135303,0.148288,0.170435,0.206713,0.265494"); } } timing () { related_pin : "A"; when : "B1 & B2 & C1 & !C2"; sdf_cond : "(B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.032029,0.035075,0.039230,0.047518,0.064048,0.097053,0.163025", \ "0.036933,0.040026,0.044226,0.052578,0.069176,0.102243,0.168252", \ "0.051865,0.055168,0.059458,0.067912,0.084652,0.117862,0.183989", \ "0.071297,0.075937,0.081776,0.092545,0.111871,0.146266,0.212649", \ "0.088706,0.095482,0.103817,0.118682,0.144106,0.186078,0.256900", \ "0.100285,0.109654,0.121008,0.141590,0.175722,0.229144,0.312889", \ "0.104996,0.117156,0.132047,0.158646,0.202861,0.271014,0.372587"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.045310,0.049727,0.055708,0.067585,0.091117,0.137837,0.230822", \ "0.049753,0.054233,0.060280,0.072249,0.095909,0.142733,0.235816", \ "0.067739,0.072225,0.078270,0.090220,0.113895,0.160827,0.254032", \ "0.101958,0.107427,0.114479,0.127291,0.150453,0.196854,0.289720", \ "0.140422,0.147967,0.157496,0.175155,0.205530,0.255139,0.346768", \ "0.181710,0.191371,0.203692,0.226303,0.265447,0.329329,0.428810", \ "0.225918,0.237731,0.252873,0.280501,0.328674,0.407548,0.530027"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.016140,0.018735,0.022312,0.029475,0.043776,0.072356,0.129492", \ "0.016139,0.018732,0.022322,0.029474,0.043785,0.072362,0.129486", \ "0.017299,0.019595,0.022822,0.029549,0.043775,0.072359,0.129487", \ "0.024708,0.026940,0.030030,0.036068,0.047963,0.073338,0.129482", \ "0.037580,0.040052,0.043350,0.049465,0.061054,0.084091,0.132657", \ "0.055036,0.058067,0.062039,0.068962,0.081117,0.103621,0.148718", \ "0.076369,0.080033,0.084790,0.093102,0.107079,0.130795,0.174819"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.030811,0.034896,0.040481,0.051690,0.074096,0.118772,0.208018", \ "0.030805,0.034891,0.040477,0.051700,0.074082,0.118773,0.207996", \ "0.030735,0.034836,0.040461,0.051676,0.074089,0.118786,0.207991", \ "0.042114,0.044942,0.048533,0.056573,0.075322,0.118766,0.207972", \ "0.060572,0.064356,0.069353,0.078132,0.093402,0.125731,0.207926", \ "0.081643,0.086701,0.093253,0.104566,0.123666,0.154905,0.218848", \ "0.105056,0.111457,0.119722,0.134003,0.157597,0.195286,0.254730"); } } timing () { related_pin : "A"; when : "B1 & B2 & C1 & C2"; sdf_cond : "(B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.028339,0.030865,0.034303,0.041157,0.054832,0.082135,0.136704", \ "0.033329,0.035891,0.039366,0.046272,0.060004,0.087358,0.141958", \ "0.049492,0.052347,0.056007,0.063025,0.076881,0.104362,0.159068", \ "0.069912,0.074306,0.079736,0.089637,0.106855,0.136234,0.191209", \ "0.087247,0.093799,0.101874,0.116233,0.140479,0.179113,0.240822", \ "0.098404,0.107502,0.118616,0.138648,0.171864,0.223342,0.300659", \ "0.102411,0.114287,0.128894,0.154852,0.198119,0.264727,0.362256"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.048907,0.053304,0.059268,0.071109,0.094606,0.141267,0.234156", \ "0.053385,0.057840,0.063871,0.075820,0.099456,0.146257,0.239271", \ "0.071298,0.075755,0.081776,0.093716,0.117362,0.164271,0.257442", \ "0.106388,0.111634,0.118390,0.130709,0.153862,0.200222,0.293046", \ "0.146570,0.153750,0.162927,0.179996,0.209594,0.258545,0.350111", \ "0.189606,0.198859,0.210753,0.232580,0.270765,0.333585,0.432166", \ "0.235660,0.246981,0.261559,0.288356,0.335307,0.412873,0.534074"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.013868,0.016008,0.018935,0.024783,0.036462,0.059750,0.106295", \ "0.013872,0.016015,0.018939,0.024784,0.036463,0.059758,0.106304", \ "0.015469,0.017212,0.019723,0.025028,0.036460,0.059753,0.106305", \ "0.024312,0.026152,0.028600,0.033293,0.042371,0.061482,0.106287", \ "0.038276,0.040476,0.043382,0.048657,0.058036,0.075625,0.111663", \ "0.056617,0.059336,0.062909,0.069204,0.080002,0.098601,0.132877", \ "0.078966,0.082198,0.086476,0.094097,0.106954,0.128137,0.163850"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.032475,0.036587,0.042209,0.053467,0.075934,0.120724,0.209980", \ "0.032460,0.036585,0.042204,0.053489,0.075938,0.120716,0.209989", \ "0.032419,0.036557,0.042200,0.053471,0.075942,0.120716,0.209980", \ "0.042617,0.045333,0.049126,0.057574,0.076954,0.120713,0.209978", \ "0.061299,0.065021,0.069939,0.078691,0.093909,0.127111,0.209973", \ "0.082624,0.087608,0.094029,0.105270,0.124262,0.155481,0.220232", \ "0.106367,0.112638,0.120732,0.134859,0.158315,0.195879,0.255395"); } } timing () { related_pin : "B1"; when : "A & !B2 & !C1 & C2"; sdf_cond : "(A == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.050714,0.054711,0.060080,0.070637,0.091356,0.132157,0.213009", \ "0.056239,0.060268,0.065676,0.076289,0.097074,0.137943,0.218838", \ "0.070405,0.074459,0.079901,0.090592,0.111500,0.152504,0.233520", \ "0.090819,0.095359,0.101278,0.112726,0.134282,0.175393,0.256574", \ "0.112780,0.118266,0.125301,0.138684,0.163268,0.208184,0.290701", \ "0.132529,0.139604,0.148418,0.164929,0.194493,0.245840,0.336123", \ "0.146066,0.155338,0.166762,0.187935,0.224572,0.285269,0.386368"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.130803,0.141167,0.155254,0.182975,0.237882,0.346234,0.561096", \ "0.132833,0.143245,0.157361,0.185198,0.240140,0.348682,0.563613", \ "0.145958,0.156435,0.170642,0.198633,0.253855,0.362661,0.577882", \ "0.177484,0.187737,0.201478,0.229270,0.284019,0.392542,0.607642", \ "0.230549,0.241030,0.254384,0.281159,0.334999,0.442275,0.656229", \ "0.292994,0.305892,0.322703,0.354029,0.410332,0.515383,0.727186", \ "0.359782,0.375160,0.395206,0.432731,0.499984,0.615094,0.823797"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.025512,0.028657,0.032968,0.041594,0.058845,0.093354,0.162465", \ "0.025516,0.028662,0.032974,0.041605,0.058851,0.093356,0.162466", \ "0.025361,0.028539,0.032888,0.041554,0.058832,0.093363,0.162458", \ "0.028868,0.031876,0.035969,0.043931,0.059878,0.093332,0.162426", \ "0.035884,0.038969,0.043170,0.051410,0.067442,0.098728,0.163358", \ "0.048381,0.051483,0.055732,0.063847,0.079675,0.110924,0.172554", \ "0.066624,0.070047,0.074672,0.083019,0.098455,0.128702,0.189672"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.098091,0.108030,0.121660,0.148466,0.201063,0.302426,0.499203", \ "0.098108,0.108036,0.121674,0.148489,0.201020,0.302404,0.499193", \ "0.098056,0.108029,0.121660,0.148493,0.201014,0.302424,0.499190", \ "0.098055,0.108050,0.121582,0.148489,0.200945,0.302401,0.499185", \ "0.106942,0.115198,0.126794,0.150600,0.200859,0.302372,0.499184", \ "0.135736,0.143483,0.153662,0.172474,0.213365,0.303606,0.499213", \ "0.169616,0.178411,0.189979,0.211357,0.249465,0.324137,0.499924"); } } timing () { related_pin : "B1"; when : "A & !B2 & C1 & !C2"; sdf_cond : "(A == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.042881,0.046584,0.051638,0.061720,0.081821,0.121962,0.202180", \ "0.048303,0.052062,0.057172,0.067333,0.087524,0.127742,0.208011", \ "0.062090,0.065960,0.071182,0.081488,0.101864,0.142267,0.222685", \ "0.080405,0.085003,0.090980,0.102558,0.124286,0.165085,0.245718", \ "0.098858,0.104770,0.112219,0.126096,0.151480,0.197062,0.279797", \ "0.112618,0.120739,0.130718,0.148852,0.180156,0.233048,0.324393", \ "0.118161,0.129149,0.142501,0.166407,0.206526,0.270178,0.373319"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.127963,0.138352,0.152356,0.180101,0.234862,0.343103,0.557548", \ "0.129967,0.140397,0.154474,0.182315,0.237206,0.345550,0.560097", \ "0.143100,0.153584,0.167740,0.195755,0.250854,0.359552,0.574348", \ "0.174645,0.184929,0.198647,0.226376,0.281095,0.389418,0.604115", \ "0.227586,0.238163,0.251655,0.278369,0.332145,0.439175,0.652696", \ "0.289201,0.302252,0.319155,0.350743,0.407429,0.512341,0.723657", \ "0.355072,0.370640,0.390845,0.428613,0.496309,0.611937,0.820317"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.019757,0.022891,0.027225,0.035885,0.053181,0.087722,0.156747", \ "0.019753,0.022888,0.027220,0.035886,0.053177,0.087726,0.156751", \ "0.019891,0.022966,0.027258,0.035892,0.053192,0.087729,0.156736", \ "0.024154,0.027154,0.031263,0.039339,0.055032,0.087916,0.156739", \ "0.032333,0.035271,0.039379,0.047394,0.063243,0.094406,0.158131", \ "0.046458,0.049501,0.053618,0.061419,0.076532,0.107072,0.168276", \ "0.066322,0.069745,0.074275,0.082383,0.097017,0.125891,0.185781"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.081240,0.090425,0.103028,0.127852,0.177198,0.275384,0.470480", \ "0.081245,0.090426,0.103023,0.127809,0.177251,0.275343,0.470467", \ "0.081236,0.090423,0.103019,0.127801,0.177180,0.275400,0.470455", \ "0.081136,0.090408,0.102971,0.127752,0.177154,0.275365,0.470479", \ "0.090689,0.098007,0.108466,0.130109,0.177044,0.275325,0.470457", \ "0.114594,0.122661,0.133226,0.152207,0.189943,0.276618,0.470466", \ "0.140893,0.150488,0.163012,0.185448,0.224743,0.297458,0.471264"); } } timing () { related_pin : "B1"; when : "A & !B2 & C1 & C2"; sdf_cond : "(A == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.039280,0.042464,0.046812,0.055484,0.072776,0.107304,0.176304", \ "0.044709,0.047945,0.052344,0.061080,0.078454,0.113045,0.182090", \ "0.058893,0.062233,0.066724,0.075583,0.093121,0.127874,0.197045", \ "0.078040,0.082194,0.087560,0.097869,0.117018,0.152442,0.221807", \ "0.097233,0.102835,0.109886,0.122793,0.145967,0.186814,0.259327", \ "0.111285,0.119131,0.128824,0.146284,0.176055,0.225043,0.307137", \ "0.116842,0.127503,0.140598,0.163997,0.202970,0.263858,0.359088"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.137512,0.147776,0.161646,0.189219,0.243721,0.351631,0.565784", \ "0.139535,0.149867,0.163820,0.191491,0.246138,0.354173,0.568442", \ "0.152710,0.163082,0.177101,0.204940,0.259775,0.368132,0.582731", \ "0.184184,0.194386,0.207997,0.235545,0.290015,0.398042,0.612451", \ "0.237434,0.247530,0.260807,0.287480,0.341041,0.447820,0.661061", \ "0.301279,0.313853,0.330202,0.360868,0.416193,0.521065,0.732086", \ "0.369440,0.384444,0.404005,0.440720,0.506898,0.620767,0.828846"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.017721,0.020407,0.024103,0.031464,0.046141,0.075444,0.133973", \ "0.017720,0.020408,0.024099,0.031463,0.046156,0.075457,0.133967", \ "0.018019,0.020573,0.024162,0.031464,0.046147,0.075450,0.133965", \ "0.022784,0.025334,0.028795,0.035569,0.048657,0.075944,0.133956", \ "0.032053,0.034564,0.038019,0.044745,0.057915,0.083837,0.136292", \ "0.047362,0.050044,0.053599,0.060295,0.072885,0.098056,0.148723", \ "0.068291,0.071325,0.075327,0.082505,0.095155,0.119166,0.168262"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.085530,0.094801,0.107462,0.132453,0.182068,0.280442,0.475831", \ "0.085530,0.094800,0.107453,0.132452,0.182078,0.280435,0.475847", \ "0.085526,0.094798,0.107472,0.132412,0.182049,0.280432,0.475852", \ "0.085518,0.094800,0.107427,0.132402,0.182020,0.280464,0.475845", \ "0.093281,0.100971,0.111758,0.134051,0.181962,0.280418,0.475857", \ "0.117374,0.125344,0.135755,0.154528,0.193267,0.281416,0.475858", \ "0.144321,0.153744,0.166031,0.188310,0.227303,0.300902,0.476456"); } } timing () { related_pin : "B2"; when : "A & !B1 & !C1 & C2"; sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.059339,0.063439,0.068947,0.079738,0.100837,0.142143,0.223523", \ "0.064219,0.068326,0.073842,0.084645,0.105753,0.147059,0.228453", \ "0.078231,0.082350,0.087872,0.098698,0.119845,0.161201,0.242629", \ "0.099826,0.104254,0.110035,0.121332,0.142753,0.184188,0.265698", \ "0.124389,0.129552,0.136344,0.149269,0.173203,0.217602,0.299999", \ "0.148260,0.154695,0.162761,0.178259,0.206661,0.256740,0.346055", \ "0.167548,0.175786,0.186054,0.205397,0.239691,0.298251,0.397573"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.144294,0.154637,0.168685,0.196452,0.251285,0.359724,0.574501", \ "0.148609,0.158978,0.173044,0.200791,0.255640,0.364162,0.578962", \ "0.166211,0.176626,0.190769,0.218605,0.273593,0.382216,0.597230", \ "0.196243,0.206653,0.220660,0.248462,0.303459,0.412236,0.627317", \ "0.241100,0.251694,0.265824,0.293407,0.348257,0.456892,0.672040", \ "0.294689,0.306902,0.323139,0.354059,0.411688,0.520044,0.735103", \ "0.355163,0.369088,0.387473,0.422344,0.486792,0.603283,0.818462"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.030803,0.033968,0.038307,0.046992,0.064326,0.098961,0.168212", \ "0.030799,0.033963,0.038311,0.047001,0.064331,0.098952,0.168212", \ "0.030722,0.033912,0.038282,0.046982,0.064322,0.098958,0.168211", \ "0.033547,0.036567,0.040660,0.048697,0.065093,0.098993,0.168206", \ "0.039984,0.043131,0.047434,0.055822,0.071977,0.103486,0.168982", \ "0.051149,0.054340,0.058758,0.067207,0.083615,0.115263,0.177318", \ "0.068119,0.071555,0.076271,0.084869,0.100982,0.132324,0.194073"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.098108,0.108076,0.121680,0.148545,0.201035,0.302451,0.499202", \ "0.098106,0.108071,0.121670,0.148447,0.201004,0.302448,0.499193", \ "0.098103,0.108049,0.121685,0.148471,0.201004,0.302402,0.499186", \ "0.098032,0.108048,0.121678,0.148476,0.200960,0.302443,0.499188", \ "0.102417,0.111547,0.124165,0.149517,0.200927,0.302404,0.499186", \ "0.120213,0.128834,0.140353,0.162560,0.208308,0.303353,0.499208", \ "0.142507,0.151471,0.163483,0.186802,0.230589,0.316100,0.500118"); } } timing () { related_pin : "B2"; when : "A & !B1 & C1 & !C2"; sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.050357,0.054343,0.059698,0.070238,0.090950,0.131766,0.212673", \ "0.055247,0.059234,0.064594,0.075143,0.095860,0.136684,0.217602", \ "0.069186,0.073194,0.078576,0.089166,0.109939,0.150817,0.231753", \ "0.089382,0.093898,0.099766,0.111161,0.132690,0.173759,0.254783", \ "0.111260,0.116739,0.123762,0.137078,0.161652,0.206530,0.289026", \ "0.130642,0.137794,0.146665,0.163251,0.192830,0.244180,0.334394", \ "0.143555,0.152955,0.164481,0.185896,0.222745,0.283554,0.384666"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.141418,0.151786,0.165797,0.193534,0.248276,0.356498,0.570960", \ "0.145747,0.156130,0.170147,0.197921,0.252712,0.360960,0.575424", \ "0.163345,0.173779,0.187871,0.215721,0.270641,0.379070,0.593698", \ "0.193391,0.203815,0.217768,0.245589,0.300504,0.409013,0.623799", \ "0.238120,0.248853,0.263082,0.290575,0.345334,0.453731,0.668518", \ "0.291235,0.303550,0.319817,0.350885,0.408691,0.516969,0.731554", \ "0.351141,0.365191,0.383622,0.418664,0.483378,0.600057,0.814987"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.025561,0.028705,0.033020,0.041651,0.058905,0.093461,0.162633", \ "0.025544,0.028695,0.033018,0.041652,0.058899,0.093446,0.162637", \ "0.025608,0.028726,0.033028,0.041663,0.058915,0.093452,0.162593", \ "0.029155,0.032174,0.036267,0.044285,0.060278,0.093600,0.162563", \ "0.036293,0.039368,0.043574,0.051800,0.067787,0.099070,0.163707", \ "0.048890,0.051976,0.056235,0.064353,0.080132,0.111328,0.172929", \ "0.067111,0.070566,0.075205,0.083574,0.098999,0.129205,0.190106"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.081230,0.090431,0.103032,0.127849,0.177192,0.275342,0.470473", \ "0.081237,0.090430,0.103007,0.127846,0.177212,0.275346,0.470462", \ "0.081232,0.090432,0.103028,0.127803,0.177194,0.275328,0.470482", \ "0.081208,0.090409,0.102954,0.127804,0.177164,0.275340,0.470463", \ "0.085882,0.094148,0.105678,0.128937,0.177113,0.275319,0.470469", \ "0.100480,0.108930,0.120361,0.142038,0.184677,0.276330,0.470466", \ "0.117926,0.127018,0.139339,0.162492,0.205802,0.289207,0.471477"); } } timing () { related_pin : "B2"; when : "A & !B1 & C1 & C2"; sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.045381,0.048822,0.053448,0.062546,0.080405,0.115559,0.185183", \ "0.050344,0.053792,0.058420,0.067526,0.085390,0.120553,0.190187", \ "0.064660,0.068122,0.072774,0.081913,0.099826,0.135042,0.204698", \ "0.085894,0.089924,0.095164,0.105255,0.124179,0.159705,0.229469", \ "0.108823,0.113937,0.120463,0.132634,0.155051,0.195053,0.267101", \ "0.128666,0.135584,0.144158,0.159981,0.187820,0.235040,0.315889", \ "0.141515,0.150714,0.162034,0.182915,0.218607,0.276306,0.369274"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.150965,0.161230,0.175101,0.202666,0.257159,0.365029,0.579174", \ "0.155359,0.165644,0.179551,0.207154,0.261699,0.369616,0.583814", \ "0.172981,0.183307,0.197266,0.224940,0.279637,0.387747,0.602140", \ "0.203003,0.213317,0.227106,0.254767,0.309439,0.417640,0.632160", \ "0.248039,0.258401,0.272439,0.299748,0.354267,0.462343,0.676834", \ "0.302583,0.314551,0.330390,0.360881,0.417755,0.525610,0.739844", \ "0.364124,0.377749,0.395723,0.429955,0.493543,0.609006,0.823363"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.022744,0.025427,0.029094,0.036421,0.051041,0.080308,0.138916", \ "0.022724,0.025410,0.029076,0.036406,0.051038,0.080313,0.138927", \ "0.022859,0.025470,0.029108,0.036414,0.051049,0.080312,0.138907", \ "0.026998,0.029533,0.032967,0.039685,0.052928,0.080672,0.138896", \ "0.035250,0.037834,0.041360,0.048252,0.061568,0.087647,0.140857", \ "0.049219,0.051894,0.055535,0.062409,0.075550,0.101373,0.152480", \ "0.068582,0.071656,0.075763,0.083156,0.096390,0.121466,0.171610"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.085526,0.094802,0.107465,0.132447,0.182073,0.280435,0.475857", \ "0.085528,0.094803,0.107456,0.132461,0.182067,0.280435,0.475857", \ "0.085526,0.094802,0.107464,0.132482,0.182071,0.280438,0.475828", \ "0.085520,0.094800,0.107451,0.132412,0.182029,0.280441,0.475844", \ "0.089357,0.097844,0.109555,0.133238,0.181988,0.280427,0.475840", \ "0.103887,0.112347,0.123785,0.145391,0.188737,0.281228,0.475830", \ "0.121506,0.130583,0.142879,0.166033,0.209460,0.293418,0.476676"); } } timing () { related_pin : "C1"; when : "A & !B1 & B2 & !C2"; sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.036342,0.040346,0.045731,0.056304,0.077045,0.117880,0.198767", \ "0.040698,0.044755,0.050178,0.060816,0.081635,0.122538,0.203481", \ "0.056129,0.059989,0.065342,0.075949,0.096770,0.137720,0.218719", \ "0.079639,0.085001,0.091935,0.104591,0.126538,0.166911,0.247628", \ "0.098442,0.105960,0.115345,0.132930,0.163123,0.212429,0.293651", \ "0.110649,0.120451,0.132721,0.155491,0.194842,0.258633,0.357658", \ "0.115286,0.127446,0.142809,0.171055,0.219897,0.299310,0.421799"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.078326,0.088380,0.102034,0.129012,0.182686,0.289578,0.502709", \ "0.080011,0.090349,0.104289,0.131729,0.186001,0.293455,0.507035", \ "0.093183,0.103299,0.117072,0.144496,0.198945,0.306973,0.521300", \ "0.126377,0.135883,0.148992,0.175494,0.228905,0.336121,0.550273", \ "0.168132,0.181195,0.197786,0.227881,0.280582,0.385735,0.598248", \ "0.213925,0.230005,0.250537,0.287774,0.352147,0.459402,0.669010", \ "0.264257,0.283289,0.307713,0.351993,0.428890,0.555531,0.765766"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.025535,0.028685,0.032995,0.041640,0.058892,0.093440,0.162579", \ "0.025572,0.028706,0.033016,0.041645,0.058895,0.093439,0.162595", \ "0.025204,0.028178,0.032425,0.041358,0.058856,0.093435,0.162590", \ "0.036938,0.039506,0.042798,0.049095,0.061934,0.093383,0.162550", \ "0.054699,0.058073,0.062414,0.069954,0.083295,0.107034,0.163946", \ "0.076489,0.080834,0.086586,0.096194,0.112496,0.139473,0.185861", \ "0.102180,0.107626,0.114734,0.126751,0.146794,0.178887,0.230072"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.068200,0.078077,0.091514,0.118127,0.170464,0.271438,0.467036", \ "0.068152,0.078087,0.091531,0.118143,0.170459,0.271443,0.467036", \ "0.068147,0.078084,0.091485,0.118182,0.170436,0.271420,0.467028", \ "0.074059,0.081895,0.093324,0.117995,0.170443,0.271407,0.467040", \ "0.099655,0.106244,0.113920,0.131627,0.174158,0.271364,0.467026", \ "0.130969,0.138105,0.147762,0.165757,0.198943,0.278258,0.467023", \ "0.168035,0.175553,0.185938,0.205862,0.242424,0.308929,0.471021"); } } timing () { related_pin : "C1"; when : "A & B1 & !B2 & !C2"; sdf_cond : "(A == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.028462,0.032188,0.037250,0.047342,0.067448,0.107587,0.187788", \ "0.032713,0.036494,0.041624,0.051801,0.072012,0.112232,0.192493", \ "0.047783,0.051748,0.056728,0.066845,0.087090,0.127393,0.207725", \ "0.065095,0.071306,0.079108,0.093005,0.116448,0.156607,0.236662", \ "0.076796,0.085518,0.096439,0.115969,0.148683,0.200594,0.282781", \ "0.081597,0.092995,0.107096,0.132685,0.175439,0.243007,0.345421", \ "0.078668,0.092795,0.110414,0.142017,0.195260,0.279508,0.406503"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.075713,0.085788,0.099430,0.126397,0.179973,0.286604,0.499326", \ "0.077388,0.087702,0.101647,0.129090,0.183278,0.290483,0.503665", \ "0.090586,0.100698,0.114457,0.141827,0.196211,0.304015,0.517923", \ "0.123642,0.133427,0.146475,0.172898,0.226174,0.333195,0.546880", \ "0.164450,0.177710,0.194461,0.225020,0.277925,0.382815,0.594865", \ "0.209208,0.225563,0.246313,0.284021,0.348933,0.456526,0.665630", \ "0.258485,0.277830,0.302479,0.347299,0.424836,0.552185,0.762465"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.019720,0.022884,0.027220,0.035887,0.053188,0.087720,0.156750", \ "0.019692,0.022877,0.027217,0.035895,0.053186,0.087733,0.156738", \ "0.021520,0.023957,0.027633,0.035686,0.053168,0.087724,0.156747", \ "0.035222,0.037722,0.040978,0.047154,0.058953,0.088154,0.156733", \ "0.053603,0.056984,0.061373,0.068880,0.082067,0.105168,0.159192", \ "0.076013,0.080388,0.086161,0.095673,0.111827,0.138471,0.183866", \ "0.102342,0.107798,0.114794,0.126773,0.146578,0.178321,0.228965"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.055408,0.064315,0.076467,0.100640,0.149014,0.245731,0.438908", \ "0.055413,0.064312,0.076468,0.100608,0.149034,0.245726,0.438921", \ "0.055365,0.064297,0.076431,0.100615,0.149009,0.245687,0.438924", \ "0.061875,0.068551,0.078498,0.100509,0.148952,0.245718,0.438965", \ "0.082998,0.089871,0.098884,0.114658,0.152946,0.245646,0.438965", \ "0.106577,0.114726,0.125453,0.144491,0.177920,0.252757,0.438911", \ "0.133104,0.142675,0.155251,0.177592,0.216611,0.283621,0.443097"); } } timing () { related_pin : "C1"; when : "A & B1 & B2 & !C2"; sdf_cond : "(A == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.024547,0.027608,0.031769,0.040066,0.056598,0.089598,0.155539", \ "0.028968,0.032078,0.036292,0.044658,0.061269,0.094338,0.160324", \ "0.044229,0.047816,0.052299,0.060562,0.077178,0.110276,0.176301", \ "0.060150,0.065861,0.073008,0.085689,0.106885,0.141181,0.206869", \ "0.070469,0.078614,0.088740,0.106822,0.136829,0.183937,0.255604", \ "0.073809,0.084538,0.097774,0.121613,0.161282,0.223301,0.316145", \ "0.069208,0.082600,0.099257,0.128952,0.178722,0.256745,0.373009"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.075710,0.085770,0.099424,0.126390,0.180001,0.286616,0.499371", \ "0.077122,0.087430,0.101378,0.128841,0.183047,0.290284,0.503489", \ "0.090283,0.100360,0.114081,0.141411,0.195731,0.303557,0.517504", \ "0.123552,0.133340,0.146361,0.172699,0.225871,0.332772,0.546419", \ "0.164589,0.177830,0.194531,0.225028,0.277915,0.382653,0.594548", \ "0.209698,0.226016,0.246765,0.284368,0.349182,0.456653,0.665677", \ "0.259490,0.278769,0.303352,0.348070,0.425463,0.552639,0.762755"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.016117,0.018732,0.022313,0.029472,0.043784,0.072355,0.129482", \ "0.016118,0.018728,0.022320,0.029478,0.043776,0.072364,0.129490", \ "0.019450,0.021125,0.023797,0.029855,0.043757,0.072363,0.129481", \ "0.032784,0.035011,0.037847,0.043093,0.052593,0.074311,0.129479", \ "0.050731,0.053724,0.057649,0.064290,0.075761,0.095288,0.135533", \ "0.072695,0.076619,0.081816,0.090362,0.104652,0.127910,0.166098", \ "0.098637,0.103603,0.110013,0.120860,0.138542,0.166600,0.210527"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.055417,0.064284,0.076456,0.100663,0.149057,0.245715,0.438940", \ "0.055416,0.064285,0.076466,0.100618,0.149043,0.245745,0.438985", \ "0.055366,0.064271,0.076438,0.100639,0.148974,0.245708,0.438935", \ "0.061916,0.068576,0.078528,0.100516,0.148963,0.245738,0.438988", \ "0.082917,0.089746,0.098768,0.114620,0.152959,0.245652,0.438988", \ "0.106315,0.114449,0.125190,0.144323,0.177814,0.252743,0.439014", \ "0.132559,0.142121,0.154696,0.177175,0.216293,0.283439,0.443084"); } } timing () { related_pin : "C2"; when : "A & !B1 & B2 & !C1"; sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.044935,0.049036,0.054534,0.065316,0.086405,0.127692,0.209056", \ "0.048655,0.052770,0.058289,0.069096,0.090197,0.131493,0.212860", \ "0.063316,0.067436,0.072998,0.083825,0.104940,0.146227,0.227591", \ "0.090307,0.095191,0.101561,0.113400,0.134391,0.175392,0.256481", \ "0.114655,0.121417,0.129875,0.146153,0.174509,0.221753,0.302568", \ "0.133049,0.141839,0.152908,0.173788,0.210528,0.271342,0.367492", \ "0.144423,0.155299,0.169142,0.195024,0.240494,0.315879,0.434440"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.092371,0.102349,0.115855,0.142745,0.196283,0.303007,0.516104", \ "0.096806,0.106952,0.120730,0.147901,0.201869,0.309046,0.522475", \ "0.113193,0.123344,0.137101,0.164456,0.218799,0.326595,0.540668", \ "0.142891,0.152795,0.166251,0.193385,0.247471,0.355290,0.569777", \ "0.180954,0.192895,0.208261,0.237522,0.291739,0.399132,0.613484", \ "0.223438,0.237600,0.255952,0.289962,0.351731,0.461959,0.675895", \ "0.271362,0.288076,0.309437,0.348776,0.419123,0.542008,0.758923"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.030851,0.034003,0.038332,0.047012,0.064333,0.098955,0.168218", \ "0.030887,0.034026,0.038345,0.047009,0.064330,0.098952,0.168217", \ "0.030294,0.033553,0.038095,0.047036,0.064347,0.098956,0.168216", \ "0.039737,0.042255,0.045575,0.051929,0.066063,0.098881,0.168210", \ "0.057330,0.060598,0.064869,0.072306,0.085654,0.110005,0.169051", \ "0.078833,0.083087,0.088683,0.098253,0.114511,0.141587,0.188848", \ "0.103706,0.109113,0.116221,0.128200,0.148379,0.180676,0.232142"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.068150,0.078089,0.091504,0.118196,0.170459,0.271415,0.467038", \ "0.068160,0.078079,0.091540,0.118164,0.170449,0.271430,0.467026", \ "0.068151,0.078087,0.091521,0.118174,0.170437,0.271420,0.467032", \ "0.069932,0.079065,0.091760,0.118161,0.170425,0.271420,0.467027", \ "0.084925,0.092842,0.102928,0.124848,0.172213,0.271382,0.467027", \ "0.106021,0.113932,0.124644,0.145821,0.186799,0.275605,0.467026", \ "0.133255,0.140790,0.151429,0.172494,0.214057,0.294311,0.470163"); } } timing () { related_pin : "C2"; when : "A & B1 & !B2 & !C1"; sdf_cond : "(A == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.035895,0.039883,0.045239,0.055774,0.076459,0.117220,0.198014", \ "0.039598,0.043606,0.048981,0.059538,0.080241,0.121022,0.201821", \ "0.054474,0.058325,0.063692,0.074264,0.094991,0.135756,0.216543", \ "0.077327,0.082757,0.089806,0.102566,0.124651,0.164991,0.245454", \ "0.095653,0.103271,0.112748,0.130513,0.160895,0.210382,0.291643", \ "0.107643,0.117546,0.129898,0.152839,0.192385,0.256375,0.355553", \ "0.112500,0.124731,0.140167,0.168517,0.217480,0.296988,0.419552"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.089816,0.099758,0.113263,0.140101,0.193527,0.300077,0.512725", \ "0.094187,0.104346,0.118088,0.145284,0.199145,0.306087,0.519085", \ "0.110606,0.120724,0.134469,0.161817,0.216053,0.323645,0.537273", \ "0.140319,0.150252,0.163678,0.190730,0.244732,0.352351,0.566354", \ "0.177774,0.189809,0.205293,0.234694,0.289024,0.396194,0.610088", \ "0.219519,0.233847,0.252416,0.286675,0.348676,0.459032,0.672500", \ "0.266595,0.283536,0.305114,0.344898,0.415615,0.538753,0.755576"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.025789,0.028859,0.033112,0.041673,0.058862,0.093363,0.162447", \ "0.025775,0.028855,0.033112,0.041678,0.058856,0.093368,0.162446", \ "0.025827,0.028709,0.032834,0.041550,0.058915,0.093365,0.162434", \ "0.037992,0.040528,0.043755,0.049909,0.062603,0.093576,0.162433", \ "0.055987,0.059317,0.063658,0.071083,0.084282,0.107808,0.164143", \ "0.077528,0.081950,0.087720,0.097360,0.113607,0.140455,0.186593", \ "0.102300,0.107897,0.115207,0.127410,0.147659,0.179841,0.230913"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.055409,0.064317,0.076443,0.100620,0.148985,0.245732,0.438962", \ "0.055404,0.064322,0.076454,0.100654,0.149004,0.245701,0.438921", \ "0.055412,0.064320,0.076435,0.100628,0.148971,0.245693,0.438912", \ "0.057394,0.065447,0.076726,0.100618,0.148963,0.245732,0.438908", \ "0.069908,0.077603,0.087771,0.107495,0.150850,0.245638,0.438924", \ "0.086226,0.094234,0.105107,0.125732,0.165527,0.249934,0.438919", \ "0.106879,0.115151,0.126593,0.147987,0.189462,0.268782,0.442136"); } } timing () { related_pin : "C2"; when : "A & B1 & B2 & !C1"; sdf_cond : "(A == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.030166,0.033480,0.037926,0.046642,0.063713,0.097285,0.163756", \ "0.034152,0.037479,0.041933,0.050663,0.067748,0.101332,0.167811", \ "0.050065,0.053341,0.057650,0.066308,0.083372,0.116923,0.183369", \ "0.071143,0.076174,0.082586,0.094182,0.114068,0.147828,0.213907", \ "0.087725,0.094820,0.103596,0.119957,0.147771,0.192599,0.262658", \ "0.097948,0.107241,0.118805,0.140152,0.176656,0.235346,0.325127", \ "0.100881,0.112426,0.126959,0.153503,0.199155,0.272656,0.384742"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.089795,0.099737,0.113269,0.140100,0.193531,0.300093,0.512768", \ "0.093969,0.104104,0.117862,0.145048,0.198941,0.305900,0.518933", \ "0.110346,0.120429,0.134153,0.161451,0.215660,0.323243,0.536920", \ "0.140218,0.150157,0.163539,0.190524,0.244423,0.351963,0.565971", \ "0.177722,0.189714,0.205284,0.234603,0.288876,0.395943,0.609732", \ "0.219562,0.233876,0.252412,0.286656,0.348631,0.458916,0.672241", \ "0.266937,0.283839,0.305415,0.345076,0.415736,0.538788,0.755506"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.021224,0.023777,0.027294,0.034357,0.048537,0.077027,0.134166", \ "0.021157,0.023735,0.027256,0.034342,0.048536,0.077027,0.134177", \ "0.022311,0.024453,0.027553,0.034232,0.048558,0.077035,0.134157", \ "0.035152,0.037315,0.040096,0.045268,0.054978,0.078167,0.134162", \ "0.052633,0.055606,0.059470,0.066038,0.077491,0.097145,0.139061", \ "0.073669,0.077649,0.082884,0.091523,0.105966,0.129405,0.167812", \ "0.097991,0.103107,0.109749,0.120943,0.139098,0.167635,0.211954"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.055407,0.064287,0.076460,0.100647,0.148992,0.245746,0.438986", \ "0.055411,0.064288,0.076449,0.100630,0.149028,0.245738,0.438946", \ "0.055413,0.064288,0.076438,0.100642,0.148981,0.245704,0.438936", \ "0.057413,0.065455,0.076734,0.100606,0.148967,0.245745,0.438948", \ "0.069934,0.077564,0.087809,0.107528,0.150869,0.245643,0.438987", \ "0.086223,0.094165,0.105066,0.125763,0.165541,0.249962,0.438985", \ "0.106758,0.114997,0.126441,0.147897,0.189436,0.268780,0.442166"); } } internal_power () { related_pin : "A"; when : "!B1 & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("2.413047,2.430047,2.457006,2.491322,2.548131,2.605402,2.684888", \ "2.374736,2.388116,2.418472,2.468043,2.521484,2.587735,2.674077", \ "2.294979,2.316875,2.339169,2.396009,2.471269,2.550432,2.649832", \ "2.248013,2.263244,2.286893,2.343902,2.394468,2.507042,2.615903", \ "2.345711,2.351992,2.351266,2.361738,2.381311,2.477564,2.585061", \ "2.564278,2.554114,2.550615,2.538224,2.531027,2.526680,2.599034", \ "2.912702,2.900584,2.875162,2.840705,2.807701,2.745247,2.698044"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("8.102372,8.106611,8.127050,8.128353,8.126885,8.227390,8.263676", \ "8.018505,8.035981,8.030308,8.077878,8.059741,8.170002,8.214840", \ "7.879675,7.895290,7.927440,7.969205,8.014424,8.070551,8.221485", \ "7.957468,7.971198,7.976914,7.980974,8.006624,8.103586,8.168564", \ "8.261380,8.252902,8.246947,8.174380,8.222882,8.233588,8.136094", \ "8.659558,8.665503,8.662576,8.672369,8.561399,8.519453,8.464985", \ "9.280358,9.270571,9.249054,9.201317,9.204449,9.012476,8.902881"); } } internal_power () { related_pin : "A"; when : "!B1 & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("1.703482,1.709640,1.727865,1.740615,1.786400,1.822049,1.863139", \ "1.657235,1.669473,1.691838,1.723681,1.765727,1.799373,1.852598", \ "1.560934,1.583092,1.610508,1.645056,1.701199,1.758271,1.827651", \ "1.504851,1.514497,1.536624,1.575792,1.622563,1.709480,1.779492", \ "1.636269,1.630478,1.627386,1.610478,1.602691,1.678084,1.757658", \ "1.859585,1.845108,1.826764,1.798922,1.775173,1.724775,1.772012", \ "2.231653,2.207864,2.183959,2.127140,2.062032,1.964967,1.863399"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("7.136828,7.145556,7.146086,7.191320,7.204972,7.186010,7.341832", \ "7.051843,7.074137,7.078580,7.080976,7.136320,7.246183,7.274878", \ "6.912905,6.945019,6.942075,6.952214,7.093051,7.110457,7.157472", \ "6.991693,7.007979,7.010738,7.006654,7.064473,7.061974,7.234482", \ "7.289338,7.297892,7.268681,7.218571,7.239007,7.244896,7.196238", \ "7.620930,7.624579,7.646908,7.672949,7.692100,7.595882,7.528392", \ "8.252950,8.247102,8.241336,8.193244,8.187637,8.144737,7.965953"); } } internal_power () { related_pin : "A"; when : "!B1 & B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("1.690411,1.705951,1.722635,1.748738,1.772615,1.805595,1.850532", \ "1.649204,1.663886,1.681417,1.715625,1.744403,1.798240,1.839816", \ "1.550125,1.570994,1.599901,1.641337,1.696129,1.753279,1.814446", \ "1.503004,1.517040,1.532800,1.556495,1.623386,1.704607,1.769225", \ "1.638131,1.634287,1.629787,1.619690,1.616279,1.669114,1.751266", \ "1.871187,1.856999,1.835846,1.814477,1.785867,1.742435,1.766533", \ "2.253286,2.229605,2.196921,2.140125,2.074125,1.980139,1.875664"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("7.616369,7.625076,7.629941,7.659189,7.680532,7.660602,7.799700", \ "7.534927,7.550697,7.581319,7.592003,7.618831,7.729355,7.759180", \ "7.390827,7.410444,7.441481,7.494931,7.569165,7.635293,7.637953", \ "7.461349,7.473592,7.476282,7.478216,7.548176,7.533815,7.706270", \ "7.773009,7.764930,7.721565,7.752140,7.709736,7.733557,7.668891", \ "8.098369,8.104306,8.121722,8.136667,8.106168,8.069470,8.005057", \ "8.721801,8.716254,8.718772,8.679776,8.646078,8.559411,8.447592"); } } internal_power () { related_pin : "A"; when : "B1 & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("1.703482,1.709640,1.727865,1.740615,1.786400,1.822049,1.863139", \ "1.657235,1.669473,1.691838,1.723681,1.765727,1.799373,1.852598", \ "1.560934,1.583092,1.610508,1.645056,1.701199,1.758271,1.827651", \ "1.504851,1.514497,1.536624,1.575792,1.622563,1.709480,1.779492", \ "1.636269,1.630478,1.627386,1.610478,1.602691,1.678084,1.757658", \ "1.859585,1.845108,1.826764,1.798922,1.775173,1.724775,1.772012", \ "2.231653,2.207864,2.183959,2.127140,2.062032,1.964967,1.863399"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("7.136828,7.145556,7.146086,7.191320,7.204972,7.186010,7.341832", \ "7.051843,7.074137,7.078580,7.080976,7.136320,7.246183,7.274878", \ "6.912905,6.945019,6.942075,6.952214,7.093051,7.110457,7.157472", \ "6.991693,7.007979,7.010738,7.006654,7.064473,7.061974,7.234482", \ "7.289338,7.297892,7.268681,7.218571,7.239007,7.244896,7.196238", \ "7.620930,7.624579,7.646908,7.672949,7.692100,7.595882,7.528392", \ "8.252950,8.247102,8.241336,8.193244,8.187637,8.144737,7.965953"); } } internal_power () { related_pin : "A"; when : "B1 & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("1.026354,1.027052,1.032010,1.018697,1.033467,1.032598,1.038349", \ "0.967865,0.975483,0.984959,0.998238,1.010488,1.015325,1.028179", \ "0.838032,0.855430,0.876280,0.902079,0.939093,0.977719,1.001313", \ "0.796184,0.786669,0.785761,0.819413,0.850227,0.914909,0.960460", \ "0.930363,0.914554,0.899756,0.880922,0.842937,0.880670,0.928960", \ "1.168460,1.143906,1.119199,1.069686,1.018336,0.940367,0.937575", \ "1.580705,1.542793,1.498972,1.425453,1.315446,1.185007,1.046481"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("6.166309,6.191912,6.209292,6.221556,6.241984,6.280996,6.399449", \ "6.080803,6.112831,6.136039,6.168774,6.162152,6.295218,6.345918", \ "5.942572,5.978986,6.018725,6.015562,6.130852,6.223542,6.225907", \ "6.040600,6.054934,6.052279,6.048761,6.064664,6.212308,6.172048", \ "6.208960,6.234797,6.280369,6.332033,6.240883,6.294450,6.265623", \ "6.569353,6.582229,6.604016,6.653419,6.735240,6.567095,6.470694", \ "7.196009,7.198837,7.193212,7.218287,7.209358,7.233626,6.906491"); } } internal_power () { related_pin : "A"; when : "B1 & !B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("1.020522,1.024624,1.030064,1.022683,1.032689,1.039298,1.033906", \ "0.962632,0.973404,0.986277,0.991135,1.009858,1.015206,1.030903", \ "0.833048,0.858733,0.873661,0.893914,0.943718,0.968497,1.004399", \ "0.798957,0.795310,0.787710,0.813443,0.865544,0.905750,0.963066", \ "0.934373,0.921687,0.912418,0.889920,0.859976,0.876133,0.931111", \ "1.175465,1.156581,1.123504,1.089752,1.033184,0.959120,0.949134", \ "1.596521,1.558235,1.516057,1.441814,1.335532,1.202756,1.065380"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("6.644006,6.668127,6.653529,6.721352,6.709208,6.797059,6.868813", \ "6.561980,6.592953,6.601444,6.666549,6.668724,6.701280,6.827533", \ "6.417791,6.452421,6.480949,6.514176,6.574299,6.712649,6.703670", \ "6.509009,6.508008,6.519176,6.531686,6.581043,6.627127,6.646296", \ "6.691352,6.722164,6.751290,6.754291,6.752955,6.763419,6.737384", \ "7.033984,7.061639,7.085853,7.120929,7.206158,7.039414,6.945407", \ "7.663784,7.673285,7.686900,7.693872,7.658413,7.706936,7.386705"); } } internal_power () { related_pin : "A"; when : "B1 & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("1.677754,1.683948,1.712624,1.737819,1.772699,1.803850,1.851494", \ "1.626737,1.651386,1.667514,1.707950,1.750085,1.792590,1.840106", \ "1.526684,1.554559,1.580250,1.621896,1.682754,1.751477,1.813002", \ "1.518294,1.517813,1.527705,1.562967,1.627027,1.695972,1.777796", \ "1.655618,1.644700,1.645407,1.638459,1.629424,1.665548,1.747539", \ "1.879741,1.869554,1.853742,1.825268,1.800230,1.763522,1.771435", \ "2.257992,2.240884,2.210965,2.156936,2.096726,2.004262,1.902494"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("7.122165,7.132100,7.156151,7.146446,7.190134,7.171770,7.313342", \ "7.004226,7.040070,7.038433,7.084706,7.105330,7.215738,7.245291", \ "6.889355,6.910100,6.947989,6.969566,6.954617,7.079162,7.124889", \ "6.984237,7.001732,6.986219,6.988856,7.043212,7.038669,7.204297", \ "7.294790,7.306693,7.276218,7.233310,7.236232,7.234784,7.178218", \ "7.639137,7.654555,7.647533,7.688453,7.703951,7.601977,7.524158", \ "8.299575,8.289089,8.275128,8.266207,8.216679,8.107966,7.980104"); } } internal_power () { related_pin : "A"; when : "B1 & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("1.029924,1.023689,1.032016,1.022636,1.026051,1.037129,1.036617", \ "0.967007,0.968129,0.982376,0.998254,0.999634,1.021655,1.028195", \ "0.833787,0.856110,0.876266,0.907646,0.938689,0.976155,0.996404", \ "0.832236,0.829254,0.814209,0.826234,0.866883,0.906224,0.961567", \ "0.955614,0.949515,0.932883,0.907145,0.885185,0.889948,0.936691", \ "1.198473,1.177382,1.148975,1.104672,1.056009,0.987250,0.947077", \ "1.612321,1.579006,1.534236,1.466080,1.356518,1.234879,1.094230"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("6.151714,6.177251,6.183857,6.212325,6.194405,6.266912,6.385695", \ "6.049313,6.062959,6.091836,6.134609,6.106058,6.189247,6.317492", \ "5.919040,5.954633,5.988612,5.985976,6.094235,6.196545,6.193250", \ "6.033541,6.030541,6.026648,6.043711,6.108092,6.133847,6.146160", \ "6.214437,6.239416,6.285757,6.328534,6.237278,6.282082,6.247198", \ "6.587803,6.608773,6.620295,6.669188,6.747707,6.572805,6.466501", \ "7.241966,7.245145,7.257704,7.249180,7.237066,7.256887,6.920479"); } } internal_power () { related_pin : "A"; when : "B1 & B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("1.029820,1.031145,1.021703,1.028152,1.039016,1.036524,1.029725", \ "0.959996,0.975214,0.983530,0.997639,1.012027,1.018851,1.030268", \ "0.835259,0.859748,0.870288,0.898676,0.944705,0.976747,0.999858", \ "0.843447,0.836973,0.833637,0.825749,0.870328,0.919978,0.955385", \ "0.965897,0.951948,0.936184,0.927879,0.899737,0.887502,0.936632", \ "1.211399,1.189798,1.163219,1.116731,1.068542,1.006228,0.955947", \ "1.635762,1.594415,1.546891,1.478643,1.378911,1.254422,1.121936"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("6.629897,6.634631,6.656711,6.656375,6.689792,6.739834,6.855318", \ "6.529805,6.541668,6.568365,6.617308,6.635134,6.671209,6.798149", \ "6.391981,6.407144,6.438401,6.501887,6.542940,6.664824,6.670066", \ "6.501496,6.498898,6.513742,6.525215,6.517812,6.628133,6.615991", \ "6.696807,6.726800,6.762452,6.799210,6.755355,6.755123,6.719458", \ "7.062012,7.079625,7.102003,7.121637,7.219348,7.046600,6.942024", \ "7.710487,7.720369,7.713125,7.725467,7.687469,7.744785,7.533212"); } } internal_power () { related_pin : "B1"; when : "A & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("1.742754,1.755783,1.759772,1.791823,1.826358,1.861392,1.894835", \ "1.747339,1.764945,1.792955,1.819635,1.862017,1.907717,1.953541", \ "1.691518,1.715314,1.731831,1.780110,1.846178,1.902971,1.969903", \ "1.609748,1.629202,1.654698,1.698157,1.757971,1.839907,1.936417", \ "1.678157,1.692383,1.695799,1.712677,1.713992,1.800749,1.889297", \ "1.797835,1.803187,1.802598,1.810850,1.816113,1.812728,1.878597", \ "2.033096,2.023800,2.010831,2.005426,1.988272,1.973044,1.926516"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("8.510286,8.531245,8.543814,8.575869,8.613307,8.732936,8.796185", \ "8.417434,8.441210,8.472676,8.510346,8.553482,8.622493,8.747372", \ "8.308662,8.325877,8.359176,8.402618,8.490793,8.574093,8.711589", \ "8.336232,8.356646,8.360155,8.380489,8.470163,8.548395,8.686408", \ "8.548355,8.561441,8.563843,8.559180,8.571545,8.616023,8.726388", \ "8.970779,8.961369,8.962539,8.933468,8.899946,8.898768,8.902641", \ "9.524739,9.541499,9.548819,9.503714,9.415614,9.345755,9.223696"); } } internal_power () { related_pin : "B1"; when : "A & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("1.067101,1.067063,1.066700,1.071683,1.066181,1.076354,1.077806", \ "1.059508,1.067323,1.077579,1.089832,1.105394,1.116293,1.130335", \ "0.959490,0.980411,0.997207,1.035973,1.080773,1.113926,1.146010", \ "0.880666,0.878394,0.882623,0.926280,0.995118,1.060888,1.111461", \ "0.945099,0.941734,0.943819,0.949077,0.946112,0.997800,1.069970", \ "1.068788,1.061749,1.057732,1.050419,1.045025,1.019765,1.049031", \ "1.313603,1.297066,1.279869,1.258838,1.222397,1.174246,1.104081"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("7.480838,7.506938,7.535230,7.596169,7.626605,7.747994,7.865999", \ "7.387257,7.415963,7.450816,7.487184,7.571656,7.695867,7.818597", \ "7.278414,7.309313,7.336278,7.406335,7.508746,7.587012,7.719017", \ "7.305315,7.331032,7.352640,7.398191,7.489011,7.561594,7.694104", \ "7.520613,7.537627,7.538501,7.580903,7.588884,7.690892,7.733220", \ "7.951127,7.947089,7.945830,7.940271,7.918019,7.913676,7.908914", \ "8.391014,8.421621,8.473764,8.534717,8.432527,8.360736,8.294421"); } } internal_power () { related_pin : "B1"; when : "A & !B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("1.061019,1.064199,1.069137,1.066801,1.067277,1.077843,1.076977", \ "1.064325,1.074273,1.080604,1.096627,1.109435,1.117053,1.132778", \ "0.952565,0.983597,1.005453,1.035722,1.077878,1.114503,1.140546", \ "0.891657,0.894413,0.899890,0.937313,0.987067,1.056792,1.108226", \ "0.942134,0.947444,0.950968,0.953476,0.962598,0.999489,1.066611", \ "1.067411,1.060618,1.057963,1.056333,1.051877,1.041573,1.051742", \ "1.314236,1.299275,1.278664,1.258041,1.225241,1.187396,1.130930"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("8.003063,8.027702,8.060981,8.098434,8.175949,8.232393,8.347939", \ "7.911356,7.938635,7.977801,8.019600,8.064408,8.184889,8.305670", \ "7.803930,7.833127,7.861275,7.926412,8.001089,8.136202,8.271446", \ "7.830375,7.854778,7.873346,7.933560,7.982672,8.111865,8.244617", \ "8.041443,8.058684,8.070474,8.072437,8.142213,8.180379,8.285230", \ "8.463487,8.459389,8.469806,8.468644,8.411990,8.408898,8.464254", \ "8.916651,8.956119,9.004305,9.052266,8.983496,8.919185,8.791556"); } } internal_power () { related_pin : "B2"; when : "A & !B1 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("2.382865,2.399497,2.410799,2.449123,2.493043,2.575056,2.638359", \ "2.313082,2.337110,2.356593,2.366157,2.423442,2.506697,2.584243", \ "2.244750,2.263260,2.280983,2.329436,2.368568,2.456969,2.525085", \ "2.193524,2.213704,2.220905,2.266670,2.336920,2.419564,2.500363", \ "2.278815,2.284506,2.294390,2.310197,2.308745,2.390551,2.485593", \ "2.417964,2.418412,2.416903,2.429207,2.434970,2.417982,2.484015", \ "2.632706,2.624157,2.621686,2.618824,2.618888,2.604373,2.551174"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("9.634688,9.647156,9.677894,9.707373,9.748987,9.826539,9.934880", \ "9.562774,9.585063,9.606725,9.638164,9.678370,9.746699,9.866953", \ "9.490035,9.502341,9.536231,9.571916,9.649458,9.724516,9.791968", \ "9.446598,9.470516,9.484288,9.501137,9.602315,9.684763,9.817402", \ "9.462951,9.484256,9.495080,9.522651,9.585014,9.652078,9.784365", \ "9.583489,9.596014,9.617866,9.641844,9.647787,9.749388,9.804345", \ "9.778081,9.818998,9.864063,9.895932,9.901798,9.923114,9.931027"); } } internal_power () { related_pin : "B2"; when : "A & !B1 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("1.683151,1.689267,1.700151,1.719172,1.740889,1.786832,1.819467", \ "1.604031,1.623259,1.638593,1.637761,1.689617,1.718343,1.762755", \ "1.526018,1.540542,1.548946,1.582740,1.619431,1.666063,1.704882", \ "1.452858,1.471814,1.491461,1.515974,1.574524,1.616251,1.675840", \ "1.557135,1.556974,1.557926,1.556477,1.537095,1.599239,1.655134", \ "1.687932,1.681494,1.679273,1.681003,1.669832,1.631493,1.658319", \ "1.903547,1.899279,1.887429,1.872427,1.855013,1.810515,1.726496"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("8.596250,8.632215,8.655858,8.695442,8.765318,8.885205,8.977107", \ "8.533474,8.560467,8.583498,8.623870,8.696528,8.817349,8.937335", \ "8.457362,8.486266,8.519839,8.583935,8.668762,8.737028,8.862305", \ "8.415828,8.444645,8.463476,8.528705,8.563172,8.694904,8.825495", \ "8.433598,8.459054,8.484221,8.515823,8.597645,8.724586,8.855494", \ "8.554562,8.578621,8.592138,8.625930,8.663877,8.764336,8.873671", \ "8.648325,8.691431,8.758606,8.886684,8.881132,8.938891,9.004424"); } } internal_power () { related_pin : "B2"; when : "A & !B1 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("1.673269,1.685692,1.698150,1.718178,1.734261,1.769560,1.816701", \ "1.601867,1.615288,1.623703,1.647608,1.678399,1.713799,1.751122", \ "1.519619,1.525285,1.536263,1.572609,1.608456,1.650435,1.701097", \ "1.455360,1.459495,1.482073,1.518349,1.552961,1.618926,1.670953", \ "1.560619,1.561381,1.563312,1.554292,1.547327,1.583333,1.642553", \ "1.692216,1.684208,1.682038,1.684035,1.668435,1.642033,1.656415", \ "1.909338,1.897398,1.887155,1.876779,1.850427,1.813167,1.743541"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("9.119174,9.143558,9.174862,9.210265,9.299355,9.370256,9.487231", \ "9.059518,9.085034,9.110844,9.167019,9.191054,9.307092,9.425596", \ "8.984047,9.010444,9.049179,9.072590,9.184000,9.289471,9.415920", \ "8.940555,8.967629,8.990678,9.031341,9.114025,9.244789,9.377053", \ "8.955113,8.979471,9.009965,9.057690,9.089728,9.212526,9.340728", \ "9.076484,9.096264,9.119567,9.143255,9.211407,9.251003,9.357141", \ "9.175004,9.231024,9.289137,9.410210,9.428505,9.425027,9.489715"); } } internal_power () { related_pin : "C1"; when : "A & !B1 & B2 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("1.730316,1.741857,1.755927,1.786270,1.826763,1.860258,1.903877", \ "1.744109,1.757405,1.773953,1.800192,1.858305,1.900010,1.953502", \ "1.708116,1.724858,1.743870,1.797459,1.852739,1.906255,1.971832", \ "1.746540,1.742860,1.755890,1.780758,1.828605,1.897748,1.954572", \ "1.995874,1.977975,1.960861,1.934179,1.888652,1.936343,1.978235", \ "2.366235,2.339830,2.316439,2.254084,2.182315,2.084489,2.066632", \ "2.911096,2.871113,2.832137,2.753933,2.625485,2.457762,2.270379"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("5.354587,5.370865,5.375529,5.368061,5.406935,5.418974,5.407538", \ "5.246983,5.274226,5.297251,5.310006,5.387779,5.422717,5.428035", \ "5.120739,5.144616,5.157854,5.193332,5.239810,5.297732,5.389955", \ "5.198579,5.199186,5.206333,5.172392,5.201457,5.238511,5.334358", \ "5.480193,5.467917,5.431659,5.387350,5.360333,5.290721,5.344864", \ "5.960056,5.924286,5.865669,5.777963,5.701371,5.571667,5.439277", \ "6.447642,6.432397,6.429181,6.415805,6.246463,6.033935,5.809745"); } } internal_power () { related_pin : "C1"; when : "A & B1 & !B2 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("1.052275,1.063586,1.056542,1.063664,1.074624,1.077543,1.078181", \ "1.052444,1.056768,1.064298,1.088917,1.104619,1.116242,1.130869", \ "0.984619,1.001259,1.028084,1.056750,1.089126,1.118540,1.148334", \ "1.066154,1.045562,1.028727,1.022159,1.067869,1.102345,1.137376", \ "1.320599,1.290199,1.265651,1.215197,1.147598,1.140638,1.155500", \ "1.734111,1.683365,1.630877,1.562021,1.449157,1.305359,1.245965", \ "2.309084,2.262448,2.193761,2.088027,1.908919,1.690718,1.460146"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("4.426282,4.443079,4.446589,4.466232,4.462641,4.456500,4.493197", \ "4.316912,4.337891,4.364670,4.404648,4.443775,4.488085,4.513640", \ "4.192565,4.207891,4.218650,4.233429,4.293317,4.394115,4.413146", \ "4.272680,4.270713,4.277174,4.244314,4.255076,4.336986,4.357323", \ "4.565526,4.544062,4.520805,4.483392,4.448459,4.387520,4.368213", \ "4.864717,4.888437,4.916545,4.884166,4.756458,4.661894,4.561839", \ "5.395883,5.393364,5.392283,5.380727,5.304834,5.118411,4.897981"); } } internal_power () { related_pin : "C1"; when : "A & B1 & B2 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("1.055754,1.060403,1.063739,1.071385,1.073034,1.073175,1.082038", \ "1.055463,1.066923,1.078914,1.091324,1.106509,1.113585,1.131147", \ "0.992908,1.005355,1.030815,1.047060,1.092903,1.117856,1.147599", \ "1.100187,1.080177,1.057264,1.038484,1.058097,1.097019,1.138485", \ "1.347365,1.322673,1.294283,1.247245,1.180006,1.150701,1.159503", \ "1.756563,1.716960,1.671672,1.597569,1.484094,1.352515,1.262024", \ "2.339050,2.290965,2.230978,2.124314,1.956094,1.741434,1.508032"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("4.425121,4.440344,4.436682,4.459757,4.461898,4.455286,4.491646", \ "4.296174,4.323208,4.352121,4.384317,4.433580,4.450012,4.505041", \ "4.177301,4.191734,4.214090,4.247024,4.272629,4.374456,4.394386", \ "4.267662,4.266621,4.264182,4.235164,4.240572,4.318081,4.372130", \ "4.568506,4.541740,4.518163,4.485679,4.413721,4.378242,4.352019", \ "4.875506,4.901135,4.949794,4.876964,4.763033,4.671996,4.520279", \ "5.423339,5.411494,5.403334,5.398622,5.321298,5.131855,4.904516"); } } internal_power () { related_pin : "C2"; when : "A & !B1 & B2 & !C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("2.384340,2.400967,2.405422,2.455128,2.507433,2.572764,2.636517", \ "2.313524,2.331422,2.358295,2.377978,2.425073,2.507891,2.573287", \ "2.237272,2.248916,2.278299,2.326367,2.369997,2.458240,2.533714", \ "2.245865,2.261666,2.285992,2.299213,2.364333,2.431800,2.519009", \ "2.506081,2.494456,2.478073,2.453974,2.451370,2.488468,2.554619", \ "2.881673,2.863131,2.843662,2.805095,2.742514,2.649417,2.661387", \ "3.431387,3.399082,3.360362,3.312484,3.205767,3.046296,2.880179"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("6.504870,6.516273,6.513116,6.516452,6.499908,6.504732,6.553553", \ "6.435950,6.456037,6.472919,6.511911,6.531114,6.556586,6.555858", \ "6.296581,6.321464,6.330009,6.376489,6.406682,6.454994,6.538810", \ "6.236451,6.243070,6.239441,6.255061,6.309976,6.361292,6.401870", \ "6.278485,6.278379,6.280307,6.255261,6.268623,6.296655,6.388863", \ "6.442652,6.448551,6.436140,6.377611,6.397726,6.385419,6.382077", \ "6.601864,6.612305,6.632968,6.680175,6.650276,6.518696,6.501357"); } } internal_power () { related_pin : "C2"; when : "A & B1 & !B2 & !C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("1.677094,1.685478,1.693452,1.724430,1.752734,1.783526,1.815292", \ "1.604268,1.612526,1.632615,1.646318,1.675753,1.718630,1.752021", \ "1.518519,1.528286,1.560598,1.586196,1.619439,1.666916,1.709765", \ "1.547711,1.537404,1.542387,1.575532,1.609630,1.649949,1.692089", \ "1.830824,1.804134,1.773496,1.740175,1.686415,1.701590,1.731613", \ "2.234755,2.197275,2.167730,2.109871,2.015499,1.877464,1.842577", \ "2.805050,2.762998,2.721277,2.629447,2.492179,2.288243,2.068057"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("5.577459,5.586940,5.582347,5.565257,5.609940,5.602483,5.578481", \ "5.506518,5.533250,5.538255,5.570170,5.601760,5.593253,5.640549", \ "5.367497,5.391904,5.409875,5.449569,5.459815,5.552411,5.561462", \ "5.308415,5.311803,5.305419,5.336352,5.364836,5.398595,5.485201", \ "5.352657,5.352400,5.346293,5.349794,5.347402,5.394599,5.410750", \ "5.344545,5.395757,5.457251,5.484548,5.453069,5.423248,5.467404", \ "5.550066,5.562321,5.584843,5.647453,5.702964,5.613237,5.525102"); } } internal_power () { related_pin : "C2"; when : "A & B1 & B2 & !C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("1.648485,1.666232,1.660155,1.681885,1.726635,1.757488,1.807177", \ "1.572216,1.585089,1.605991,1.619883,1.656690,1.704359,1.743524", \ "1.490496,1.517517,1.523488,1.546731,1.596397,1.650806,1.693460", \ "1.565757,1.558299,1.537087,1.565102,1.584359,1.649799,1.685130", \ "1.846452,1.822673,1.802516,1.768605,1.705600,1.701647,1.718483", \ "2.254408,2.226552,2.192227,2.134887,2.043082,1.914632,1.838707", \ "2.827915,2.788233,2.742909,2.656790,2.526991,2.329167,2.093830"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("5.576341,5.582037,5.592576,5.582364,5.608809,5.601217,5.577655", \ "5.495970,5.514774,5.523462,5.550293,5.577280,5.611543,5.631614", \ "5.355712,5.370588,5.379640,5.416165,5.443114,5.534911,5.544959", \ "5.303361,5.315236,5.310605,5.334241,5.351381,5.381635,5.466997", \ "5.350001,5.349782,5.329639,5.332354,5.315173,5.382994,5.394802", \ "5.344251,5.391625,5.454559,5.470839,5.448713,5.416067,5.454993", \ "5.555472,5.576379,5.587554,5.649978,5.703884,5.611127,5.517867"); } } } } /****************************************************************************************** Module : OAI221_X4 Cell Description : Combinational cell (OAI221_X4) with drive strength X4 *******************************************************************************************/ cell (OAI221_X4) { drive_strength : 4; area : 3.458000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 82.771606; leakage_power () { when : "!A & !B1 & !B2 & !C1 & !C2"; value : 70.095845; } leakage_power () { when : "!A & !B1 & !B2 & !C1 & C2"; value : 71.220019; } leakage_power () { when : "!A & !B1 & !B2 & C1 & !C2"; value : 71.220018; } leakage_power () { when : "!A & !B1 & !B2 & C1 & C2"; value : 70.290697; } leakage_power () { when : "!A & !B1 & B2 & !C1 & !C2"; value : 75.945280; } leakage_power () { when : "!A & !B1 & B2 & !C1 & C2"; value : 80.395999; } leakage_power () { when : "!A & !B1 & B2 & C1 & !C2"; value : 80.395999; } leakage_power () { when : "!A & !B1 & B2 & C1 & C2"; value : 79.746413; } leakage_power () { when : "!A & B1 & !B2 & !C1 & !C2"; value : 75.945280; } leakage_power () { when : "!A & B1 & !B2 & !C1 & C2"; value : 80.395999; } leakage_power () { when : "!A & B1 & !B2 & C1 & !C2"; value : 80.395999; } leakage_power () { when : "!A & B1 & !B2 & C1 & C2"; value : 79.746413; } leakage_power () { when : "!A & B1 & B2 & !C1 & !C2"; value : 81.015430; } leakage_power () { when : "!A & B1 & B2 & !C1 & C2"; value : 85.467014; } leakage_power () { when : "!A & B1 & B2 & C1 & !C2"; value : 85.467014; } leakage_power () { when : "!A & B1 & B2 & C1 & C2"; value : 84.817523; } leakage_power () { when : "A & !B1 & !B2 & !C1 & !C2"; value : 73.483716; } leakage_power () { when : "A & !B1 & !B2 & !C1 & C2"; value : 81.547209; } leakage_power () { when : "A & !B1 & !B2 & C1 & !C2"; value : 81.547209; } leakage_power () { when : "A & !B1 & !B2 & C1 & C2"; value : 80.793744; } leakage_power () { when : "A & !B1 & B2 & !C1 & !C2"; value : 101.393604; } leakage_power () { when : "A & !B1 & B2 & !C1 & C2"; value : 82.268699; } leakage_power () { when : "A & !B1 & B2 & C1 & !C2"; value : 83.636888; } leakage_power () { when : "A & !B1 & B2 & C1 & C2"; value : 85.749080; } leakage_power () { when : "A & B1 & !B2 & !C1 & !C2"; value : 101.393699; } leakage_power () { when : "A & B1 & !B2 & !C1 & C2"; value : 83.636784; } leakage_power () { when : "A & B1 & !B2 & C1 & !C2"; value : 85.004879; } leakage_power () { when : "A & B1 & !B2 & C1 & C2"; value : 87.116777; } leakage_power () { when : "A & B1 & B2 & !C1 & !C2"; value : 106.475591; } leakage_power () { when : "A & B1 & B2 & !C1 & C2"; value : 85.744672; } leakage_power () { when : "A & B1 & B2 & C1 & !C2"; value : 87.112634; } leakage_power () { when : "A & B1 & B2 & C1 & C2"; value : 89.225263; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.610392; fall_capacitance : 1.481184; rise_capacitance : 1.610392; } pin (B1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.602784; fall_capacitance : 1.387707; rise_capacitance : 1.602784; } pin (B2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.595998; fall_capacitance : 1.513792; rise_capacitance : 1.595998; } pin (C1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.517526; fall_capacitance : 1.346023; rise_capacitance : 1.517526; } pin (C2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.540165; fall_capacitance : 1.520671; rise_capacitance : 1.540165; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 242.310000; function : "!(!(!(((C1 | C2) & A) & (B1 | B2))))"; timing () { related_pin : "A"; when : "!B1 & B2 & !C1 & C2"; sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.174988,0.185750,0.193874,0.206846,0.228234,0.265913,0.337206", \ "0.179754,0.190512,0.198644,0.211618,0.233002,0.270666,0.341960", \ "0.194863,0.205638,0.213746,0.226723,0.248108,0.285774,0.357071", \ "0.222679,0.233451,0.241554,0.254545,0.275924,0.313607,0.384910", \ "0.261942,0.272751,0.280859,0.293577,0.314956,0.352652,0.423958", \ "0.304685,0.315569,0.323717,0.336325,0.357772,0.395511,0.466835", \ "0.346288,0.357476,0.365753,0.378612,0.400205,0.438029,0.509363"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.148631,0.165982,0.182438,0.214821,0.279198,0.407373,0.663164", \ "0.153488,0.170841,0.187284,0.219684,0.284056,0.412226,0.668024", \ "0.171273,0.188625,0.205077,0.237452,0.301828,0.430009,0.685797", \ "0.207896,0.225245,0.241637,0.273848,0.338199,0.466376,0.722163", \ "0.263357,0.280774,0.297129,0.329101,0.393419,0.521577,0.777387", \ "0.326304,0.344321,0.360711,0.392655,0.456806,0.584923,0.840735", \ "0.392417,0.411401,0.427924,0.459824,0.523832,0.651845,0.907620"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013774,0.017653,0.021327,0.028385,0.042473,0.071690,0.132959", \ "0.013774,0.017654,0.021328,0.028384,0.042473,0.071689,0.132964", \ "0.013769,0.017653,0.021326,0.028383,0.042472,0.071690,0.132959", \ "0.013762,0.017646,0.021322,0.028382,0.042471,0.071688,0.132962", \ "0.013960,0.017799,0.021448,0.028474,0.042528,0.071713,0.132964", \ "0.014379,0.018165,0.021776,0.028751,0.042732,0.071837,0.133029", \ "0.015065,0.018748,0.022289,0.029170,0.043030,0.072008,0.133071"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.015113,0.027044,0.041390,0.071518,0.132554,0.254899,0.499831", \ "0.015113,0.027044,0.041389,0.071516,0.132548,0.254896,0.499832", \ "0.015114,0.027043,0.041389,0.071523,0.132546,0.254890,0.499830", \ "0.015132,0.027049,0.041391,0.071523,0.132549,0.254898,0.499831", \ "0.015566,0.027233,0.041470,0.071545,0.132564,0.254899,0.499832", \ "0.017219,0.028156,0.041913,0.071704,0.132631,0.254919,0.499839", \ "0.019234,0.029530,0.042632,0.071960,0.132750,0.254972,0.499858"); } } timing () { related_pin : "A"; when : "!B1 & B2 & C1 & !C2"; sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.162941,0.173654,0.181733,0.194662,0.216009,0.253641,0.324935", \ "0.167678,0.178398,0.186477,0.199405,0.220747,0.258383,0.329691", \ "0.182715,0.193420,0.201500,0.214428,0.235770,0.273420,0.344701", \ "0.210184,0.220899,0.228955,0.241846,0.263189,0.300830,0.372113", \ "0.247400,0.258177,0.266230,0.278893,0.300256,0.337932,0.409223", \ "0.286586,0.297442,0.305578,0.318135,0.339558,0.377288,0.448584", \ "0.323675,0.334885,0.343185,0.356028,0.377632,0.415463,0.486779"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.144226,0.161256,0.177698,0.210126,0.274540,0.402709,0.658522", \ "0.149077,0.166110,0.182559,0.214982,0.279383,0.407572,0.663373", \ "0.166866,0.183895,0.200335,0.232755,0.297166,0.425347,0.681145", \ "0.203518,0.220548,0.236927,0.269183,0.333560,0.461742,0.717549", \ "0.258800,0.275876,0.292213,0.324247,0.388566,0.516757,0.772591", \ "0.320991,0.338507,0.354835,0.386844,0.451048,0.579207,0.835045", \ "0.386380,0.404677,0.421037,0.452971,0.517037,0.645117,0.900961"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013589,0.017488,0.021176,0.028257,0.042375,0.071626,0.132933", \ "0.013591,0.017488,0.021176,0.028256,0.042373,0.071625,0.132934", \ "0.013587,0.017487,0.021175,0.028257,0.042375,0.071626,0.132934", \ "0.013591,0.017489,0.021177,0.028256,0.042373,0.071625,0.132932", \ "0.013852,0.017721,0.021374,0.028409,0.042473,0.071670,0.132942", \ "0.014331,0.018114,0.021723,0.028701,0.042690,0.071801,0.133006", \ "0.015114,0.018784,0.022315,0.029183,0.043034,0.072002,0.133061"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.014252,0.026587,0.041155,0.071405,0.132479,0.254860,0.499836", \ "0.014250,0.026587,0.041154,0.071405,0.132477,0.254863,0.499828", \ "0.014250,0.026585,0.041152,0.071412,0.132475,0.254860,0.499838", \ "0.014263,0.026593,0.041157,0.071414,0.132472,0.254856,0.499826", \ "0.014720,0.026760,0.041226,0.071432,0.132491,0.254864,0.499828", \ "0.016174,0.027475,0.041557,0.071554,0.132550,0.254881,0.499827", \ "0.018017,0.028553,0.042079,0.071737,0.132638,0.254910,0.499838"); } } timing () { related_pin : "A"; when : "!B1 & B2 & C1 & C2"; sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.151614,0.162237,0.170257,0.183111,0.204388,0.241984,0.313222", \ "0.156382,0.167009,0.175028,0.187881,0.209160,0.246751,0.317999", \ "0.172547,0.183177,0.191191,0.204048,0.225331,0.262922,0.334163", \ "0.202760,0.213392,0.221370,0.234187,0.255467,0.293053,0.364302", \ "0.242629,0.253323,0.261323,0.273898,0.295239,0.332885,0.404130", \ "0.283046,0.293843,0.301946,0.314461,0.335830,0.373501,0.444800", \ "0.320411,0.331599,0.339888,0.352688,0.374280,0.412054,0.483351"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.148116,0.165158,0.181596,0.214018,0.278422,0.406595,0.662403", \ "0.153023,0.170063,0.186491,0.218921,0.283323,0.411514,0.667310", \ "0.170734,0.187773,0.204208,0.236633,0.301029,0.429209,0.685010", \ "0.207354,0.224395,0.240780,0.273017,0.337395,0.465566,0.721377", \ "0.263220,0.280291,0.296620,0.328678,0.393028,0.521192,0.777015", \ "0.326670,0.344167,0.360500,0.392480,0.456723,0.584875,0.840727", \ "0.393429,0.411673,0.428047,0.459948,0.524050,0.652141,0.907979"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013317,0.017237,0.020946,0.028056,0.042217,0.071524,0.132888", \ "0.013319,0.017236,0.020945,0.028055,0.042217,0.071524,0.132895", \ "0.013315,0.017237,0.020945,0.028055,0.042216,0.071524,0.132895", \ "0.013323,0.017243,0.020950,0.028059,0.042219,0.071525,0.132890", \ "0.013611,0.017498,0.021171,0.028232,0.042330,0.071575,0.132901", \ "0.014168,0.017948,0.021563,0.028551,0.042564,0.071711,0.132963", \ "0.015075,0.018721,0.022239,0.029101,0.042952,0.071931,0.133026"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.014291,0.026604,0.041163,0.071415,0.132479,0.254859,0.499829", \ "0.014289,0.026605,0.041162,0.071408,0.132482,0.254862,0.499829", \ "0.014294,0.026604,0.041164,0.071418,0.132479,0.254864,0.499834", \ "0.014306,0.026607,0.041164,0.071414,0.132481,0.254861,0.499831", \ "0.014681,0.026750,0.041224,0.071435,0.132486,0.254864,0.499839", \ "0.016117,0.027445,0.041542,0.071544,0.132541,0.254871,0.499829", \ "0.017939,0.028502,0.042053,0.071733,0.132632,0.254910,0.499840"); } } timing () { related_pin : "A"; when : "B1 & !B2 & !C1 & C2"; sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.162941,0.173654,0.181733,0.194662,0.216009,0.253641,0.324935", \ "0.167678,0.178398,0.186477,0.199405,0.220747,0.258383,0.329691", \ "0.182715,0.193420,0.201500,0.214428,0.235770,0.273420,0.344701", \ "0.210184,0.220899,0.228955,0.241846,0.263189,0.300830,0.372113", \ "0.247400,0.258177,0.266230,0.278893,0.300256,0.337932,0.409223", \ "0.286586,0.297442,0.305578,0.318135,0.339558,0.377288,0.448584", \ "0.323675,0.334885,0.343185,0.356028,0.377632,0.415463,0.486779"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.144226,0.161256,0.177698,0.210126,0.274540,0.402709,0.658522", \ "0.149077,0.166110,0.182559,0.214982,0.279383,0.407572,0.663373", \ "0.166866,0.183895,0.200335,0.232755,0.297166,0.425347,0.681145", \ "0.203518,0.220548,0.236927,0.269183,0.333560,0.461742,0.717549", \ "0.258800,0.275876,0.292213,0.324247,0.388566,0.516757,0.772591", \ "0.320991,0.338507,0.354835,0.386844,0.451048,0.579207,0.835045", \ "0.386380,0.404677,0.421037,0.452971,0.517037,0.645117,0.900961"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013589,0.017488,0.021176,0.028257,0.042375,0.071626,0.132933", \ "0.013591,0.017488,0.021176,0.028256,0.042373,0.071625,0.132934", \ "0.013587,0.017487,0.021175,0.028257,0.042375,0.071626,0.132934", \ "0.013591,0.017489,0.021177,0.028256,0.042373,0.071625,0.132932", \ "0.013852,0.017721,0.021374,0.028409,0.042473,0.071670,0.132942", \ "0.014331,0.018114,0.021723,0.028701,0.042690,0.071801,0.133006", \ "0.015114,0.018784,0.022315,0.029183,0.043034,0.072002,0.133061"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.014252,0.026587,0.041155,0.071405,0.132479,0.254860,0.499836", \ "0.014250,0.026587,0.041154,0.071405,0.132477,0.254863,0.499828", \ "0.014250,0.026585,0.041152,0.071412,0.132475,0.254860,0.499838", \ "0.014263,0.026593,0.041157,0.071414,0.132472,0.254856,0.499826", \ "0.014720,0.026760,0.041226,0.071432,0.132491,0.254864,0.499828", \ "0.016174,0.027475,0.041557,0.071554,0.132550,0.254881,0.499827", \ "0.018017,0.028553,0.042079,0.071737,0.132638,0.254910,0.499838"); } } timing () { related_pin : "A"; when : "B1 & !B2 & C1 & !C2"; sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.151235,0.161866,0.169908,0.182786,0.204086,0.241689,0.312962", \ "0.155923,0.166575,0.174611,0.187490,0.208790,0.246388,0.317663", \ "0.170808,0.181449,0.189491,0.202371,0.223669,0.261285,0.332551", \ "0.197666,0.208346,0.216351,0.229110,0.250415,0.288011,0.359271", \ "0.232201,0.242919,0.250935,0.263448,0.284826,0.322498,0.393758", \ "0.267214,0.278077,0.286209,0.298740,0.320159,0.357868,0.429140", \ "0.299327,0.310593,0.318909,0.331747,0.353394,0.391213,0.462533"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.139617,0.156385,0.172832,0.205292,0.269719,0.397905,0.653718", \ "0.144464,0.161231,0.177683,0.210138,0.274565,0.402759,0.658566", \ "0.162257,0.179025,0.195464,0.227917,0.292347,0.420538,0.676349", \ "0.198947,0.215712,0.232096,0.264383,0.328779,0.456969,0.712792", \ "0.253965,0.270763,0.287102,0.319182,0.383531,0.511729,0.767582", \ "0.315347,0.332421,0.348721,0.380774,0.445044,0.573231,0.829131", \ "0.379989,0.397610,0.413867,0.445847,0.509992,0.638131,0.894019"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013388,0.017311,0.021014,0.028120,0.042268,0.071558,0.132901", \ "0.013386,0.017310,0.021014,0.028119,0.042269,0.071558,0.132901", \ "0.013390,0.017310,0.021015,0.028120,0.042269,0.071558,0.132902", \ "0.013418,0.017333,0.021035,0.028135,0.042277,0.071563,0.132903", \ "0.013758,0.017626,0.021295,0.028350,0.042428,0.071636,0.132922", \ "0.014315,0.018091,0.021699,0.028675,0.042663,0.071777,0.132983", \ "0.015244,0.018881,0.022394,0.029244,0.043067,0.072011,0.133056"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013415,0.026187,0.040946,0.071295,0.132399,0.254837,0.499826", \ "0.013412,0.026187,0.040946,0.071293,0.132391,0.254826,0.499825", \ "0.013415,0.026187,0.040947,0.071291,0.132393,0.254830,0.499827", \ "0.013428,0.026191,0.040946,0.071294,0.132390,0.254833,0.499828", \ "0.013852,0.026338,0.041009,0.071317,0.132406,0.254830,0.499840", \ "0.015067,0.026850,0.041239,0.071397,0.132452,0.254846,0.499838", \ "0.016690,0.027637,0.041592,0.071542,0.132518,0.254853,0.499823"); } } timing () { related_pin : "A"; when : "B1 & !B2 & C1 & C2"; sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.141657,0.152228,0.160215,0.173029,0.194269,0.231825,0.303057", \ "0.146395,0.156972,0.164953,0.177767,0.199010,0.236568,0.307800", \ "0.162431,0.173001,0.180990,0.193805,0.215046,0.252603,0.323834", \ "0.191891,0.202479,0.210433,0.223209,0.244452,0.282004,0.353228", \ "0.228580,0.239246,0.247232,0.259765,0.281116,0.318741,0.389973", \ "0.264554,0.275358,0.283464,0.295959,0.317332,0.354994,0.426261", \ "0.296809,0.308080,0.316390,0.329256,0.350840,0.388632,0.459898"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.143546,0.160315,0.176759,0.209209,0.273635,0.401815,0.657634", \ "0.148443,0.165215,0.181643,0.214118,0.278525,0.406727,0.662536", \ "0.166154,0.182925,0.199360,0.231819,0.296237,0.424430,0.680241", \ "0.202813,0.219582,0.235950,0.268243,0.332631,0.460818,0.716638", \ "0.258482,0.275268,0.291606,0.323689,0.388056,0.516244,0.772106", \ "0.321152,0.338213,0.354516,0.386533,0.450830,0.579016,0.834904", \ "0.387163,0.404762,0.421018,0.452983,0.517160,0.645306,0.901195"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013146,0.017084,0.020806,0.027938,0.042127,0.071467,0.132865", \ "0.013144,0.017083,0.020805,0.027937,0.042127,0.071466,0.132868", \ "0.013142,0.017085,0.020806,0.027939,0.042128,0.071467,0.132868", \ "0.013184,0.017114,0.020833,0.027957,0.042139,0.071472,0.132864", \ "0.013557,0.017432,0.021112,0.028186,0.042299,0.071553,0.132882", \ "0.014196,0.017961,0.021569,0.028549,0.042555,0.071700,0.132947", \ "0.015257,0.018865,0.022361,0.029191,0.043008,0.071958,0.133025"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013453,0.026201,0.040953,0.071300,0.132399,0.254829,0.499822", \ "0.013453,0.026201,0.040952,0.071301,0.132393,0.254833,0.499840", \ "0.013460,0.026203,0.040953,0.071293,0.132394,0.254833,0.499839", \ "0.013466,0.026205,0.040955,0.071299,0.132392,0.254836,0.499826", \ "0.013839,0.026332,0.041004,0.071317,0.132411,0.254833,0.499826", \ "0.015032,0.026832,0.041231,0.071404,0.132452,0.254850,0.499837", \ "0.016623,0.027606,0.041580,0.071532,0.132511,0.254846,0.499838"); } } timing () { related_pin : "A"; when : "B1 & B2 & !C1 & C2"; sdf_cond : "(B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.144698,0.155223,0.163196,0.175999,0.197228,0.234787,0.306035", \ "0.149773,0.160304,0.168274,0.181079,0.202306,0.239865,0.311108", \ "0.165197,0.175722,0.183700,0.196500,0.217726,0.255285,0.326536", \ "0.192799,0.203367,0.211334,0.224078,0.245298,0.282846,0.354074", \ "0.228520,0.239095,0.247040,0.259572,0.280855,0.318457,0.389708", \ "0.265629,0.276262,0.284295,0.296676,0.317968,0.355597,0.426889", \ "0.300436,0.311410,0.319591,0.332253,0.353721,0.391437,0.462691"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.144094,0.161126,0.177569,0.209995,0.274411,0.402593,0.658391", \ "0.148788,0.165812,0.182258,0.214688,0.279094,0.407279,0.663078", \ "0.166595,0.183623,0.200064,0.232486,0.296887,0.425075,0.680878", \ "0.203399,0.220428,0.236817,0.269052,0.333431,0.461611,0.717415", \ "0.258822,0.275901,0.292227,0.324258,0.388591,0.516786,0.772627", \ "0.321216,0.338736,0.355064,0.387076,0.451284,0.579450,0.835300", \ "0.386943,0.405227,0.421593,0.453509,0.517607,0.645688,0.901520"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013076,0.017031,0.020763,0.027904,0.042107,0.071455,0.132864", \ "0.013073,0.017032,0.020763,0.027904,0.042107,0.071457,0.132861", \ "0.013075,0.017030,0.020763,0.027905,0.042105,0.071457,0.132860", \ "0.013089,0.017040,0.020772,0.027910,0.042109,0.071457,0.132869", \ "0.013359,0.017271,0.020972,0.028073,0.042220,0.071512,0.132873", \ "0.013810,0.017649,0.021298,0.028336,0.042407,0.071618,0.132921", \ "0.014554,0.018276,0.021849,0.028779,0.042722,0.071798,0.132975"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.014248,0.026586,0.041155,0.071410,0.132476,0.254855,0.499838", \ "0.014246,0.026584,0.041152,0.071408,0.132484,0.254860,0.499827", \ "0.014248,0.026584,0.041155,0.071413,0.132476,0.254856,0.499825", \ "0.014264,0.026591,0.041155,0.071406,0.132473,0.254856,0.499826", \ "0.014716,0.026759,0.041226,0.071434,0.132484,0.254860,0.499828", \ "0.016168,0.027471,0.041553,0.071555,0.132540,0.254887,0.499825", \ "0.017997,0.028543,0.042075,0.071738,0.132641,0.254904,0.499838"); } } timing () { related_pin : "A"; when : "B1 & B2 & C1 & !C2"; sdf_cond : "(B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.135190,0.145659,0.153598,0.166364,0.187560,0.225089,0.296320", \ "0.140235,0.150710,0.158650,0.171410,0.192605,0.230137,0.301366", \ "0.155533,0.166003,0.173940,0.186703,0.207897,0.245429,0.316657", \ "0.182353,0.192857,0.200742,0.213408,0.234609,0.272142,0.343354", \ "0.215347,0.225884,0.233807,0.246183,0.267473,0.305063,0.376323", \ "0.248541,0.259174,0.267198,0.279552,0.300818,0.338435,0.409715", \ "0.278631,0.289658,0.297848,0.310521,0.331995,0.369716,0.440978"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.139489,0.156256,0.172707,0.205161,0.269597,0.397782,0.653592", \ "0.144180,0.160944,0.177385,0.209850,0.274271,0.402465,0.658275", \ "0.161989,0.178757,0.195195,0.227647,0.292071,0.420268,0.676087", \ "0.198833,0.215597,0.231981,0.264257,0.328653,0.456841,0.712664", \ "0.254009,0.270810,0.287141,0.319215,0.383555,0.511758,0.767611", \ "0.315582,0.332655,0.348946,0.381013,0.445281,0.573472,0.829366", \ "0.380558,0.398174,0.414432,0.446387,0.510573,0.638710,0.894597"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.012907,0.016883,0.020628,0.027792,0.042020,0.071400,0.132839", \ "0.012906,0.016881,0.020629,0.027792,0.042020,0.071400,0.132837", \ "0.012908,0.016883,0.020629,0.027793,0.042021,0.071400,0.132836", \ "0.012955,0.016920,0.020659,0.027813,0.042034,0.071408,0.132844", \ "0.013282,0.017197,0.020901,0.028013,0.042178,0.071485,0.132861", \ "0.013808,0.017636,0.021283,0.028318,0.042386,0.071599,0.132906", \ "0.014678,0.018371,0.021929,0.028838,0.042755,0.071808,0.132968"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013417,0.026186,0.040946,0.071288,0.132398,0.254833,0.499833", \ "0.013415,0.026185,0.040947,0.071297,0.132400,0.254830,0.499825", \ "0.013418,0.026186,0.040947,0.071295,0.132389,0.254827,0.499827", \ "0.013430,0.026190,0.040947,0.071298,0.132398,0.254830,0.499829", \ "0.013852,0.026340,0.041009,0.071311,0.132411,0.254836,0.499841", \ "0.015065,0.026849,0.041236,0.071403,0.132448,0.254846,0.499833", \ "0.016667,0.027629,0.041588,0.071540,0.132513,0.254842,0.499821"); } } timing () { related_pin : "A"; when : "B1 & B2 & C1 & C2"; sdf_cond : "(B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.125020,0.135419,0.143304,0.156002,0.177136,0.214611,0.285812", \ "0.130130,0.140530,0.148414,0.161113,0.182247,0.219726,0.290923", \ "0.146861,0.157256,0.165140,0.177839,0.198972,0.236446,0.307647", \ "0.176408,0.186830,0.194689,0.207374,0.228511,0.265989,0.337182", \ "0.211355,0.221843,0.229740,0.242184,0.263392,0.300945,0.372144", \ "0.245372,0.255989,0.263963,0.276274,0.297509,0.335090,0.406346", \ "0.275607,0.286624,0.294808,0.307452,0.328874,0.366580,0.437825"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.143417,0.160188,0.176630,0.209089,0.273509,0.401699,0.657513", \ "0.148144,0.164924,0.181351,0.213809,0.278232,0.406422,0.662235", \ "0.165876,0.182647,0.199082,0.231539,0.295960,0.424151,0.679964", \ "0.202691,0.219460,0.235844,0.268133,0.332518,0.460705,0.716524", \ "0.258515,0.275303,0.291632,0.323723,0.388085,0.516280,0.772139", \ "0.321386,0.338445,0.354741,0.386778,0.451067,0.579280,0.835145", \ "0.387745,0.405334,0.421584,0.453559,0.517711,0.645866,0.901762"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.012650,0.016648,0.020415,0.027607,0.041881,0.071315,0.132810", \ "0.012649,0.016646,0.020414,0.027609,0.041882,0.071314,0.132805", \ "0.012649,0.016647,0.020416,0.027608,0.041882,0.071315,0.132810", \ "0.012706,0.016694,0.020452,0.027635,0.041897,0.071323,0.132807", \ "0.013062,0.016992,0.020709,0.027841,0.042044,0.071401,0.132833", \ "0.013655,0.017479,0.021131,0.028175,0.042268,0.071519,0.132876", \ "0.014652,0.018318,0.021860,0.028760,0.042676,0.071743,0.132936"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013455,0.026201,0.040955,0.071296,0.132393,0.254829,0.499830", \ "0.013454,0.026200,0.040953,0.071301,0.132403,0.254832,0.499824", \ "0.013458,0.026201,0.040953,0.071293,0.132394,0.254833,0.499838", \ "0.013466,0.026204,0.040957,0.071301,0.132404,0.254834,0.499834", \ "0.013840,0.026333,0.041006,0.071316,0.132397,0.254832,0.499825", \ "0.015027,0.026831,0.041231,0.071405,0.132444,0.254837,0.499837", \ "0.016613,0.027597,0.041577,0.071530,0.132512,0.254855,0.499837"); } } timing () { related_pin : "B1"; when : "A & !B2 & !C1 & C2"; sdf_cond : "(A == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.167063,0.177771,0.185856,0.198785,0.220127,0.257762,0.329053", \ "0.172758,0.183459,0.191541,0.204469,0.225812,0.263460,0.334740", \ "0.187137,0.197854,0.205925,0.218856,0.240199,0.277834,0.349126", \ "0.209770,0.220486,0.228526,0.241427,0.262779,0.300408,0.371687", \ "0.239779,0.250547,0.258659,0.271437,0.292821,0.330497,0.401777", \ "0.272233,0.283117,0.291246,0.304052,0.325484,0.363207,0.434510", \ "0.304385,0.315486,0.323725,0.336454,0.358002,0.395812,0.467157"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.291961,0.311100,0.327734,0.359731,0.423718,0.551797,0.807571", \ "0.294179,0.313319,0.329938,0.361946,0.425898,0.554040,0.809811", \ "0.307591,0.326740,0.343309,0.375267,0.439318,0.567384,0.823195", \ "0.338283,0.357417,0.373950,0.405757,0.469804,0.597846,0.853668", \ "0.390101,0.409245,0.425846,0.457647,0.521660,0.649724,0.905477", \ "0.465109,0.484340,0.500916,0.532774,0.596768,0.724783,0.980578", \ "0.553708,0.573736,0.590474,0.622255,0.686095,0.814047,1.069808"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013588,0.017488,0.021177,0.028256,0.042375,0.071626,0.132929", \ "0.013590,0.017487,0.021176,0.028256,0.042374,0.071624,0.132933", \ "0.013590,0.017487,0.021176,0.028256,0.042373,0.071626,0.132930", \ "0.013588,0.017488,0.021177,0.028258,0.042372,0.071624,0.132929", \ "0.013808,0.017681,0.021346,0.028391,0.042463,0.071667,0.132942", \ "0.014226,0.018035,0.021660,0.028653,0.042660,0.071788,0.132992", \ "0.014856,0.018584,0.022150,0.029064,0.042962,0.071972,0.133059"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.019430,0.029746,0.042779,0.072034,0.132813,0.255068,0.499894", \ "0.019431,0.029744,0.042778,0.072036,0.132811,0.255070,0.499894", \ "0.019422,0.029745,0.042779,0.072035,0.132808,0.255062,0.499893", \ "0.019427,0.029745,0.042779,0.072031,0.132822,0.255067,0.499890", \ "0.019431,0.029747,0.042779,0.072030,0.132822,0.255066,0.499893", \ "0.019598,0.029852,0.042832,0.072049,0.132817,0.255064,0.499886", \ "0.021254,0.031113,0.043519,0.072266,0.132898,0.255086,0.499899"); } } timing () { related_pin : "B1"; when : "A & !B2 & C1 & !C2"; sdf_cond : "(A == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.155347,0.165993,0.174030,0.186910,0.208212,0.245815,0.317081", \ "0.160989,0.171637,0.179678,0.192553,0.213853,0.251458,0.322746", \ "0.175235,0.185883,0.193927,0.206801,0.228102,0.265700,0.336973", \ "0.197434,0.208112,0.216126,0.228977,0.250281,0.287876,0.359117", \ "0.225795,0.236515,0.244601,0.257358,0.278708,0.316370,0.387643", \ "0.255667,0.266515,0.274627,0.287297,0.308673,0.346390,0.417709", \ "0.284017,0.295145,0.303392,0.316081,0.337632,0.375437,0.446753"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.284896,0.303145,0.319556,0.351562,0.415696,0.543816,0.799636", \ "0.287115,0.305362,0.321777,0.353780,0.417846,0.546029,0.801868", \ "0.300495,0.318743,0.335123,0.367113,0.431274,0.559408,0.815246", \ "0.331191,0.349439,0.365800,0.397638,0.461783,0.589882,0.845711", \ "0.383084,0.401335,0.417700,0.449553,0.513649,0.641776,0.897590", \ "0.458143,0.476460,0.492830,0.524667,0.588775,0.716866,0.972699", \ "0.545928,0.564982,0.581415,0.613138,0.677092,0.805151,1.060963"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013389,0.017310,0.021015,0.028119,0.042269,0.071557,0.132896", \ "0.013386,0.017311,0.021015,0.028119,0.042268,0.071559,0.132901", \ "0.013389,0.017310,0.021015,0.028119,0.042268,0.071559,0.132896", \ "0.013408,0.017327,0.021028,0.028130,0.042275,0.071561,0.132902", \ "0.013688,0.017566,0.021240,0.028303,0.042398,0.071625,0.132916", \ "0.014155,0.017968,0.021596,0.028595,0.042611,0.071757,0.132976", \ "0.014891,0.018607,0.022166,0.029071,0.042963,0.071965,0.133048"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.017872,0.028467,0.042036,0.071724,0.132645,0.254969,0.499853", \ "0.017869,0.028468,0.042035,0.071718,0.132651,0.254964,0.499848", \ "0.017871,0.028466,0.042036,0.071725,0.132647,0.254968,0.499852", \ "0.017872,0.028468,0.042036,0.071725,0.132645,0.254969,0.499853", \ "0.017875,0.028470,0.042036,0.071725,0.132640,0.254966,0.499857", \ "0.018082,0.028577,0.042083,0.071737,0.132667,0.254963,0.499860", \ "0.019675,0.029614,0.042588,0.071879,0.132702,0.254976,0.499867"); } } timing () { related_pin : "B1"; when : "A & !B2 & C1 & C2"; sdf_cond : "(A == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.145827,0.156401,0.164382,0.177196,0.198439,0.235991,0.307220", \ "0.151454,0.162028,0.170008,0.182824,0.204065,0.241624,0.312856", \ "0.166046,0.176619,0.184603,0.197417,0.218658,0.256219,0.327444", \ "0.189808,0.200400,0.208368,0.221159,0.242395,0.279944,0.351169", \ "0.220155,0.230811,0.238842,0.251504,0.272827,0.310456,0.381695", \ "0.251898,0.262687,0.270751,0.283450,0.304808,0.342486,0.413766", \ "0.281494,0.292569,0.300796,0.313467,0.334986,0.372754,0.444080"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.294568,0.312865,0.329272,0.361279,0.425330,0.553483,0.809356", \ "0.296842,0.315144,0.331539,0.363543,0.427651,0.555776,0.811614", \ "0.310229,0.328524,0.344935,0.376966,0.441027,0.569152,0.825011", \ "0.340926,0.359223,0.375554,0.407402,0.471548,0.599661,0.855471", \ "0.392780,0.411092,0.427454,0.459290,0.523380,0.651498,0.907311", \ "0.467981,0.486347,0.502714,0.534595,0.598659,0.726779,0.982613", \ "0.557473,0.576521,0.592951,0.624688,0.688606,0.816675,1.072487"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013145,0.017085,0.020806,0.027937,0.042128,0.071467,0.132862", \ "0.013144,0.017084,0.020806,0.027938,0.042127,0.071466,0.132868", \ "0.013145,0.017082,0.020805,0.027937,0.042126,0.071465,0.132860", \ "0.013174,0.017106,0.020825,0.027952,0.042137,0.071469,0.132863", \ "0.013484,0.017370,0.021054,0.028139,0.042268,0.071541,0.132886", \ "0.013990,0.017807,0.021443,0.028454,0.042496,0.071674,0.132938", \ "0.014813,0.018514,0.022068,0.028973,0.042874,0.071898,0.133013"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.018006,0.028550,0.042075,0.071733,0.132653,0.254973,0.499856", \ "0.018007,0.028547,0.042074,0.071734,0.132657,0.254969,0.499863", \ "0.018008,0.028549,0.042077,0.071739,0.132659,0.254974,0.499856", \ "0.018003,0.028549,0.042077,0.071731,0.132662,0.254972,0.499857", \ "0.018009,0.028550,0.042078,0.071736,0.132657,0.254965,0.499860", \ "0.018162,0.028634,0.042113,0.071746,0.132654,0.254965,0.499856", \ "0.019689,0.029613,0.042587,0.071887,0.132720,0.254994,0.499860"); } } timing () { related_pin : "B2"; when : "A & !B1 & !C1 & C2"; sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.178589,0.189367,0.197481,0.210454,0.231839,0.269505,0.340800", \ "0.183525,0.194296,0.202418,0.215397,0.236781,0.274444,0.345740", \ "0.197665,0.208436,0.216546,0.229521,0.250908,0.288583,0.359872", \ "0.220638,0.231417,0.239479,0.252424,0.273806,0.311481,0.382792", \ "0.251810,0.262643,0.270836,0.283729,0.305105,0.342810,0.414098", \ "0.286488,0.297383,0.305558,0.318416,0.339882,0.377626,0.448952", \ "0.321533,0.332636,0.340908,0.353650,0.375217,0.413039,0.484394"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.305346,0.324492,0.341072,0.373080,0.437098,0.565150,0.820961", \ "0.309717,0.328850,0.345464,0.377492,0.441434,0.569526,0.825345", \ "0.327534,0.346673,0.363264,0.395288,0.459247,0.587325,0.843119", \ "0.357522,0.376654,0.393249,0.425203,0.489226,0.617271,0.873063", \ "0.402463,0.421595,0.438213,0.470247,0.534391,0.662442,0.918219", \ "0.464668,0.483893,0.500478,0.532526,0.596560,0.724584,0.980356", \ "0.539027,0.558763,0.575465,0.607380,0.671285,0.799230,1.054993"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013774,0.017652,0.021326,0.028384,0.042471,0.071688,0.132962", \ "0.013774,0.017654,0.021327,0.028383,0.042473,0.071686,0.132959", \ "0.013771,0.017654,0.021326,0.028384,0.042471,0.071690,0.132960", \ "0.013772,0.017653,0.021328,0.028385,0.042474,0.071688,0.132962", \ "0.013946,0.017799,0.021451,0.028482,0.042535,0.071722,0.132971", \ "0.014320,0.018124,0.021743,0.028725,0.042718,0.071828,0.133013", \ "0.014870,0.018607,0.022174,0.029088,0.042984,0.071991,0.133072"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.019419,0.029744,0.042779,0.072033,0.132819,0.255064,0.499893", \ "0.019425,0.029744,0.042778,0.072036,0.132810,0.255072,0.499892", \ "0.019430,0.029744,0.042778,0.072035,0.132817,0.255062,0.499888", \ "0.019429,0.029745,0.042779,0.072034,0.132824,0.255068,0.499893", \ "0.019428,0.029745,0.042780,0.072030,0.132815,0.255072,0.499893", \ "0.019574,0.029840,0.042824,0.072048,0.132816,0.255072,0.499899", \ "0.020624,0.030644,0.043267,0.072186,0.132875,0.255078,0.499900"); } } timing () { related_pin : "B2"; when : "A & !B1 & C1 & !C2"; sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.166635,0.177348,0.185427,0.198354,0.219698,0.257330,0.328630", \ "0.171582,0.182281,0.190360,0.203288,0.224631,0.262263,0.333565", \ "0.185669,0.196382,0.204461,0.217389,0.238733,0.276364,0.347664", \ "0.208344,0.219071,0.227118,0.240001,0.261346,0.298987,0.370267", \ "0.238294,0.249064,0.257190,0.269927,0.291245,0.328924,0.400218", \ "0.270701,0.281566,0.289691,0.302397,0.323803,0.361540,0.432855", \ "0.302481,0.313567,0.321816,0.334524,0.356085,0.393895,0.465239"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.298293,0.316543,0.332903,0.364959,0.429054,0.557183,0.813026", \ "0.302650,0.320897,0.337283,0.369324,0.433403,0.561537,0.817401", \ "0.320470,0.338724,0.355081,0.387119,0.451215,0.579403,0.835212", \ "0.350447,0.368694,0.385054,0.417016,0.481137,0.609262,0.865090", \ "0.395384,0.413633,0.430029,0.462124,0.526351,0.654449,0.910281", \ "0.457587,0.475901,0.492303,0.524365,0.588465,0.716577,0.972398", \ "0.531489,0.550271,0.566700,0.598585,0.662591,0.790650,1.046445"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013592,0.017490,0.021178,0.028258,0.042375,0.071627,0.132933", \ "0.013592,0.017490,0.021178,0.028259,0.042376,0.071628,0.132936", \ "0.013590,0.017490,0.021178,0.028258,0.042375,0.071627,0.132932", \ "0.013603,0.017502,0.021187,0.028265,0.042379,0.071627,0.132931", \ "0.013818,0.017685,0.021350,0.028396,0.042470,0.071674,0.132947", \ "0.014234,0.018043,0.021667,0.028659,0.042665,0.071793,0.132989", \ "0.014863,0.018589,0.022152,0.029065,0.042963,0.071971,0.133059"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.017870,0.028466,0.042038,0.071721,0.132651,0.254965,0.499851", \ "0.017870,0.028467,0.042037,0.071724,0.132655,0.254965,0.499852", \ "0.017872,0.028466,0.042037,0.071717,0.132647,0.254970,0.499852", \ "0.017871,0.028465,0.042036,0.071722,0.132643,0.254971,0.499855", \ "0.017873,0.028468,0.042034,0.071724,0.132663,0.254966,0.499851", \ "0.018044,0.028563,0.042078,0.071736,0.132668,0.254962,0.499854", \ "0.019054,0.029220,0.042404,0.071831,0.132700,0.254976,0.499868"); } } timing () { related_pin : "B2"; when : "A & !B1 & C1 & C2"; sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.155330,0.165963,0.173981,0.186837,0.208115,0.245713,0.316949", \ "0.160344,0.170970,0.178987,0.191843,0.213121,0.250717,0.321957", \ "0.174799,0.185426,0.193445,0.206302,0.227576,0.265179,0.336416", \ "0.199060,0.209700,0.217687,0.230521,0.251795,0.289378,0.360617", \ "0.231200,0.241889,0.249956,0.262642,0.283919,0.321539,0.392806", \ "0.265666,0.276490,0.284568,0.297241,0.318636,0.356321,0.427609", \ "0.298965,0.310008,0.318224,0.330878,0.352392,0.390161,0.461493"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.307965,0.326274,0.342652,0.374612,0.438744,0.566887,0.822723", \ "0.312427,0.330724,0.347124,0.379128,0.443183,0.571361,0.827224", \ "0.330221,0.348517,0.364908,0.396925,0.460983,0.589145,0.844967", \ "0.360180,0.378476,0.394818,0.426768,0.490892,0.619012,0.874842", \ "0.405135,0.423439,0.439834,0.471981,0.536129,0.664227,0.920066", \ "0.467587,0.485945,0.502335,0.534398,0.598565,0.726675,0.982486", \ "0.542650,0.561455,0.577886,0.609790,0.673766,0.801828,1.057635"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013317,0.017237,0.020945,0.028056,0.042218,0.071523,0.132887", \ "0.013317,0.017237,0.020946,0.028057,0.042218,0.071524,0.132891", \ "0.013317,0.017238,0.020946,0.028056,0.042218,0.071522,0.132887", \ "0.013339,0.017253,0.020959,0.028066,0.042225,0.071525,0.132888", \ "0.013586,0.017462,0.021140,0.028211,0.042325,0.071579,0.132904", \ "0.014039,0.017854,0.021486,0.028495,0.042529,0.071701,0.132947", \ "0.014742,0.018459,0.022023,0.028942,0.042857,0.071893,0.133018"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.018007,0.028549,0.042076,0.071733,0.132657,0.254969,0.499862", \ "0.018005,0.028550,0.042074,0.071732,0.132657,0.254972,0.499856", \ "0.018008,0.028549,0.042077,0.071734,0.132650,0.254974,0.499861", \ "0.018008,0.028550,0.042078,0.071736,0.132660,0.254969,0.499862", \ "0.018007,0.028549,0.042074,0.071731,0.132648,0.254964,0.499850", \ "0.018140,0.028621,0.042106,0.071741,0.132655,0.254965,0.499854", \ "0.019121,0.029257,0.042421,0.071833,0.132690,0.254980,0.499853"); } } timing () { related_pin : "C1"; when : "A & !B1 & B2 & !C2"; sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.152812,0.163520,0.171603,0.184533,0.205874,0.243504,0.314803", \ "0.157303,0.168007,0.176089,0.189018,0.210362,0.248010,0.319292", \ "0.172321,0.183037,0.191114,0.204038,0.225378,0.263031,0.334315", \ "0.201725,0.212473,0.220495,0.233287,0.254641,0.292264,0.363524", \ "0.239320,0.250070,0.258159,0.270789,0.292148,0.329869,0.401142", \ "0.274551,0.285522,0.293715,0.306595,0.328097,0.365825,0.437107", \ "0.305398,0.316830,0.325238,0.338405,0.360103,0.397957,0.469260"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.232631,0.251345,0.267869,0.299990,0.364121,0.492212,0.748005", \ "0.235463,0.254184,0.270716,0.302811,0.366929,0.495056,0.750844", \ "0.248143,0.266859,0.283374,0.315497,0.379609,0.507714,0.763519", \ "0.279039,0.297757,0.314249,0.346177,0.410300,0.538385,0.794161", \ "0.331737,0.350461,0.366943,0.398789,0.462885,0.591011,0.846801", \ "0.401599,0.420839,0.437418,0.469291,0.533257,0.661280,0.917053", \ "0.477257,0.497619,0.514471,0.546235,0.610034,0.737966,0.993685"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013593,0.017491,0.021178,0.028257,0.042375,0.071627,0.132935", \ "0.013592,0.017489,0.021177,0.028259,0.042376,0.071627,0.132935", \ "0.013590,0.017489,0.021177,0.028256,0.042374,0.071624,0.132936", \ "0.013596,0.017494,0.021181,0.028259,0.042375,0.071627,0.132936", \ "0.013931,0.017788,0.021445,0.028470,0.042516,0.071694,0.132950", \ "0.014526,0.018270,0.021857,0.028802,0.042753,0.071835,0.133019", \ "0.015623,0.019191,0.022659,0.029444,0.043202,0.072083,0.133083"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.018487,0.029083,0.042429,0.071907,0.132770,0.255037,0.499876", \ "0.018485,0.029082,0.042428,0.071911,0.132763,0.255037,0.499883", \ "0.018487,0.029082,0.042430,0.071911,0.132770,0.255041,0.499878", \ "0.018486,0.029083,0.042427,0.071908,0.132768,0.255042,0.499874", \ "0.018546,0.029119,0.042447,0.071920,0.132773,0.255043,0.499873", \ "0.019710,0.029896,0.042826,0.072026,0.132789,0.255055,0.499884", \ "0.021786,0.031585,0.043799,0.072361,0.132919,0.255091,0.499899"); } } timing () { related_pin : "C1"; when : "A & B1 & !B2 & !C2"; sdf_cond : "(A == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.141032,0.151685,0.159721,0.172600,0.193899,0.231502,0.302777", \ "0.145464,0.156114,0.164154,0.177033,0.198330,0.235944,0.307215", \ "0.160440,0.171090,0.179121,0.191995,0.213296,0.250911,0.322180", \ "0.189117,0.199810,0.207810,0.220578,0.241903,0.279481,0.350712", \ "0.222648,0.233360,0.241444,0.254031,0.275376,0.313070,0.384319", \ "0.253272,0.264263,0.272468,0.285344,0.306843,0.344566,0.415824", \ "0.279220,0.290754,0.299195,0.312401,0.334131,0.372005,0.443297"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.225506,0.243399,0.259758,0.291911,0.356146,0.484293,0.740114", \ "0.228327,0.246209,0.262574,0.294708,0.358936,0.487109,0.742923", \ "0.240997,0.258876,0.275227,0.307403,0.371606,0.499761,0.755592", \ "0.271942,0.289825,0.306169,0.338186,0.402386,0.530537,0.786354", \ "0.324705,0.342602,0.358947,0.390843,0.455019,0.583204,0.839023", \ "0.394032,0.412380,0.428733,0.460552,0.524644,0.652762,0.908585", \ "0.468728,0.488031,0.504516,0.536244,0.600154,0.728170,0.983981"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013389,0.017310,0.021015,0.028120,0.042270,0.071558,0.132900", \ "0.013392,0.017309,0.021015,0.028119,0.042269,0.071559,0.132903", \ "0.013390,0.017310,0.021015,0.028120,0.042269,0.071557,0.132898", \ "0.013442,0.017352,0.021049,0.028144,0.042286,0.071564,0.132902", \ "0.013864,0.017714,0.021373,0.028419,0.042487,0.071672,0.132928", \ "0.014564,0.018292,0.021869,0.028804,0.042746,0.071823,0.133006", \ "0.015861,0.019383,0.022822,0.029566,0.043278,0.072114,0.133086"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.016941,0.027920,0.041773,0.071633,0.132604,0.254945,0.499843", \ "0.016931,0.027918,0.041774,0.071634,0.132613,0.254942,0.499842", \ "0.016939,0.027919,0.041775,0.071638,0.132607,0.254939,0.499851", \ "0.016939,0.027920,0.041772,0.071636,0.132605,0.254930,0.499841", \ "0.017012,0.027956,0.041790,0.071643,0.132621,0.254943,0.499840", \ "0.018207,0.028626,0.042094,0.071725,0.132629,0.254947,0.499843", \ "0.020114,0.029949,0.042760,0.071935,0.132716,0.254979,0.499865"); } } timing () { related_pin : "C1"; when : "A & B1 & B2 & !C2"; sdf_cond : "(A == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.127983,0.138449,0.146390,0.159154,0.180346,0.217882,0.289107", \ "0.132541,0.143018,0.150959,0.163720,0.184918,0.222447,0.293675", \ "0.148344,0.158820,0.166750,0.179512,0.200709,0.238245,0.309479", \ "0.177505,0.188015,0.195920,0.208566,0.229763,0.267276,0.338481", \ "0.209524,0.220079,0.228043,0.240504,0.261726,0.299307,0.370578", \ "0.238482,0.249276,0.257392,0.270144,0.291508,0.329149,0.400368", \ "0.262480,0.273823,0.282159,0.295245,0.316859,0.354638,0.425884"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.225503,0.243390,0.259750,0.291885,0.356126,0.484286,0.740108", \ "0.228095,0.245960,0.262336,0.294459,0.358685,0.486859,0.742671", \ "0.240570,0.258452,0.274827,0.306967,0.371181,0.499335,0.755169", \ "0.271721,0.289600,0.305953,0.337959,0.402162,0.530308,0.786128", \ "0.324734,0.342633,0.358980,0.390875,0.455052,0.583236,0.839056", \ "0.394312,0.412664,0.429020,0.460874,0.524959,0.653052,0.908882", \ "0.469390,0.488684,0.505169,0.536903,0.600816,0.728832,0.984638"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.012907,0.016882,0.020628,0.027791,0.042020,0.071403,0.132841", \ "0.012908,0.016882,0.020628,0.027792,0.042019,0.071402,0.132844", \ "0.012907,0.016883,0.020630,0.027793,0.042022,0.071401,0.132844", \ "0.012984,0.016944,0.020678,0.027828,0.042042,0.071413,0.132843", \ "0.013406,0.017302,0.020992,0.028084,0.042235,0.071520,0.132865", \ "0.014119,0.017880,0.021486,0.028467,0.042481,0.071647,0.132923", \ "0.015408,0.018957,0.022423,0.029214,0.042997,0.071922,0.133001"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.016942,0.027919,0.041773,0.071635,0.132610,0.254932,0.499843", \ "0.016940,0.027921,0.041772,0.071635,0.132612,0.254934,0.499840", \ "0.016934,0.027922,0.041773,0.071638,0.132607,0.254941,0.499852", \ "0.016942,0.027920,0.041773,0.071637,0.132606,0.254930,0.499851", \ "0.017011,0.027956,0.041789,0.071639,0.132621,0.254943,0.499840", \ "0.018202,0.028621,0.042093,0.071726,0.132634,0.254956,0.499841", \ "0.020097,0.029937,0.042756,0.071935,0.132719,0.254976,0.499853"); } } timing () { related_pin : "C2"; when : "A & !B1 & B2 & !C1"; sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.164330,0.175100,0.183221,0.196199,0.217583,0.255247,0.326542", \ "0.168073,0.178843,0.186971,0.199942,0.221326,0.258989,0.330290", \ "0.182694,0.193471,0.201589,0.214553,0.235939,0.273626,0.344903", \ "0.212301,0.223090,0.231146,0.243948,0.265342,0.303009,0.374281", \ "0.252871,0.263740,0.271853,0.284496,0.305861,0.343575,0.414857", \ "0.292303,0.303276,0.311485,0.324371,0.345876,0.383618,0.454926", \ "0.327673,0.339054,0.347437,0.360599,0.382291,0.420144,0.491441"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.246359,0.265074,0.281600,0.313669,0.377818,0.505927,0.761730", \ "0.251620,0.270338,0.286888,0.318934,0.383100,0.511183,0.766979", \ "0.268219,0.286939,0.303475,0.335555,0.399705,0.527801,0.783596", \ "0.297276,0.315994,0.332508,0.364566,0.428669,0.556760,0.812551", \ "0.341774,0.360500,0.377020,0.409228,0.473277,0.601394,0.857160", \ "0.400041,0.419112,0.435705,0.467717,0.531734,0.659746,0.915544", \ "0.466109,0.485809,0.502526,0.534365,0.598278,0.726250,0.981996"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013771,0.017653,0.021327,0.028383,0.042473,0.071686,0.132959", \ "0.013772,0.017653,0.021326,0.028384,0.042473,0.071688,0.132962", \ "0.013772,0.017653,0.021326,0.028383,0.042472,0.071689,0.132960", \ "0.013774,0.017653,0.021327,0.028384,0.042472,0.071690,0.132961", \ "0.014028,0.017867,0.021507,0.028525,0.042564,0.071734,0.132973", \ "0.014539,0.018294,0.021883,0.028833,0.042785,0.071860,0.133036", \ "0.015497,0.019092,0.022581,0.029388,0.043168,0.072072,0.133089"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.018486,0.029080,0.042428,0.071908,0.132767,0.255041,0.499882", \ "0.018486,0.029082,0.042428,0.071910,0.132763,0.255038,0.499878", \ "0.018487,0.029083,0.042428,0.071907,0.132770,0.255041,0.499878", \ "0.018490,0.029086,0.042426,0.071909,0.132768,0.255038,0.499888", \ "0.018519,0.029100,0.042437,0.071911,0.132763,0.255033,0.499874", \ "0.019287,0.029612,0.042693,0.071988,0.132780,0.255039,0.499885", \ "0.020500,0.030573,0.043232,0.072182,0.132860,0.255072,0.499904"); } } timing () { related_pin : "C2"; when : "A & B1 & !B2 & !C1"; sdf_cond : "(A == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.152332,0.163032,0.171106,0.184038,0.205381,0.243017,0.314323", \ "0.156056,0.166761,0.174839,0.187770,0.209114,0.246761,0.318044", \ "0.170674,0.181388,0.189465,0.202392,0.223736,0.261377,0.332664", \ "0.200059,0.210811,0.218830,0.231607,0.252961,0.290587,0.361831", \ "0.237302,0.248086,0.256181,0.268792,0.290152,0.327849,0.399160", \ "0.272428,0.283402,0.291600,0.304485,0.325983,0.363715,0.435014", \ "0.303184,0.314623,0.323032,0.336202,0.357912,0.395768,0.467063"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.239246,0.257129,0.273496,0.305608,0.369853,0.498031,0.753840", \ "0.244485,0.262368,0.278743,0.310857,0.375092,0.503250,0.759083", \ "0.261078,0.278954,0.295319,0.327462,0.391711,0.519856,0.775677", \ "0.290157,0.308041,0.324378,0.356479,0.420679,0.548831,0.804650", \ "0.334659,0.352547,0.368934,0.401093,0.465326,0.593477,0.849298", \ "0.392608,0.410798,0.427196,0.459215,0.523333,0.651411,0.907222", \ "0.458141,0.476859,0.493321,0.525120,0.589157,0.717192,0.972994"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013588,0.017488,0.021176,0.028257,0.042375,0.071627,0.132935", \ "0.013588,0.017489,0.021176,0.028255,0.042375,0.071626,0.132935", \ "0.013587,0.017488,0.021177,0.028257,0.042374,0.071626,0.132934", \ "0.013614,0.017506,0.021193,0.028268,0.042382,0.071627,0.132932", \ "0.013943,0.017792,0.021447,0.028476,0.042524,0.071702,0.132952", \ "0.014544,0.018282,0.021867,0.028810,0.042761,0.071838,0.133016", \ "0.015641,0.019201,0.022667,0.029451,0.043205,0.072082,0.133082"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.016932,0.027921,0.041774,0.071638,0.132607,0.254943,0.499851", \ "0.016931,0.027918,0.041772,0.071634,0.132609,0.254933,0.499852", \ "0.016940,0.027919,0.041774,0.071637,0.132603,0.254943,0.499851", \ "0.016933,0.027919,0.041772,0.071638,0.132613,0.254943,0.499845", \ "0.016977,0.027938,0.041780,0.071638,0.132609,0.254935,0.499841", \ "0.017756,0.028374,0.041983,0.071693,0.132634,0.254944,0.499839", \ "0.018899,0.029126,0.042357,0.071818,0.132689,0.254964,0.499854"); } } timing () { related_pin : "C2"; when : "A & B1 & B2 & !C1"; sdf_cond : "(A == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.137137,0.147668,0.155639,0.168443,0.189671,0.227227,0.298477", \ "0.141125,0.151654,0.159625,0.172433,0.193660,0.231214,0.302462", \ "0.156650,0.167181,0.175151,0.187951,0.209179,0.246743,0.317991", \ "0.186911,0.197472,0.205407,0.218050,0.239283,0.276830,0.348047", \ "0.222621,0.233208,0.241201,0.253654,0.274894,0.312516,0.383779", \ "0.255786,0.266558,0.274659,0.287410,0.308787,0.346430,0.417660", \ "0.284333,0.295576,0.303870,0.316913,0.338493,0.376257,0.447517"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.239247,0.257129,0.273505,0.305621,0.369850,0.498030,0.753842", \ "0.244267,0.262150,0.278527,0.310646,0.374874,0.503057,0.758862", \ "0.260716,0.278599,0.294966,0.327109,0.391309,0.519476,0.775306", \ "0.289925,0.307810,0.324136,0.356270,0.420481,0.548616,0.804435", \ "0.334555,0.352445,0.368840,0.401066,0.465179,0.593323,0.849131", \ "0.392594,0.410785,0.427179,0.459222,0.523330,0.651413,0.907221", \ "0.458311,0.477027,0.493485,0.525291,0.589317,0.717347,0.973168"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013075,0.017033,0.020764,0.027906,0.042107,0.071457,0.132867", \ "0.013076,0.017032,0.020763,0.027906,0.042107,0.071456,0.132865", \ "0.013074,0.017031,0.020764,0.027906,0.042108,0.071457,0.132867", \ "0.013118,0.017063,0.020791,0.027924,0.042118,0.071462,0.132869", \ "0.013460,0.017356,0.021045,0.028136,0.042270,0.071538,0.132885", \ "0.014074,0.017851,0.021470,0.028462,0.042487,0.071657,0.132938", \ "0.015172,0.018765,0.022261,0.029092,0.042918,0.071888,0.132997"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.016932,0.027921,0.041774,0.071639,0.132603,0.254936,0.499843", \ "0.016932,0.027919,0.041772,0.071635,0.132609,0.254945,0.499842", \ "0.016932,0.027921,0.041774,0.071635,0.132608,0.254933,0.499841", \ "0.016936,0.027919,0.041772,0.071638,0.132607,0.254944,0.499844", \ "0.016977,0.027940,0.041782,0.071641,0.132605,0.254939,0.499845", \ "0.017756,0.028374,0.041982,0.071693,0.132633,0.254942,0.499839", \ "0.018897,0.029126,0.042358,0.071824,0.132695,0.254963,0.499866"); } } internal_power () { related_pin : "A"; when : "!B1 & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("8.021035,8.629024,9.024689,9.471949,9.828087,10.029410,10.142620", \ "8.010208,8.610290,9.019030,9.457303,9.814418,10.018250,10.126050", \ "7.971591,8.572756,8.961877,9.419628,9.774271,9.985321,10.096900", \ "7.949732,8.550810,8.944232,9.401904,9.757554,9.960626,10.069740", \ "7.949540,8.557631,8.942273,9.376238,9.754039,9.957686,10.068350", \ "8.081528,8.646153,9.040770,9.458374,9.825927,10.039830,10.158180", \ "8.283310,8.834566,9.227735,9.677430,10.059730,10.265450,10.357930"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.212360,11.924740,12.354000,12.745550,13.087180,13.194310,13.150180", \ "11.182180,11.894470,12.305420,12.763020,12.991340,13.110320,13.065530", \ "11.109220,11.828150,12.247840,12.619530,12.906470,12.928170,13.156940", \ "11.122430,11.834940,12.243790,12.705970,12.942870,13.106400,13.066090", \ "11.199310,11.942880,12.365080,12.769810,13.070300,13.109400,13.347790", \ "11.292490,12.030830,12.564460,12.976910,13.315720,13.539910,13.515070", \ "11.429420,12.210920,12.732670,13.365130,13.859820,13.854870,14.126460"); } } internal_power () { related_pin : "A"; when : "!B1 & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.656606,8.240201,8.619017,9.076274,9.417940,9.608480,9.691446", \ "7.623351,8.228204,8.626141,9.061963,9.404836,9.586527,9.682077", \ "7.593823,8.197554,8.588365,9.024821,9.369004,9.555588,9.648685", \ "7.550960,8.171602,8.554544,8.987842,9.319861,9.518426,9.610386", \ "7.557301,8.147518,8.554252,8.966486,9.320955,9.521801,9.614567", \ "7.643181,8.258865,8.628836,9.066285,9.414593,9.616559,9.706182", \ "7.910770,8.468556,8.840855,9.285876,9.670745,9.865533,9.928171"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.065640,11.677970,12.026110,12.283000,12.489150,12.611290,12.571680", \ "11.035420,11.647760,11.979020,12.300150,12.377850,12.526480,12.758350", \ "10.962340,11.574950,11.890100,12.147250,12.386800,12.615860,12.577490", \ "10.916840,11.586330,11.928240,12.239370,12.315170,12.521260,12.484110", \ "11.062570,11.684630,12.047020,12.314600,12.522560,12.530490,12.773350", \ "11.105430,11.812160,12.256450,12.583540,12.813260,13.000870,12.981810", \ "11.327720,12.031990,12.465700,12.929850,13.145840,13.347090,13.347340"); } } internal_power () { related_pin : "A"; when : "!B1 & B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.637092,8.267953,8.665061,9.088540,9.427039,9.611636,9.692616", \ "7.640327,8.251051,8.635417,9.074716,9.404322,9.586966,9.680484", \ "7.601837,8.221411,8.590490,9.037346,9.372529,9.559673,9.642320", \ "7.566724,8.183393,8.536494,8.997271,9.333515,9.521330,9.602355", \ "7.568958,8.179866,8.571502,8.994765,9.336948,9.524372,9.611843", \ "7.722572,8.278724,8.674676,9.078658,9.430632,9.622930,9.718087", \ "7.919938,8.486970,8.836142,9.315004,9.681272,9.871683,9.945722"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.291460,11.910320,12.235290,12.598670,12.775040,12.912140,12.874340", \ "11.261460,11.880120,12.236710,12.513080,12.685880,12.827730,12.789920", \ "11.188470,11.807510,12.163130,12.487690,12.564850,12.646730,12.880820", \ "11.144340,11.778870,12.161700,12.450990,12.526060,12.824020,12.798940", \ "11.304790,11.923100,12.220560,12.491780,12.717810,12.802530,13.046740", \ "11.360100,12.071330,12.493020,12.819340,13.003190,13.203870,13.186200", \ "11.560630,12.270290,12.692740,13.209850,13.432120,13.478630,13.751140"); } } internal_power () { related_pin : "A"; when : "B1 & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.656606,8.240201,8.619017,9.076274,9.417940,9.608480,9.691446", \ "7.623351,8.228204,8.626141,9.061963,9.404836,9.586527,9.682077", \ "7.593823,8.197554,8.588365,9.024821,9.369004,9.555588,9.648685", \ "7.550960,8.171602,8.554544,8.987842,9.319861,9.518426,9.610386", \ "7.557301,8.147518,8.554252,8.966486,9.320955,9.521801,9.614567", \ "7.643181,8.258865,8.628836,9.066285,9.414593,9.616559,9.706182", \ "7.910770,8.468556,8.840855,9.285876,9.670745,9.865533,9.928171"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.065640,11.677970,12.026110,12.283000,12.489150,12.611290,12.571680", \ "11.035420,11.647760,11.979020,12.300150,12.377850,12.526480,12.758350", \ "10.962340,11.574950,11.890100,12.147250,12.386800,12.615860,12.577490", \ "10.916840,11.586330,11.928240,12.239370,12.315170,12.521260,12.484110", \ "11.062570,11.684630,12.047020,12.314600,12.522560,12.530490,12.773350", \ "11.105430,11.812160,12.256450,12.583540,12.813260,13.000870,12.981810", \ "11.327720,12.031990,12.465700,12.929850,13.145840,13.347090,13.347340"); } } internal_power () { related_pin : "A"; when : "B1 & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.270405,7.874514,8.239801,8.684216,9.005805,9.179269,9.259827", \ "7.262401,7.853472,8.229372,8.668429,8.999124,9.171077,9.237231", \ "7.202826,7.807765,8.188735,8.620986,8.950015,9.125997,9.197243", \ "7.178846,7.763334,8.161976,8.575826,8.899376,9.081725,9.141856", \ "7.166836,7.754000,8.112443,8.552219,8.898903,9.088271,9.160110", \ "7.281717,7.882372,8.254744,8.687441,9.009590,9.190300,9.272076", \ "7.529750,8.105015,8.476252,8.919372,9.294081,9.471826,9.521731"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.852460,11.388390,11.602940,11.808670,11.966740,12.036280,11.996180", \ "10.822410,11.358280,11.556720,11.825260,11.855360,11.951680,12.183850", \ "10.802560,11.285470,11.531560,11.698730,11.832170,12.040540,12.002900", \ "10.758870,11.294290,11.491250,11.724760,11.791300,11.943610,11.907810", \ "10.917770,11.411170,11.639520,11.850920,12.008740,11.962680,12.207080", \ "10.986330,11.570010,11.866730,12.104160,12.085800,12.207950,12.460860", \ "11.241350,11.789240,12.126370,12.443460,12.465610,12.860890,12.859740"); } } internal_power () { related_pin : "A"; when : "B1 & !B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.268399,7.873580,8.293911,8.707782,9.027015,9.184386,9.259224", \ "7.256229,7.890681,8.276564,8.682430,9.008193,9.166659,9.241408", \ "7.197086,7.844991,8.231487,8.622898,8.962651,9.130805,9.194792", \ "7.173035,7.782761,8.180102,8.588053,8.908205,9.077699,9.144392", \ "7.183203,7.762698,8.151766,8.566989,8.913542,9.092326,9.162639", \ "7.281798,7.911777,8.263661,8.684883,9.023714,9.198702,9.279155", \ "7.518766,8.110125,8.493448,8.949383,9.298114,9.487142,9.534811"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.079720,11.622520,11.842860,12.050940,12.208740,12.335560,12.297550", \ "11.049740,11.592840,11.825700,11.962710,12.097380,12.251160,12.213260", \ "11.007480,11.519580,11.770460,11.915970,12.073280,12.069390,12.303890", \ "10.987240,11.477520,11.745440,11.963160,12.032900,12.244590,12.209930", \ "11.117390,11.615460,11.876660,12.058020,12.214930,12.228890,12.473790", \ "11.233440,11.788270,12.075830,12.316440,12.481220,12.585180,12.659410", \ "11.478590,12.019830,12.384040,12.673010,12.784090,12.982960,12.981380"); } } internal_power () { related_pin : "A"; when : "B1 & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.661234,8.289000,8.662485,9.099980,9.429276,9.603679,9.697292", \ "7.635111,8.279732,8.673319,9.085910,9.415138,9.588993,9.680419", \ "7.607688,8.243326,8.638247,9.052638,9.375992,9.551172,9.645306", \ "7.597473,8.212726,8.598802,8.995152,9.335698,9.519279,9.601419", \ "7.592981,8.192927,8.547073,8.997036,9.338832,9.513861,9.607533", \ "7.715422,8.315136,8.691092,9.104717,9.430816,9.609137,9.700593", \ "7.903441,8.499223,8.846935,9.310937,9.682174,9.861981,9.921531"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.035770,11.676810,11.996120,12.246380,12.483050,12.609250,12.570480", \ "10.997140,11.598840,11.945740,12.260950,12.403350,12.523640,12.483230", \ "10.902680,11.571810,11.917200,12.248470,12.407410,12.341600,12.573920", \ "10.915140,11.584010,11.867480,12.245290,12.304900,12.519520,12.482330", \ "11.061760,11.683870,11.986770,12.247440,12.446840,12.529830,12.772350", \ "11.160820,11.849320,12.248550,12.623580,12.827060,12.996090,12.977190", \ "11.373030,12.018380,12.480640,12.936730,13.269540,13.335460,13.332730"); } } internal_power () { related_pin : "A"; when : "B1 & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.314088,7.915777,8.304323,8.721542,9.023845,9.190086,9.259126", \ "7.297032,7.916504,8.293104,8.700388,9.016497,9.173673,9.243847", \ "7.207661,7.871556,8.251544,8.656027,8.969940,9.127728,9.198437", \ "7.166577,7.815220,8.195593,8.589460,8.910072,9.071232,9.142636", \ "7.192638,7.773676,8.183325,8.581066,8.910494,9.080032,9.150163", \ "7.341729,7.923191,8.293126,8.714902,9.033586,9.187317,9.265908", \ "7.574387,8.144679,8.525107,8.938087,9.308084,9.477772,9.513156"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.858020,11.386700,11.573810,11.772480,11.916330,12.034280,11.994830", \ "10.819580,11.302750,11.586380,11.786450,11.801960,11.948770,12.180830", \ "10.780790,11.281450,11.494370,11.629960,11.774140,12.036960,11.999610", \ "10.789540,11.239730,11.508910,11.711080,11.724830,11.942020,11.906130", \ "10.919080,11.410000,11.644470,11.785920,11.929200,11.961820,12.206260", \ "10.982390,11.566630,11.864590,12.112000,12.242290,12.203510,12.456950", \ "11.227470,11.831820,12.140790,12.486480,12.589900,12.847190,12.844690"); } } internal_power () { related_pin : "A"; when : "B1 & B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.339201,7.949042,8.342155,8.739584,9.047815,9.196281,9.267752", \ "7.307287,7.943367,8.327783,8.719800,9.032018,9.182264,9.250389", \ "7.259666,7.863435,8.274204,8.673910,8.978731,9.131145,9.201774", \ "7.197319,7.835479,8.196579,8.610712,8.922255,9.072944,9.144966", \ "7.199831,7.827717,8.196282,8.598008,8.917797,9.090373,9.156495", \ "7.374659,7.941362,8.311973,8.732270,9.040439,9.189408,9.270498", \ "7.603990,8.145787,8.531525,8.981284,9.320018,9.488966,9.527386"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.077420,11.579430,11.857800,11.994990,12.138360,12.333520,12.297300", \ "11.046730,11.537320,11.807790,12.009480,12.023130,12.248140,12.210670", \ "10.973340,11.463490,11.735100,11.851290,11.993970,12.065980,12.300510", \ "10.984990,11.475230,11.728340,11.933420,11.944290,12.242220,12.207570", \ "11.116160,11.644650,11.874670,11.971550,12.112760,12.228470,12.473150", \ "11.229700,11.818820,12.092360,12.333930,12.411520,12.671830,12.655340", \ "11.452520,12.062670,12.374490,12.656640,12.883230,12.972310,13.243540"); } } internal_power () { related_pin : "B1"; when : "A & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.665154,8.251790,8.668883,9.083883,9.438240,9.623510,9.715913", \ "7.688878,8.273977,8.656802,9.110625,9.456345,9.636701,9.733286", \ "7.647953,8.274302,8.656462,9.094371,9.440376,9.626443,9.712918", \ "7.605499,8.209196,8.616906,9.054151,9.400245,9.584147,9.674744", \ "7.604061,8.179523,8.580141,9.022635,9.371678,9.566536,9.648308", \ "7.662660,8.236487,8.603579,9.043624,9.403196,9.588465,9.675684", \ "7.787673,8.342755,8.733892,9.176823,9.547429,9.748886,9.804624"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.268080,11.859840,12.245370,12.741860,13.297810,13.579930,13.667040", \ "11.205110,11.853590,12.198670,12.762100,13.173780,13.354650,13.742250", \ "11.172730,11.764390,12.171570,12.615510,13.140910,13.431830,13.468200", \ "11.187590,11.779400,12.147210,12.630300,13.168270,13.398000,13.434470", \ "11.234710,11.884540,12.237920,12.731100,13.268840,13.560120,13.598690", \ "11.543780,12.135240,12.531870,12.968770,13.419980,13.854260,13.892710", \ "11.902540,12.534440,12.918360,13.426410,13.987770,14.278040,14.322150"); } } internal_power () { related_pin : "B1"; when : "A & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.279748,7.894671,8.273356,8.705769,9.030329,9.208608,9.279881", \ "7.306540,7.910473,8.286155,8.720896,9.037506,9.212732,9.289272", \ "7.257754,7.880622,8.276400,8.690476,9.010721,9.185774,9.263232", \ "7.205292,7.820027,8.198417,8.634964,8.960223,9.138962,9.205410", \ "7.171569,7.788039,8.158626,8.599083,8.935321,9.111353,9.184009", \ "7.258729,7.834729,8.200916,8.649172,8.977999,9.148221,9.225155", \ "7.374002,7.956889,8.344638,8.790711,9.144191,9.336940,9.375711"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.156340,11.695230,12.041820,12.335700,12.651400,12.862310,13.156150", \ "11.093160,11.671390,11.994860,12.355740,12.585790,12.910280,12.930590", \ "11.062310,11.639380,11.900720,12.336620,12.551710,12.714010,13.005190", \ "11.076590,11.618950,11.925200,12.356960,12.652270,12.680070,12.972480", \ "11.178500,11.700620,12.019600,12.457730,12.680070,12.840510,13.134480", \ "11.429510,11.952940,12.284510,12.691320,13.040210,13.133990,13.426700", \ "11.774500,12.344360,12.687120,13.076120,13.444360,13.597660,13.621000"); } } internal_power () { related_pin : "B1"; when : "A & !B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.282601,7.889554,8.313615,8.719754,9.044898,9.204159,9.279855", \ "7.300842,7.906484,8.291703,8.725137,9.060418,9.216281,9.291023", \ "7.282208,7.895791,8.299034,8.707123,9.032899,9.190194,9.262259", \ "7.240935,7.834940,8.219293,8.654281,8.973452,9.139084,9.207724", \ "7.180352,7.805227,8.159904,8.602607,8.929034,9.114512,9.182573", \ "7.244789,7.849485,8.209334,8.657886,8.982555,9.142051,9.219593", \ "7.409410,7.962994,8.369876,8.786382,9.161165,9.341113,9.373591"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.420270,11.953390,12.285570,12.640450,13.004140,13.155040,13.441620", \ "11.355910,11.939690,12.225330,12.657240,13.017920,13.255770,13.217280", \ "11.324180,11.870520,12.195820,12.627490,12.986550,13.002930,13.292900", \ "11.339000,11.867150,12.218550,12.522300,12.797770,12.966020,13.259610", \ "11.442080,11.970400,12.309660,12.618640,12.902950,13.128560,13.421630", \ "11.679900,12.208860,12.531200,12.965490,13.188300,13.409480,13.430990", \ "12.060170,12.630870,12.933090,13.389940,13.758520,13.785090,14.082220"); } } internal_power () { related_pin : "B2"; when : "A & !B1 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("8.008636,8.616030,9.019084,9.443326,9.816831,10.015500,10.116420", \ "7.922377,8.575259,8.965271,9.410498,9.779371,9.981270,10.093820", \ "7.934214,8.534758,8.917312,9.378089,9.734855,9.948942,10.055730", \ "7.918625,8.528759,8.908608,9.362185,9.726141,9.927911,10.038580", \ "7.860225,8.517651,8.900652,9.361631,9.725485,9.927573,10.036810", \ "7.966992,8.557281,8.949054,9.373906,9.746109,9.951310,10.066620", \ "8.091118,8.642303,9.070137,9.495890,9.880003,10.092740,10.175840"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.806410,12.398200,12.827980,13.255170,13.822010,13.957340,14.266260", \ "11.798550,12.411380,12.735010,13.298010,13.825780,14.169370,14.204740", \ "11.758260,12.350260,12.744630,13.171980,13.764540,14.020970,14.058280", \ "11.733420,12.363810,12.716750,13.213890,13.752850,13.991740,14.029970", \ "11.747520,12.343160,12.710080,13.204450,13.748440,13.889200,14.200670", \ "11.814760,12.464070,12.824660,13.318840,13.765620,14.179220,14.219680", \ "11.906810,12.600730,12.974600,13.562810,14.076510,14.352920,14.396520"); } } internal_power () { related_pin : "B2"; when : "A & !B1 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.617535,8.243282,8.626057,9.061664,9.404960,9.589217,9.681193", \ "7.586384,8.213499,8.571971,9.026349,9.367831,9.560704,9.647869", \ "7.550906,8.150059,8.534039,8.993240,9.335035,9.520599,9.612118", \ "7.545658,8.127459,8.493871,8.965446,9.307658,9.493081,9.586134", \ "7.517672,8.122298,8.502968,8.948627,9.294757,9.485630,9.581358", \ "7.589235,8.157608,8.559001,8.967732,9.317406,9.518702,9.612353", \ "7.712142,8.251785,8.659353,9.101009,9.473440,9.668344,9.732176"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.693860,12.271980,12.596460,12.972690,13.186640,13.511600,13.530590", \ "11.687120,12.232260,12.570320,12.961280,13.143910,13.451250,13.468600", \ "11.646270,12.222950,12.513570,12.874160,13.135390,13.301330,13.593540", \ "11.621940,12.199330,12.486030,12.912970,13.141820,13.275330,13.567400", \ "11.636030,12.158250,12.478300,12.903620,13.253240,13.445070,13.465690", \ "11.742970,12.285110,12.619110,13.016740,13.368430,13.463510,13.484520", \ "11.820140,12.433790,12.773440,13.160810,13.531470,13.663050,13.689230"); } } internal_power () { related_pin : "B2"; when : "A & !B1 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.641220,8.243568,8.650704,9.072963,9.409550,9.596331,9.680972", \ "7.590050,8.219347,8.600944,9.042729,9.377082,9.563411,9.644687", \ "7.585821,8.183165,8.579131,9.004212,9.342041,9.524393,9.612148", \ "7.517726,8.154708,8.538855,8.975728,9.310313,9.488021,9.579290", \ "7.513258,8.132845,8.505719,8.957632,9.295324,9.482834,9.574537", \ "7.594208,8.189165,8.551256,8.976459,9.332752,9.518732,9.610594", \ "7.690951,8.307999,8.665445,9.122021,9.484454,9.668064,9.731660"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.974800,12.541770,12.872830,13.239330,13.600290,13.800210,13.820360", \ "11.947840,12.484360,12.843590,13.142160,13.506340,13.754340,13.755320", \ "11.907550,12.453940,12.785730,13.150620,13.513320,13.589280,13.881740", \ "11.883980,12.428420,12.756050,13.187180,13.542180,13.562230,13.854610", \ "11.896020,12.424710,12.745730,13.166940,13.411450,13.728630,13.749200", \ "12.006720,12.536040,12.832760,13.260010,13.481460,13.731210,13.752650", \ "12.070370,12.700970,13.014690,13.468370,13.838970,13.870000,14.165590"); } } internal_power () { related_pin : "C1"; when : "A & !B1 & B2 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.651645,8.265409,8.634976,9.093613,9.437367,9.626521,9.717985", \ "7.669715,8.266653,8.651923,9.106173,9.451677,9.635159,9.729370", \ "7.647242,8.264759,8.627606,9.095364,9.426144,9.628566,9.718808", \ "7.637801,8.269209,8.639267,9.084273,9.429484,9.612914,9.704496", \ "7.733290,8.316965,8.695155,9.156394,9.499784,9.701428,9.790913", \ "7.920271,8.519280,8.913798,9.343277,9.695294,9.892786,9.987340", \ "8.261868,8.852506,9.226383,9.683552,10.059350,10.261390,10.330160"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("9.695363,10.304150,10.649300,11.093660,11.556310,11.754710,11.709250", \ "9.669905,10.278820,10.652020,11.095420,11.557280,11.782660,11.738020", \ "9.602098,10.210900,10.596320,10.956690,11.351480,11.606750,11.561060", \ "9.639680,10.234850,10.528880,10.982650,11.312340,11.559760,11.786180", \ "9.760602,10.357540,10.689930,11.076590,11.412860,11.702420,11.930440", \ "10.027290,10.655790,10.959550,11.484500,11.821930,12.038460,12.094170", \ "10.332360,10.959380,11.301900,11.837810,12.370280,12.344930,12.589080"); } } internal_power () { related_pin : "C1"; when : "A & B1 & !B2 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.267157,7.892819,8.287298,8.702039,9.029862,9.207940,9.277304", \ "7.270924,7.895215,8.291178,8.711603,9.039991,9.211832,9.283680", \ "7.249722,7.884184,8.263261,8.691968,9.023788,9.194890,9.266341", \ "7.253869,7.883854,8.260249,8.668161,9.009881,9.179075,9.251943", \ "7.330039,7.942728,8.294923,8.751997,9.091188,9.274538,9.350590", \ "7.572986,8.165818,8.530657,8.952585,9.312713,9.484796,9.570484", \ "7.924260,8.491651,8.866287,9.335389,9.702261,9.897902,9.939686"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("9.642371,10.120260,10.428540,10.733940,11.019560,11.175170,11.251500", \ "9.621375,10.095700,10.430890,10.735810,11.020960,11.067300,11.122130", \ "9.549960,10.028060,10.360610,10.693420,10.829870,10.890520,11.104370", \ "9.545026,10.022630,10.310690,10.695560,10.829890,11.110280,11.052810", \ "9.687326,10.165040,10.471200,10.717780,11.032290,11.250430,11.277750", \ "9.941053,10.455860,10.781170,11.057170,11.324400,11.335320,11.555620", \ "10.217430,10.799240,11.075650,11.476430,11.752910,11.977470,11.939770"); } } internal_power () { related_pin : "C1"; when : "A & B1 & B2 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.293671,7.930800,8.315118,8.738630,9.045483,9.204627,9.275771", \ "7.325433,7.943955,8.327507,8.747435,9.055022,9.220952,9.286565", \ "7.291991,7.915313,8.315462,8.723499,9.034867,9.196881,9.266095", \ "7.275884,7.915722,8.277715,8.701250,9.015718,9.176754,9.247227", \ "7.412116,7.975121,8.355567,8.765617,9.094124,9.268298,9.341955", \ "7.606322,8.181725,8.577607,8.982385,9.328728,9.475687,9.565000", \ "7.961007,8.545808,8.917580,9.355049,9.726158,9.897301,9.942373"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("9.641719,10.119410,10.419980,10.733850,10.992750,11.037760,11.251240", \ "9.623375,10.102150,10.436020,10.727400,11.000380,11.073840,11.016100", \ "9.533708,10.038700,10.359540,10.592060,10.816910,10.901460,11.115020", \ "9.550133,10.027850,10.359780,10.717470,10.838890,11.115410,11.058040", \ "9.685618,10.163310,10.434470,10.806510,11.077640,11.248500,11.267520", \ "9.929981,10.488230,10.783610,11.096880,11.241510,11.451140,11.544420", \ "10.235140,10.803520,11.106380,11.499970,11.821800,11.950120,11.913420"); } } internal_power () { related_pin : "C2"; when : "A & !B1 & B2 & !C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.987625,8.609800,8.996227,9.442201,9.810979,10.013190,10.118290", \ "7.968406,8.574151,8.947950,9.420370,9.776368,9.976970,10.086330", \ "7.941469,8.530655,8.925462,9.381583,9.731732,9.945208,10.054990", \ "7.944393,8.543592,8.944426,9.371496,9.739719,9.946649,10.048640", \ "8.014121,8.620601,8.996340,9.461892,9.825080,10.030690,10.145610", \ "8.236216,8.818392,9.172206,9.645624,9.996470,10.225840,10.336820", \ "8.544180,9.129193,9.504797,9.963553,10.355800,10.566450,10.654200"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.279650,10.887580,11.207940,11.651590,12.110990,12.392040,12.346650", \ "10.299680,10.851600,11.222490,11.664910,12.052910,12.302890,12.257580", \ "10.239070,10.791150,11.136640,11.579410,12.040210,12.187880,12.142410", \ "10.182040,10.768280,11.072150,11.516510,11.844050,12.186780,12.141710", \ "10.168280,10.776260,11.103870,11.537780,11.999670,12.111250,12.337490", \ "10.254550,10.879520,11.232590,11.618590,12.084390,12.355270,12.315160", \ "10.290740,10.961760,11.318300,11.877980,12.295340,12.457850,12.702430"); } } internal_power () { related_pin : "C2"; when : "A & B1 & !B2 & !C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.617978,8.202546,8.603359,9.059878,9.401617,9.595688,9.679573", \ "7.576646,8.207580,8.567047,9.024250,9.367491,9.561833,9.648507", \ "7.545960,8.144738,8.551139,8.970226,9.331389,9.518097,9.611406", \ "7.551704,8.166730,8.554985,8.983003,9.327458,9.517092,9.606996", \ "7.638371,8.219322,8.633397,9.044083,9.415802,9.615541,9.706771", \ "7.840599,8.445444,8.817719,9.264203,9.610348,9.815375,9.916005", \ "8.217767,8.789835,9.166409,9.609095,9.980994,10.190010,10.248780"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.225500,10.703540,11.031860,11.290800,11.552220,11.675210,11.616620", \ "10.205500,10.722720,10.981050,11.284390,11.521370,11.586850,11.799940", \ "10.130100,10.662000,10.896080,11.224530,11.483080,11.472030,11.685360", \ "10.079320,10.605200,10.899620,11.154640,11.414120,11.470080,11.684490", \ "10.114960,10.592780,10.866080,11.164380,11.443560,11.664030,11.607290", \ "10.161120,10.713890,11.018450,11.265920,11.551710,11.655390,11.602240", \ "10.235420,10.769800,11.087510,11.492560,11.687960,11.788860,12.024590"); } } internal_power () { related_pin : "C2"; when : "A & B1 & B2 & !C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.613464,8.286131,8.673509,9.082542,9.415283,9.584646,9.678273", \ "7.640237,8.239228,8.636081,9.034499,9.375291,9.554898,9.639843", \ "7.548007,8.211360,8.599551,9.007023,9.336972,9.512799,9.605785", \ "7.586787,8.194038,8.594845,9.004060,9.338923,9.513642,9.598067", \ "7.678708,8.255039,8.633257,9.059949,9.414644,9.608156,9.689494", \ "7.922810,8.493385,8.841111,9.281266,9.618578,9.807455,9.900965", \ "8.263323,8.793010,9.177533,9.628380,9.998279,10.185150,10.241100"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.224720,10.702840,11.015940,11.378230,11.528910,11.674460,11.666030", \ "10.197220,10.674480,10.970480,11.301650,11.513620,11.591920,11.805680", \ "10.140330,10.618370,10.950930,11.182450,11.482130,11.481940,11.694530", \ "10.079280,10.557120,10.896960,11.238780,11.506480,11.475740,11.689710", \ "10.097510,10.594960,10.890770,11.244470,11.421260,11.666090,11.611800", \ "10.160950,10.713370,10.956950,11.329240,11.471380,11.654020,11.600840", \ "10.227990,10.762570,11.125670,11.526890,11.837300,11.781650,12.016920"); } } } } /****************************************************************************************** Module : OAI222_X1 Cell Description : Combinational cell (OAI222_X1) with drive strength X1 *******************************************************************************************/ cell (OAI222_X1) { drive_strength : 1; area : 2.128000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 23.154215; leakage_power () { when : "!A1 & !A2 & !B1 & !B2 & !C1 & !C2"; value : 4.377819; } leakage_power () { when : "!A1 & !A2 & !B1 & !B2 & !C1 & C2"; value : 11.473406; } leakage_power () { when : "!A1 & !A2 & !B1 & !B2 & C1 & !C2"; value : 11.473416; } leakage_power () { when : "!A1 & !A2 & !B1 & !B2 & C1 & C2"; value : 16.543851; } leakage_power () { when : "!A1 & !A2 & !B1 & B2 & !C1 & !C2"; value : 6.955672; } leakage_power () { when : "!A1 & !A2 & !B1 & B2 & !C1 & C2"; value : 34.848669; } leakage_power () { when : "!A1 & !A2 & !B1 & B2 & C1 & !C2"; value : 34.848765; } leakage_power () { when : "!A1 & !A2 & !B1 & B2 & C1 & C2"; value : 39.930656; } leakage_power () { when : "!A1 & !A2 & B1 & !B2 & !C1 & !C2"; value : 6.955672; } leakage_power () { when : "!A1 & !A2 & B1 & !B2 & !C1 & C2"; value : 34.848669; } leakage_power () { when : "!A1 & !A2 & B1 & !B2 & C1 & !C2"; value : 34.848765; } leakage_power () { when : "!A1 & !A2 & B1 & !B2 & C1 & C2"; value : 39.930751; } leakage_power () { when : "!A1 & !A2 & B1 & B2 & !C1 & !C2"; value : 7.367820; } leakage_power () { when : "!A1 & !A2 & B1 & B2 & !C1 & C2"; value : 39.922040; } leakage_power () { when : "!A1 & !A2 & B1 & B2 & C1 & !C2"; value : 39.922135; } leakage_power () { when : "!A1 & !A2 & B1 & B2 & C1 & C2"; value : 45.006953; } leakage_power () { when : "!A1 & A2 & !B1 & !B2 & !C1 & !C2"; value : 5.747678; } leakage_power () { when : "!A1 & A2 & !B1 & !B2 & !C1 & C2"; value : 20.861649; } leakage_power () { when : "!A1 & A2 & !B1 & !B2 & C1 & !C2"; value : 20.861744; } leakage_power () { when : "!A1 & A2 & !B1 & !B2 & C1 & C2"; value : 25.935229; } leakage_power () { when : "!A1 & A2 & !B1 & B2 & !C1 & !C2"; value : 15.250263; } leakage_power () { when : "!A1 & A2 & !B1 & B2 & !C1 & C2"; value : 21.780241; } leakage_power () { when : "!A1 & A2 & !B1 & B2 & C1 & !C2"; value : 23.146322; } leakage_power () { when : "!A1 & A2 & !B1 & B2 & C1 & C2"; value : 25.263340; } leakage_power () { when : "!A1 & A2 & B1 & !B2 & !C1 & !C2"; value : 15.250358; } leakage_power () { when : "!A1 & A2 & B1 & !B2 & !C1 & C2"; value : 23.146313; } leakage_power () { when : "!A1 & A2 & B1 & !B2 & C1 & !C2"; value : 24.512318; } leakage_power () { when : "!A1 & A2 & B1 & !B2 & C1 & C2"; value : 26.629611; } leakage_power () { when : "!A1 & A2 & B1 & B2 & !C1 & !C2"; value : 15.285165; } leakage_power () { when : "!A1 & A2 & B1 & B2 & !C1 & C2"; value : 25.261403; } leakage_power () { when : "!A1 & A2 & B1 & B2 & C1 & !C2"; value : 26.627512; } leakage_power () { when : "!A1 & A2 & B1 & B2 & C1 & C2"; value : 28.745100; } leakage_power () { when : "A1 & !A2 & !B1 & !B2 & !C1 & !C2"; value : 5.747678; } leakage_power () { when : "A1 & !A2 & !B1 & !B2 & !C1 & C2"; value : 20.861648; } leakage_power () { when : "A1 & !A2 & !B1 & !B2 & C1 & !C2"; value : 20.861743; } leakage_power () { when : "A1 & !A2 & !B1 & !B2 & C1 & C2"; value : 25.935230; } leakage_power () { when : "A1 & !A2 & !B1 & B2 & !C1 & !C2"; value : 15.250358; } leakage_power () { when : "A1 & !A2 & !B1 & B2 & !C1 & C2"; value : 23.146313; } leakage_power () { when : "A1 & !A2 & !B1 & B2 & C1 & !C2"; value : 24.512318; } leakage_power () { when : "A1 & !A2 & !B1 & B2 & C1 & C2"; value : 26.629602; } leakage_power () { when : "A1 & !A2 & B1 & !B2 & !C1 & !C2"; value : 15.250358; } leakage_power () { when : "A1 & !A2 & B1 & !B2 & !C1 & C2"; value : 24.512318; } leakage_power () { when : "A1 & !A2 & B1 & !B2 & C1 & !C2"; value : 25.878266; } leakage_power () { when : "A1 & !A2 & B1 & !B2 & C1 & C2"; value : 27.995797; } leakage_power () { when : "A1 & !A2 & B1 & B2 & !C1 & !C2"; value : 15.285165; } leakage_power () { when : "A1 & !A2 & B1 & B2 & !C1 & C2"; value : 26.627512; } leakage_power () { when : "A1 & !A2 & B1 & B2 & C1 & !C2"; value : 27.993536; } leakage_power () { when : "A1 & !A2 & B1 & B2 & C1 & C2"; value : 30.111418; } leakage_power () { when : "A1 & A2 & !B1 & !B2 & !C1 & !C2"; value : 4.847542; } leakage_power () { when : "A1 & A2 & !B1 & !B2 & !C1 & C2"; value : 20.548043; } leakage_power () { when : "A1 & A2 & !B1 & !B2 & C1 & !C2"; value : 20.548148; } leakage_power () { when : "A1 & A2 & !B1 & !B2 & C1 & C2"; value : 25.621918; } leakage_power () { when : "A1 & A2 & !B1 & B2 & !C1 & !C2"; value : 14.531686; } leakage_power () { when : "A1 & A2 & !B1 & B2 & !C1 & C2"; value : 25.260139; } leakage_power () { when : "A1 & A2 & !B1 & B2 & C1 & !C2"; value : 26.626087; } leakage_power () { when : "A1 & A2 & !B1 & B2 & C1 & C2"; value : 28.743960; } leakage_power () { when : "A1 & A2 & B1 & !B2 & !C1 & !C2"; value : 14.531781; } leakage_power () { when : "A1 & A2 & B1 & !B2 & !C1 & C2"; value : 26.626077; } leakage_power () { when : "A1 & A2 & B1 & !B2 & C1 & !C2"; value : 27.991949; } leakage_power () { when : "A1 & A2 & B1 & !B2 & C1 & C2"; value : 30.110117; } leakage_power () { when : "A1 & A2 & B1 & B2 & !C1 & !C2"; value : 14.648886; } leakage_power () { when : "A1 & A2 & B1 & B2 & !C1 & C2"; value : 28.741442; } leakage_power () { when : "A1 & A2 & B1 & B2 & C1 & !C2"; value : 30.107438; } leakage_power () { when : "A1 & A2 & B1 & B2 & C1 & C2"; value : 32.225957; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.542520; fall_capacitance : 1.372893; rise_capacitance : 1.542520; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.549989; fall_capacitance : 1.528504; rise_capacitance : 1.549989; } pin (B1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.579382; fall_capacitance : 1.389625; rise_capacitance : 1.579382; } pin (B2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.591456; fall_capacitance : 1.545233; rise_capacitance : 1.591456; } pin (C1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.537121; fall_capacitance : 1.333299; rise_capacitance : 1.537121; } pin (C2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.525748; fall_capacitance : 1.456035; rise_capacitance : 1.525748; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 20.045850; function : "!(((A1 | A2) & (B1 | B2)) & (C1 | C2))"; timing () { related_pin : "A1"; when : "!A2 & !B1 & B2 & !C1 & C2"; sdf_cond : "(A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.044078,0.046942,0.052416,0.062857,0.082728,0.120592,0.193250", \ "0.048602,0.051472,0.056992,0.067484,0.087413,0.125339,0.198048", \ "0.063829,0.066658,0.072135,0.082675,0.102635,0.140612,0.213368", \ "0.091540,0.094849,0.100998,0.112485,0.132229,0.169763,0.242290", \ "0.116430,0.121018,0.129220,0.144988,0.171881,0.215823,0.288314", \ "0.135110,0.141135,0.152018,0.172345,0.207084,0.263777,0.352051", \ "0.146403,0.153901,0.167666,0.192800,0.236055,0.306470,0.415551"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.080685,0.087668,0.101203,0.127237,0.177726,0.275676,0.466008", \ "0.082462,0.089658,0.103496,0.129904,0.181002,0.279531,0.470332", \ "0.095714,0.102754,0.116327,0.142745,0.194000,0.293109,0.484546", \ "0.128971,0.135392,0.148248,0.173796,0.223972,0.322253,0.513478", \ "0.171721,0.180738,0.197084,0.226148,0.275777,0.371987,0.561617", \ "0.218513,0.229573,0.250094,0.285953,0.346906,0.445990,0.632880", \ "0.269895,0.282974,0.307410,0.350119,0.422873,0.540818,0.730121"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.031023,0.033237,0.037500,0.045872,0.062134,0.093809,0.155563", \ "0.031063,0.033256,0.037525,0.045863,0.062130,0.093796,0.155570", \ "0.029903,0.032259,0.036839,0.045716,0.062112,0.093807,0.155568", \ "0.038813,0.040641,0.044028,0.050162,0.063450,0.093508,0.155516", \ "0.056201,0.058549,0.062937,0.070247,0.082994,0.105380,0.156824", \ "0.077803,0.080830,0.086526,0.095793,0.111401,0.136756,0.179063", \ "0.103353,0.107082,0.114079,0.125789,0.144870,0.175082,0.222287"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.094776,0.101977,0.116068,0.142584,0.192074,0.284643,0.459394", \ "0.094737,0.101914,0.116046,0.142573,0.192072,0.284621,0.459408", \ "0.094792,0.101950,0.116023,0.142586,0.192081,0.284662,0.459392", \ "0.100192,0.105903,0.118064,0.142492,0.192067,0.284638,0.459410", \ "0.128105,0.131111,0.138821,0.156683,0.196501,0.284609,0.459419", \ "0.168748,0.171978,0.178926,0.193249,0.222147,0.293368,0.459395", \ "0.212994,0.216462,0.223675,0.238831,0.268543,0.326117,0.466468"); } } timing () { related_pin : "A1"; when : "!A2 & !B1 & B2 & C1 & !C2"; sdf_cond : "(A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.035028,0.037812,0.043147,0.053349,0.072839,0.110215,0.182316", \ "0.039468,0.042280,0.047669,0.057939,0.077503,0.114954,0.187112", \ "0.055122,0.057705,0.062899,0.073115,0.092713,0.130204,0.202419", \ "0.078794,0.082509,0.089318,0.101706,0.122583,0.159410,0.231365", \ "0.097665,0.102841,0.112084,0.129280,0.158132,0.204295,0.277495", \ "0.109898,0.116694,0.128944,0.151212,0.188673,0.248473,0.339756", \ "0.114537,0.122989,0.138382,0.166030,0.212686,0.287107,0.400183"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.078067,0.085054,0.098581,0.124591,0.175022,0.272730,0.462670", \ "0.079838,0.087001,0.100809,0.127244,0.178259,0.276593,0.466965", \ "0.093144,0.100100,0.113689,0.140111,0.191236,0.290169,0.481189", \ "0.126338,0.132938,0.145735,0.171187,0.221238,0.319320,0.510143", \ "0.168100,0.177210,0.193799,0.223235,0.273111,0.369075,0.558278", \ "0.213858,0.225092,0.245733,0.282130,0.343611,0.443136,0.629540", \ "0.264179,0.277475,0.302003,0.345351,0.418741,0.537371,0.726860"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.025743,0.027914,0.032161,0.040474,0.056651,0.088241,0.149841", \ "0.025785,0.027946,0.032185,0.040476,0.056661,0.088246,0.149852", \ "0.025076,0.027158,0.031348,0.039933,0.056599,0.088231,0.149845", \ "0.036880,0.038671,0.042034,0.048096,0.059998,0.088198,0.149812", \ "0.054648,0.057066,0.061499,0.068896,0.081570,0.103377,0.152264", \ "0.076477,0.079567,0.085313,0.094820,0.110438,0.135578,0.177175", \ "0.102182,0.105999,0.113186,0.124996,0.144158,0.174273,0.221129"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.068002,0.074906,0.088267,0.113888,0.163075,0.255756,0.430668", \ "0.068059,0.074952,0.088288,0.113893,0.163067,0.255765,0.430643", \ "0.067989,0.074887,0.088207,0.113805,0.163024,0.255814,0.430636", \ "0.073921,0.079274,0.090409,0.113888,0.163015,0.255748,0.430659", \ "0.099436,0.104067,0.112306,0.128579,0.167681,0.255638,0.430665", \ "0.130817,0.135749,0.145547,0.162999,0.194023,0.264734,0.430641", \ "0.167778,0.172979,0.183403,0.202666,0.237367,0.297952,0.437887"); } } timing () { related_pin : "A1"; when : "!A2 & !B1 & B2 & C1 & C2"; sdf_cond : "(A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.028342,0.030658,0.035100,0.043566,0.059692,0.090555,0.150018", \ "0.033007,0.035337,0.039822,0.048349,0.064540,0.095459,0.154966", \ "0.049998,0.052188,0.056235,0.064459,0.080589,0.111520,0.171065", \ "0.071970,0.075360,0.081566,0.092777,0.111536,0.142436,0.201608", \ "0.089270,0.094091,0.102585,0.118392,0.144756,0.186524,0.250356", \ "0.099853,0.106220,0.117670,0.138202,0.172875,0.227630,0.310355", \ "0.102667,0.110637,0.125108,0.150939,0.194385,0.263154,0.366600"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.077891,0.084866,0.098425,0.124416,0.174889,0.272609,0.462531", \ "0.079424,0.086543,0.100378,0.126872,0.177858,0.276199,0.466638", \ "0.092860,0.099787,0.113325,0.139716,0.190755,0.289678,0.480722", \ "0.126270,0.132862,0.145583,0.170992,0.220953,0.318917,0.509665", \ "0.168247,0.177321,0.193908,0.223283,0.273105,0.368933,0.557992", \ "0.214338,0.225525,0.246201,0.282475,0.343861,0.443270,0.629519", \ "0.265146,0.278371,0.302827,0.346102,0.419369,0.537839,0.727165"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.021330,0.023160,0.026710,0.033601,0.047016,0.073187,0.124221", \ "0.021284,0.023142,0.026708,0.033607,0.047030,0.073192,0.124228", \ "0.021425,0.022990,0.026185,0.032877,0.046814,0.073160,0.124223", \ "0.034025,0.035608,0.038477,0.043563,0.052825,0.074066,0.124168", \ "0.051332,0.053437,0.057494,0.063977,0.075020,0.093461,0.130098", \ "0.072652,0.075405,0.080655,0.089244,0.103081,0.125072,0.160362", \ "0.097881,0.101377,0.107873,0.118664,0.135925,0.162645,0.203477"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.068064,0.074981,0.088321,0.113919,0.163069,0.255826,0.430659", \ "0.068074,0.074980,0.088294,0.113938,0.163080,0.255738,0.430683", \ "0.068034,0.074904,0.088205,0.113943,0.163033,0.255816,0.430681", \ "0.073977,0.079296,0.090462,0.113856,0.163025,0.255770,0.430681", \ "0.099353,0.103993,0.112148,0.128559,0.167661,0.255651,0.430689", \ "0.130480,0.135494,0.145282,0.162776,0.193897,0.264713,0.430685", \ "0.167144,0.172348,0.182782,0.202139,0.237014,0.297747,0.437863"); } } timing () { related_pin : "A1"; when : "!A2 & B1 & !B2 & !C1 & C2"; sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.035028,0.037812,0.043147,0.053349,0.072839,0.110215,0.182316", \ "0.039468,0.042280,0.047669,0.057939,0.077503,0.114954,0.187112", \ "0.055122,0.057705,0.062899,0.073115,0.092713,0.130204,0.202419", \ "0.078794,0.082509,0.089318,0.101706,0.122583,0.159410,0.231365", \ "0.097665,0.102841,0.112084,0.129280,0.158132,0.204295,0.277495", \ "0.109898,0.116694,0.128944,0.151212,0.188673,0.248473,0.339756", \ "0.114537,0.122989,0.138382,0.166030,0.212686,0.287107,0.400183"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.078067,0.085054,0.098581,0.124591,0.175022,0.272730,0.462670", \ "0.079838,0.087001,0.100809,0.127244,0.178259,0.276593,0.466965", \ "0.093144,0.100100,0.113689,0.140111,0.191236,0.290169,0.481189", \ "0.126338,0.132938,0.145735,0.171187,0.221238,0.319320,0.510143", \ "0.168100,0.177210,0.193799,0.223235,0.273111,0.369075,0.558278", \ "0.213858,0.225092,0.245733,0.282130,0.343611,0.443136,0.629540", \ "0.264179,0.277475,0.302003,0.345351,0.418741,0.537371,0.726860"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.025743,0.027914,0.032161,0.040474,0.056651,0.088241,0.149841", \ "0.025785,0.027946,0.032185,0.040476,0.056661,0.088246,0.149852", \ "0.025076,0.027158,0.031348,0.039933,0.056599,0.088231,0.149845", \ "0.036880,0.038671,0.042034,0.048096,0.059998,0.088198,0.149812", \ "0.054648,0.057066,0.061499,0.068896,0.081570,0.103377,0.152264", \ "0.076477,0.079567,0.085313,0.094820,0.110438,0.135578,0.177175", \ "0.102182,0.105999,0.113186,0.124996,0.144158,0.174273,0.221129"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.068002,0.074906,0.088267,0.113888,0.163075,0.255756,0.430668", \ "0.068059,0.074952,0.088288,0.113893,0.163067,0.255765,0.430643", \ "0.067989,0.074887,0.088207,0.113805,0.163024,0.255814,0.430636", \ "0.073921,0.079274,0.090409,0.113888,0.163015,0.255748,0.430659", \ "0.099436,0.104067,0.112306,0.128579,0.167681,0.255638,0.430665", \ "0.130817,0.135749,0.145547,0.162999,0.194023,0.264734,0.430641", \ "0.167778,0.172979,0.183403,0.202666,0.237367,0.297952,0.437887"); } } timing () { related_pin : "A1"; when : "!A2 & B1 & !B2 & C1 & !C2"; sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.027170,0.029747,0.034760,0.044483,0.063360,0.100081,0.171573", \ "0.031513,0.034119,0.039201,0.049019,0.068007,0.104808,0.176359", \ "0.046897,0.049637,0.054582,0.064143,0.083153,0.120035,0.191668", \ "0.064340,0.068585,0.076411,0.090041,0.112443,0.149296,0.220637", \ "0.076094,0.082156,0.092975,0.112156,0.143524,0.192309,0.266892", \ "0.080917,0.088860,0.103058,0.128025,0.169013,0.232544,0.327284", \ "0.077987,0.087848,0.105591,0.136623,0.187636,0.266867,0.384491"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.075493,0.082466,0.095954,0.121981,0.172276,0.269762,0.459282", \ "0.077195,0.084337,0.098160,0.124615,0.175535,0.273604,0.463599", \ "0.090583,0.097520,0.111111,0.137449,0.188498,0.287129,0.477829", \ "0.123613,0.130495,0.143194,0.168584,0.218538,0.316341,0.506770", \ "0.164415,0.173644,0.190383,0.220251,0.270460,0.366160,0.554903", \ "0.209150,0.220555,0.241452,0.278218,0.340297,0.440278,0.626180", \ "0.258401,0.271892,0.296660,0.340485,0.414586,0.533905,0.723598"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.019830,0.022047,0.026356,0.034717,0.050966,0.082599,0.144131", \ "0.019744,0.021989,0.026332,0.034714,0.050985,0.082597,0.144138", \ "0.021374,0.023030,0.026631,0.034336,0.050900,0.082596,0.144133", \ "0.035162,0.036977,0.040203,0.046186,0.057208,0.083277,0.144117", \ "0.053593,0.055991,0.060520,0.067888,0.080367,0.101789,0.148008", \ "0.076040,0.079095,0.084926,0.094368,0.109822,0.134687,0.175719", \ "0.102409,0.106233,0.113368,0.125049,0.144011,0.173823,0.220206"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.055302,0.061497,0.073630,0.096927,0.142422,0.230804,0.402861", \ "0.055304,0.061491,0.073597,0.096884,0.142429,0.230806,0.402862", \ "0.055255,0.061474,0.073525,0.096872,0.142287,0.230800,0.402867", \ "0.061751,0.066318,0.075989,0.096917,0.142213,0.230799,0.402866", \ "0.082842,0.087676,0.096956,0.112033,0.147144,0.230665,0.402872", \ "0.106391,0.112134,0.123122,0.141717,0.173483,0.239877,0.402866", \ "0.132881,0.139592,0.152277,0.174139,0.211435,0.273185,0.410319"); } } timing () { related_pin : "A1"; when : "!A2 & B1 & !B2 & C1 & C2"; sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.022311,0.024432,0.028558,0.036576,0.052131,0.082375,0.141257", \ "0.026922,0.029056,0.033230,0.041314,0.056958,0.087271,0.146193", \ "0.042791,0.045222,0.049682,0.057480,0.072981,0.103321,0.162291", \ "0.058966,0.062924,0.070000,0.082382,0.102584,0.134303,0.192864", \ "0.069462,0.075114,0.085110,0.102878,0.131557,0.175781,0.241749", \ "0.072894,0.080365,0.093635,0.116881,0.154876,0.213181,0.299092", \ "0.068340,0.077679,0.094439,0.123563,0.171211,0.244567,0.352298"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.075316,0.082274,0.095802,0.121788,0.172112,0.269619,0.459155", \ "0.076800,0.083912,0.097756,0.124200,0.175110,0.273261,0.463245", \ "0.090284,0.097191,0.110757,0.137012,0.188011,0.286621,0.477332", \ "0.123540,0.130413,0.143096,0.168412,0.218251,0.315937,0.506276", \ "0.164553,0.173776,0.190505,0.220312,0.270397,0.366033,0.554597", \ "0.209633,0.221014,0.241818,0.278573,0.340558,0.440411,0.626170", \ "0.259392,0.272838,0.297534,0.341266,0.415227,0.534379,0.723903"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.016296,0.018157,0.021773,0.028747,0.042225,0.068424,0.119453", \ "0.016115,0.018026,0.021696,0.028730,0.042221,0.068437,0.119444", \ "0.019223,0.020392,0.022894,0.028770,0.041846,0.068434,0.119441", \ "0.032670,0.034241,0.037115,0.042180,0.051256,0.070710,0.119395", \ "0.050682,0.052767,0.056826,0.063345,0.074236,0.092408,0.127267", \ "0.072697,0.075451,0.080707,0.089223,0.102834,0.124561,0.159433", \ "0.098694,0.102174,0.108583,0.119220,0.136233,0.162585,0.202976"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.055305,0.061460,0.073618,0.096849,0.142369,0.230819,0.402886", \ "0.055308,0.061463,0.073620,0.096911,0.142350,0.230837,0.402880", \ "0.055254,0.061439,0.073525,0.096840,0.142297,0.230807,0.402891", \ "0.061790,0.066337,0.076027,0.096923,0.142226,0.230813,0.402886", \ "0.082752,0.087584,0.096824,0.112014,0.147135,0.230712,0.402894", \ "0.106124,0.111848,0.122763,0.141522,0.173349,0.239845,0.402888", \ "0.132327,0.139028,0.151713,0.173729,0.211106,0.272975,0.410289"); } } timing () { related_pin : "A1"; when : "!A2 & B1 & B2 & !C1 & C2"; sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.028342,0.030658,0.035100,0.043566,0.059692,0.090555,0.150018", \ "0.033007,0.035337,0.039822,0.048349,0.064540,0.095459,0.154966", \ "0.049998,0.052188,0.056235,0.064459,0.080589,0.111520,0.171065", \ "0.071970,0.075360,0.081566,0.092777,0.111536,0.142436,0.201608", \ "0.089270,0.094091,0.102585,0.118392,0.144756,0.186524,0.250356", \ "0.099853,0.106220,0.117670,0.138202,0.172875,0.227630,0.310355", \ "0.102667,0.110637,0.125108,0.150939,0.194385,0.263154,0.366600"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.077891,0.084866,0.098425,0.124416,0.174889,0.272609,0.462531", \ "0.079424,0.086543,0.100378,0.126872,0.177858,0.276199,0.466638", \ "0.092860,0.099787,0.113325,0.139716,0.190755,0.289678,0.480722", \ "0.126270,0.132862,0.145583,0.170992,0.220953,0.318917,0.509665", \ "0.168247,0.177321,0.193908,0.223283,0.273105,0.368933,0.557992", \ "0.214338,0.225525,0.246201,0.282475,0.343861,0.443270,0.629519", \ "0.265146,0.278371,0.302827,0.346102,0.419369,0.537839,0.727165"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.021330,0.023160,0.026710,0.033601,0.047016,0.073187,0.124221", \ "0.021284,0.023142,0.026708,0.033607,0.047030,0.073192,0.124228", \ "0.021425,0.022990,0.026185,0.032877,0.046814,0.073160,0.124223", \ "0.034025,0.035608,0.038477,0.043563,0.052825,0.074066,0.124168", \ "0.051332,0.053437,0.057494,0.063977,0.075020,0.093461,0.130098", \ "0.072652,0.075405,0.080655,0.089244,0.103081,0.125072,0.160362", \ "0.097881,0.101377,0.107873,0.118664,0.135925,0.162645,0.203477"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.068064,0.074981,0.088321,0.113919,0.163069,0.255826,0.430659", \ "0.068074,0.074980,0.088294,0.113938,0.163080,0.255738,0.430683", \ "0.068034,0.074904,0.088205,0.113943,0.163033,0.255816,0.430681", \ "0.073977,0.079296,0.090462,0.113856,0.163025,0.255770,0.430681", \ "0.099353,0.103993,0.112148,0.128559,0.167661,0.255651,0.430689", \ "0.130480,0.135494,0.145282,0.162776,0.193897,0.264713,0.430685", \ "0.167144,0.172348,0.182782,0.202139,0.237014,0.297747,0.437863"); } } timing () { related_pin : "A1"; when : "!A2 & B1 & B2 & C1 & !C2"; sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.022311,0.024432,0.028558,0.036576,0.052131,0.082375,0.141257", \ "0.026922,0.029056,0.033230,0.041314,0.056958,0.087271,0.146193", \ "0.042791,0.045222,0.049682,0.057480,0.072981,0.103321,0.162291", \ "0.058966,0.062924,0.070000,0.082382,0.102584,0.134303,0.192864", \ "0.069462,0.075114,0.085110,0.102878,0.131557,0.175781,0.241749", \ "0.072894,0.080365,0.093635,0.116881,0.154876,0.213181,0.299092", \ "0.068340,0.077679,0.094439,0.123563,0.171211,0.244567,0.352298"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.075316,0.082274,0.095802,0.121788,0.172112,0.269619,0.459155", \ "0.076800,0.083912,0.097756,0.124200,0.175110,0.273261,0.463245", \ "0.090284,0.097191,0.110757,0.137012,0.188011,0.286621,0.477332", \ "0.123540,0.130413,0.143096,0.168412,0.218251,0.315937,0.506276", \ "0.164553,0.173776,0.190505,0.220312,0.270397,0.366033,0.554597", \ "0.209633,0.221014,0.241818,0.278573,0.340558,0.440411,0.626170", \ "0.259392,0.272838,0.297534,0.341266,0.415227,0.534379,0.723903"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.016296,0.018157,0.021773,0.028747,0.042225,0.068424,0.119453", \ "0.016115,0.018026,0.021696,0.028730,0.042221,0.068437,0.119444", \ "0.019223,0.020392,0.022894,0.028770,0.041846,0.068434,0.119441", \ "0.032670,0.034241,0.037115,0.042180,0.051256,0.070710,0.119395", \ "0.050682,0.052767,0.056826,0.063345,0.074236,0.092408,0.127267", \ "0.072697,0.075451,0.080707,0.089223,0.102834,0.124561,0.159433", \ "0.098694,0.102174,0.108583,0.119220,0.136233,0.162585,0.202976"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.055305,0.061460,0.073618,0.096849,0.142369,0.230819,0.402886", \ "0.055308,0.061463,0.073620,0.096911,0.142350,0.230837,0.402880", \ "0.055254,0.061439,0.073525,0.096840,0.142297,0.230807,0.402891", \ "0.061790,0.066337,0.076027,0.096923,0.142226,0.230813,0.402886", \ "0.082752,0.087584,0.096824,0.112014,0.147135,0.230712,0.402894", \ "0.106124,0.111848,0.122763,0.141522,0.173349,0.239845,0.402888", \ "0.132327,0.139028,0.151713,0.173729,0.211106,0.272975,0.410289"); } } timing () { related_pin : "A1"; when : "!A2 & B1 & B2 & C1 & C2"; sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.019154,0.020818,0.024054,0.030328,0.042510,0.066203,0.112334", \ "0.023926,0.025601,0.028877,0.035206,0.047455,0.071197,0.117370", \ "0.039346,0.041528,0.045494,0.052325,0.064422,0.088149,0.134321", \ "0.053936,0.057512,0.063946,0.075096,0.093123,0.120937,0.166851", \ "0.062912,0.068148,0.077362,0.093527,0.119533,0.159144,0.217244", \ "0.064785,0.071766,0.084136,0.105558,0.140421,0.193315,0.270089", \ "0.058471,0.067271,0.083016,0.110161,0.154275,0.221452,0.318799"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.075299,0.082264,0.095782,0.121818,0.172109,0.269669,0.459214", \ "0.076526,0.083629,0.097462,0.123912,0.174856,0.273008,0.463063", \ "0.089963,0.096841,0.110374,0.136550,0.187485,0.286093,0.476833", \ "0.123469,0.130330,0.142975,0.168200,0.217922,0.315495,0.505760", \ "0.164717,0.173936,0.190697,0.220390,0.270433,0.365906,0.554298", \ "0.210145,0.221500,0.242317,0.278948,0.340834,0.440577,0.626169", \ "0.260435,0.273833,0.298470,0.342085,0.415910,0.534892,0.724251"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.012701,0.014145,0.016956,0.022430,0.033067,0.053759,0.094090", \ "0.012620,0.014086,0.016937,0.022422,0.033056,0.053775,0.094097", \ "0.017180,0.018181,0.020002,0.023897,0.033131,0.053757,0.094098", \ "0.030165,0.031527,0.033973,0.038249,0.045642,0.059237,0.094189", \ "0.047595,0.049448,0.052995,0.058610,0.067888,0.082985,0.108480", \ "0.069160,0.071586,0.076226,0.083750,0.095508,0.114029,0.142962", \ "0.094746,0.097863,0.103603,0.113137,0.128020,0.150735,0.185001"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.055309,0.061430,0.073638,0.096991,0.142380,0.230857,0.402910", \ "0.055309,0.061431,0.073607,0.096890,0.142363,0.230819,0.402903", \ "0.055253,0.061409,0.073564,0.096842,0.142300,0.230804,0.402919", \ "0.061834,0.066360,0.076066,0.096941,0.142236,0.230827,0.402914", \ "0.082718,0.087483,0.096727,0.111973,0.147180,0.230722,0.402916", \ "0.105845,0.111533,0.122447,0.141351,0.173227,0.239825,0.402911", \ "0.131762,0.138456,0.151070,0.173279,0.210759,0.272746,0.410253"); } } timing () { related_pin : "A2"; when : "!A1 & !B1 & B2 & !C1 & C2"; sdf_cond : "(A1 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.053281,0.056175,0.061692,0.072262,0.092343,0.130536,0.203567", \ "0.057128,0.060012,0.065551,0.076111,0.096221,0.134429,0.207456", \ "0.071790,0.074681,0.080247,0.090893,0.111004,0.149229,0.222265", \ "0.101146,0.104180,0.109935,0.120820,0.140331,0.178343,0.251146", \ "0.130847,0.135042,0.142590,0.157213,0.182726,0.225013,0.297195", \ "0.155005,0.160505,0.170467,0.189359,0.222088,0.276235,0.361969", \ "0.172321,0.179142,0.191683,0.215015,0.255623,0.322712,0.428346"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.094750,0.101672,0.115110,0.140938,0.191389,0.289181,0.479431", \ "0.099276,0.106354,0.119982,0.146136,0.197070,0.295230,0.485788", \ "0.115796,0.122811,0.136473,0.162887,0.213967,0.312790,0.504008", \ "0.145462,0.152320,0.165629,0.191789,0.242650,0.341509,0.533069", \ "0.184154,0.192492,0.207790,0.235985,0.286985,0.385392,0.576865", \ "0.227301,0.237125,0.255338,0.288227,0.346683,0.448287,0.639361", \ "0.276054,0.287583,0.308824,0.346896,0.413389,0.527163,0.722266"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.035929,0.038183,0.042542,0.050981,0.067390,0.099229,0.161136", \ "0.035974,0.038211,0.042539,0.051014,0.067379,0.099233,0.161124", \ "0.035580,0.038067,0.042589,0.051061,0.067422,0.099230,0.161131", \ "0.041598,0.043448,0.046817,0.053525,0.067941,0.099088,0.161119", \ "0.058924,0.061260,0.065515,0.072806,0.085465,0.108489,0.161885", \ "0.080541,0.083485,0.089023,0.098164,0.113607,0.138978,0.181957", \ "0.105673,0.109340,0.116327,0.127804,0.146816,0.177046,0.224417"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.094749,0.101855,0.116046,0.142550,0.192117,0.284642,0.459409", \ "0.094727,0.101999,0.116036,0.142569,0.192140,0.284659,0.459403", \ "0.094723,0.101846,0.116020,0.142590,0.192109,0.284640,0.459405", \ "0.096404,0.103000,0.116320,0.142588,0.192070,0.284637,0.459408", \ "0.112801,0.117482,0.127699,0.149581,0.194210,0.284597,0.459407", \ "0.140334,0.144682,0.154043,0.172470,0.209419,0.289880,0.459403", \ "0.172724,0.176638,0.185260,0.202930,0.238899,0.309916,0.464441"); } } timing () { related_pin : "A2"; when : "!A1 & !B1 & B2 & C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.043678,0.046522,0.051970,0.062366,0.082191,0.119987,0.192565", \ "0.047497,0.050324,0.055807,0.066217,0.086057,0.123874,0.196454", \ "0.062167,0.064992,0.070497,0.080992,0.100861,0.138684,0.211273", \ "0.089452,0.092769,0.098998,0.110565,0.130423,0.167858,0.240203", \ "0.113886,0.118515,0.126779,0.142640,0.169716,0.213835,0.286347", \ "0.132321,0.138398,0.149356,0.169801,0.204723,0.261570,0.349966", \ "0.143709,0.151247,0.165073,0.190313,0.233689,0.304210,0.413384"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.092200,0.099112,0.112505,0.138326,0.188632,0.286231,0.476090", \ "0.096639,0.103680,0.117328,0.143498,0.194257,0.292242,0.482436", \ "0.113197,0.120184,0.133833,0.160195,0.211228,0.309847,0.500650", \ "0.142905,0.149726,0.163053,0.189136,0.239907,0.338606,0.529750", \ "0.180963,0.189323,0.204765,0.233171,0.284245,0.382470,0.573506", \ "0.223425,0.233304,0.251668,0.284900,0.343584,0.445370,0.636024", \ "0.271383,0.283035,0.304557,0.342989,0.409792,0.523885,0.718995"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.031058,0.033262,0.037518,0.045841,0.062077,0.093731,0.155454", \ "0.031134,0.033301,0.037555,0.045877,0.062093,0.093738,0.155432", \ "0.030234,0.032499,0.037024,0.045827,0.062125,0.093760,0.155461", \ "0.039656,0.041457,0.044829,0.050904,0.063997,0.093642,0.155456", \ "0.057267,0.059616,0.064009,0.071287,0.083892,0.106165,0.157094", \ "0.078793,0.081850,0.087575,0.096861,0.112446,0.137699,0.179790", \ "0.103687,0.107506,0.114631,0.126459,0.145726,0.176015,0.223144"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.068049,0.074944,0.088284,0.113866,0.163075,0.255709,0.430645", \ "0.068002,0.074922,0.088334,0.113909,0.163092,0.255775,0.430668", \ "0.068064,0.074917,0.088294,0.113939,0.163058,0.255756,0.430655", \ "0.069802,0.076077,0.088579,0.113863,0.163033,0.255789,0.430684", \ "0.084745,0.090399,0.100566,0.121058,0.165164,0.255695,0.430667", \ "0.105888,0.111325,0.122213,0.142463,0.180956,0.261076,0.430645", \ "0.133067,0.138265,0.148898,0.169132,0.208191,0.281545,0.435800"); } } timing () { related_pin : "A2"; when : "!A1 & !B1 & B2 & C1 & C2"; sdf_cond : "(A1 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.035153,0.037536,0.042078,0.050744,0.067160,0.098407,0.158308", \ "0.039278,0.041663,0.046227,0.054912,0.071352,0.102617,0.162497", \ "0.055277,0.057483,0.061911,0.070582,0.087042,0.118308,0.178174", \ "0.081485,0.084517,0.090147,0.100614,0.118380,0.149101,0.208686", \ "0.103989,0.108300,0.115881,0.130417,0.155099,0.194936,0.257355", \ "0.120473,0.126148,0.136370,0.155211,0.187374,0.239402,0.319388", \ "0.129780,0.136867,0.149820,0.173340,0.213625,0.278699,0.378474"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.092028,0.098935,0.112337,0.138190,0.188533,0.286120,0.475971", \ "0.096287,0.103333,0.116957,0.143153,0.193869,0.291899,0.482119", \ "0.112942,0.119898,0.133496,0.159840,0.210811,0.309396,0.500239", \ "0.142808,0.149620,0.162913,0.188926,0.239601,0.338162,0.529274", \ "0.180896,0.189218,0.204758,0.233064,0.284090,0.382211,0.573166", \ "0.223477,0.233324,0.251749,0.284903,0.343544,0.445310,0.635776", \ "0.271689,0.283319,0.304773,0.343187,0.409921,0.523927,0.718934"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.026027,0.027833,0.031317,0.038165,0.051553,0.077733,0.128877", \ "0.026053,0.027843,0.031344,0.038178,0.051572,0.077755,0.128841", \ "0.025127,0.026915,0.030439,0.037606,0.051550,0.077758,0.128838", \ "0.036327,0.037867,0.040744,0.045783,0.055540,0.078166,0.128833", \ "0.053482,0.055565,0.059495,0.065924,0.076848,0.095385,0.133557", \ "0.074405,0.077165,0.082301,0.090803,0.104634,0.126699,0.162155", \ "0.098786,0.102290,0.108848,0.119600,0.136979,0.163900,0.204974"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.068075,0.074931,0.088308,0.113938,0.163173,0.255830,0.430663", \ "0.068064,0.074958,0.088314,0.113873,0.163080,0.255735,0.430690", \ "0.068084,0.074981,0.088264,0.113971,0.163062,0.255785,0.430678", \ "0.069821,0.076100,0.088603,0.113859,0.163042,0.255689,0.430667", \ "0.084841,0.090368,0.100571,0.121138,0.165189,0.255707,0.430690", \ "0.105867,0.111309,0.122104,0.142497,0.180983,0.261146,0.430666", \ "0.132920,0.138140,0.148648,0.168963,0.208157,0.281551,0.435837"); } } timing () { related_pin : "A2"; when : "!A1 & B1 & !B2 & !C1 & C2"; sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.043678,0.046522,0.051970,0.062366,0.082191,0.119987,0.192565", \ "0.047497,0.050324,0.055807,0.066217,0.086057,0.123874,0.196454", \ "0.062167,0.064992,0.070497,0.080992,0.100861,0.138684,0.211273", \ "0.089452,0.092769,0.098998,0.110565,0.130423,0.167858,0.240203", \ "0.113886,0.118515,0.126779,0.142640,0.169716,0.213835,0.286347", \ "0.132321,0.138398,0.149356,0.169801,0.204723,0.261570,0.349966", \ "0.143709,0.151247,0.165073,0.190313,0.233689,0.304210,0.413384"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.092200,0.099112,0.112505,0.138326,0.188632,0.286231,0.476090", \ "0.096639,0.103680,0.117328,0.143498,0.194257,0.292242,0.482436", \ "0.113197,0.120184,0.133833,0.160195,0.211228,0.309847,0.500650", \ "0.142905,0.149726,0.163053,0.189136,0.239907,0.338606,0.529750", \ "0.180963,0.189323,0.204765,0.233171,0.284245,0.382470,0.573506", \ "0.223425,0.233304,0.251668,0.284900,0.343584,0.445370,0.636024", \ "0.271383,0.283035,0.304557,0.342989,0.409792,0.523885,0.718995"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.031058,0.033262,0.037518,0.045841,0.062077,0.093731,0.155454", \ "0.031134,0.033301,0.037555,0.045877,0.062093,0.093738,0.155432", \ "0.030234,0.032499,0.037024,0.045827,0.062125,0.093760,0.155461", \ "0.039656,0.041457,0.044829,0.050904,0.063997,0.093642,0.155456", \ "0.057267,0.059616,0.064009,0.071287,0.083892,0.106165,0.157094", \ "0.078793,0.081850,0.087575,0.096861,0.112446,0.137699,0.179790", \ "0.103687,0.107506,0.114631,0.126459,0.145726,0.176015,0.223144"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.068049,0.074944,0.088284,0.113866,0.163075,0.255709,0.430645", \ "0.068002,0.074922,0.088334,0.113909,0.163092,0.255775,0.430668", \ "0.068064,0.074917,0.088294,0.113939,0.163058,0.255756,0.430655", \ "0.069802,0.076077,0.088579,0.113863,0.163033,0.255789,0.430684", \ "0.084745,0.090399,0.100566,0.121058,0.165164,0.255695,0.430667", \ "0.105888,0.111325,0.122213,0.142463,0.180956,0.261076,0.430645", \ "0.133067,0.138265,0.148898,0.169132,0.208191,0.281545,0.435800"); } } timing () { related_pin : "A2"; when : "!A1 & B1 & !B2 & C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.034634,0.037404,0.042737,0.052912,0.072357,0.109689,0.181755", \ "0.038423,0.041194,0.046544,0.056751,0.076222,0.113575,0.185650", \ "0.053565,0.056103,0.061292,0.071509,0.091017,0.128377,0.200461", \ "0.076565,0.080283,0.087222,0.099728,0.120771,0.157612,0.229392", \ "0.094970,0.100223,0.109478,0.126947,0.156015,0.202331,0.275672", \ "0.107001,0.113871,0.126230,0.148691,0.186334,0.246330,0.337814", \ "0.111879,0.120381,0.135860,0.163620,0.210412,0.284960,0.398156"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.089616,0.096497,0.109857,0.135722,0.185881,0.283274,0.472726", \ "0.094036,0.101049,0.114658,0.140856,0.191462,0.289272,0.479065", \ "0.110579,0.117587,0.131164,0.157509,0.208463,0.306881,0.497265", \ "0.140350,0.147175,0.160462,0.186463,0.237139,0.335550,0.526357", \ "0.177770,0.186156,0.201715,0.230342,0.281539,0.379509,0.570123", \ "0.219521,0.229507,0.248077,0.281579,0.340475,0.442480,0.632646", \ "0.266606,0.278408,0.300026,0.338918,0.406169,0.520561,0.715719"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.026049,0.028184,0.032371,0.040571,0.056686,0.088256,0.149852", \ "0.026036,0.028175,0.032351,0.040574,0.056690,0.088256,0.149831", \ "0.025693,0.027726,0.031809,0.040188,0.056742,0.088240,0.149851", \ "0.037933,0.039746,0.043035,0.048960,0.060715,0.088462,0.149812", \ "0.055961,0.058327,0.062847,0.070105,0.082643,0.104208,0.152693", \ "0.077542,0.080642,0.086561,0.096036,0.111614,0.136629,0.178012", \ "0.102330,0.106261,0.113592,0.125627,0.145040,0.175282,0.222089"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.055292,0.061499,0.073632,0.096935,0.142345,0.230790,0.402874", \ "0.055295,0.061507,0.073628,0.096865,0.142351,0.230814,0.402869", \ "0.055296,0.061497,0.073604,0.096900,0.142342,0.230817,0.402866", \ "0.057294,0.062826,0.073916,0.096815,0.142249,0.230789,0.402866", \ "0.069787,0.075177,0.085534,0.104246,0.144466,0.230659,0.402872", \ "0.086073,0.091708,0.102838,0.122762,0.160234,0.236050,0.402843", \ "0.106687,0.112509,0.124166,0.144818,0.183852,0.256589,0.408077"); } } timing () { related_pin : "A2"; when : "!A1 & B1 & !B2 & C1 & C2"; sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.027946,0.030264,0.034708,0.043166,0.059262,0.090061,0.149450", \ "0.032077,0.034393,0.038851,0.047327,0.063449,0.094264,0.153658", \ "0.048523,0.050743,0.054861,0.063057,0.079147,0.109966,0.169345", \ "0.069899,0.073341,0.079641,0.090964,0.109877,0.140843,0.199898", \ "0.086677,0.091576,0.100135,0.116138,0.142684,0.184653,0.248709", \ "0.097021,0.103461,0.115005,0.135697,0.170587,0.225576,0.308469", \ "0.100029,0.108052,0.122602,0.148556,0.192135,0.261036,0.364596"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.089449,0.096335,0.109693,0.135566,0.185728,0.283121,0.472593", \ "0.093697,0.100698,0.114284,0.140486,0.191084,0.288920,0.478747", \ "0.110332,0.117289,0.130919,0.157134,0.208042,0.306453,0.496851", \ "0.140252,0.147093,0.160327,0.186267,0.236837,0.335183,0.525906", \ "0.177719,0.186084,0.201739,0.230238,0.281394,0.379257,0.569779", \ "0.219566,0.229541,0.248072,0.281579,0.340444,0.442416,0.632398", \ "0.266935,0.278719,0.300365,0.339122,0.406297,0.520603,0.715658"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.021780,0.023551,0.027000,0.033765,0.047052,0.073133,0.124125", \ "0.021588,0.023405,0.026915,0.033734,0.047051,0.073136,0.124121", \ "0.022068,0.023567,0.026695,0.033199,0.046935,0.073161,0.124104", \ "0.035046,0.036588,0.039420,0.044413,0.053548,0.074491,0.124134", \ "0.052585,0.054657,0.058710,0.065121,0.075994,0.094281,0.130555", \ "0.073679,0.076468,0.081780,0.090352,0.104183,0.126048,0.161123", \ "0.098022,0.101614,0.108355,0.119268,0.136731,0.163561,0.204338"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.055296,0.061468,0.073612,0.096943,0.142355,0.230820,0.402896", \ "0.055300,0.061465,0.073616,0.096873,0.142353,0.230825,0.402891", \ "0.055299,0.061464,0.073614,0.096874,0.142354,0.230836,0.402889", \ "0.057314,0.062839,0.073930,0.096816,0.142258,0.230818,0.402886", \ "0.069795,0.075154,0.085523,0.104304,0.144493,0.230673,0.402895", \ "0.086063,0.091636,0.102730,0.122746,0.160193,0.236097,0.402870", \ "0.106571,0.112341,0.123994,0.144752,0.183843,0.256590,0.408105"); } } timing () { related_pin : "A2"; when : "!A1 & B1 & B2 & !C1 & C2"; sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.035153,0.037536,0.042078,0.050744,0.067160,0.098407,0.158308", \ "0.039278,0.041663,0.046227,0.054912,0.071352,0.102617,0.162497", \ "0.055277,0.057483,0.061911,0.070582,0.087042,0.118308,0.178174", \ "0.081485,0.084517,0.090147,0.100614,0.118380,0.149101,0.208686", \ "0.103989,0.108300,0.115881,0.130417,0.155099,0.194936,0.257355", \ "0.120473,0.126148,0.136370,0.155211,0.187374,0.239402,0.319388", \ "0.129780,0.136867,0.149820,0.173340,0.213625,0.278699,0.378474"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.092028,0.098935,0.112337,0.138190,0.188533,0.286120,0.475971", \ "0.096287,0.103333,0.116957,0.143153,0.193869,0.291899,0.482119", \ "0.112942,0.119898,0.133496,0.159840,0.210811,0.309396,0.500239", \ "0.142808,0.149620,0.162913,0.188926,0.239601,0.338162,0.529274", \ "0.180896,0.189218,0.204758,0.233064,0.284090,0.382211,0.573166", \ "0.223477,0.233324,0.251749,0.284903,0.343544,0.445310,0.635776", \ "0.271689,0.283319,0.304773,0.343187,0.409921,0.523927,0.718934"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.026027,0.027833,0.031317,0.038165,0.051553,0.077733,0.128877", \ "0.026053,0.027843,0.031344,0.038178,0.051572,0.077755,0.128841", \ "0.025127,0.026915,0.030439,0.037606,0.051550,0.077758,0.128838", \ "0.036327,0.037867,0.040744,0.045783,0.055540,0.078166,0.128833", \ "0.053482,0.055565,0.059495,0.065924,0.076848,0.095385,0.133557", \ "0.074405,0.077165,0.082301,0.090803,0.104634,0.126699,0.162155", \ "0.098786,0.102290,0.108848,0.119600,0.136979,0.163900,0.204974"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.068075,0.074931,0.088308,0.113938,0.163173,0.255830,0.430663", \ "0.068064,0.074958,0.088314,0.113873,0.163080,0.255735,0.430690", \ "0.068084,0.074981,0.088264,0.113971,0.163062,0.255785,0.430678", \ "0.069821,0.076100,0.088603,0.113859,0.163042,0.255689,0.430667", \ "0.084841,0.090368,0.100571,0.121138,0.165189,0.255707,0.430690", \ "0.105867,0.111309,0.122104,0.142497,0.180983,0.261146,0.430666", \ "0.132920,0.138140,0.148648,0.168963,0.208157,0.281551,0.435837"); } } timing () { related_pin : "A2"; when : "!A1 & B1 & B2 & C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.027946,0.030264,0.034708,0.043166,0.059262,0.090061,0.149450", \ "0.032077,0.034393,0.038851,0.047327,0.063449,0.094264,0.153658", \ "0.048523,0.050743,0.054861,0.063057,0.079147,0.109966,0.169345", \ "0.069899,0.073341,0.079641,0.090964,0.109877,0.140843,0.199898", \ "0.086677,0.091576,0.100135,0.116138,0.142684,0.184653,0.248709", \ "0.097021,0.103461,0.115005,0.135697,0.170587,0.225576,0.308469", \ "0.100029,0.108052,0.122602,0.148556,0.192135,0.261036,0.364596"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.089449,0.096335,0.109693,0.135566,0.185728,0.283121,0.472593", \ "0.093697,0.100698,0.114284,0.140486,0.191084,0.288920,0.478747", \ "0.110332,0.117289,0.130919,0.157134,0.208042,0.306453,0.496851", \ "0.140252,0.147093,0.160327,0.186267,0.236837,0.335183,0.525906", \ "0.177719,0.186084,0.201739,0.230238,0.281394,0.379257,0.569779", \ "0.219566,0.229541,0.248072,0.281579,0.340444,0.442416,0.632398", \ "0.266935,0.278719,0.300365,0.339122,0.406297,0.520603,0.715658"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.021780,0.023551,0.027000,0.033765,0.047052,0.073133,0.124125", \ "0.021588,0.023405,0.026915,0.033734,0.047051,0.073136,0.124121", \ "0.022068,0.023567,0.026695,0.033199,0.046935,0.073161,0.124104", \ "0.035046,0.036588,0.039420,0.044413,0.053548,0.074491,0.124134", \ "0.052585,0.054657,0.058710,0.065121,0.075994,0.094281,0.130555", \ "0.073679,0.076468,0.081780,0.090352,0.104183,0.126048,0.161123", \ "0.098022,0.101614,0.108355,0.119268,0.136731,0.163561,0.204338"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.055296,0.061468,0.073612,0.096943,0.142355,0.230820,0.402896", \ "0.055300,0.061465,0.073616,0.096873,0.142353,0.230825,0.402891", \ "0.055299,0.061464,0.073614,0.096874,0.142354,0.230836,0.402889", \ "0.057314,0.062839,0.073930,0.096816,0.142258,0.230818,0.402886", \ "0.069795,0.075154,0.085523,0.104304,0.144493,0.230673,0.402895", \ "0.086063,0.091636,0.102730,0.122746,0.160193,0.236097,0.402870", \ "0.106571,0.112341,0.123994,0.144752,0.183843,0.256590,0.408105"); } } timing () { related_pin : "A2"; when : "!A1 & B1 & B2 & C1 & C2"; sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.023059,0.024893,0.028402,0.035082,0.047764,0.071962,0.118545", \ "0.027498,0.029327,0.032838,0.039521,0.052207,0.076423,0.123012", \ "0.044267,0.046247,0.049899,0.056384,0.068923,0.093082,0.139637", \ "0.063609,0.066758,0.072413,0.082600,0.099405,0.126024,0.172136", \ "0.078463,0.082985,0.090851,0.105403,0.129389,0.166877,0.223171", \ "0.086913,0.092910,0.103622,0.122617,0.154497,0.204278,0.278269", \ "0.087884,0.095405,0.109006,0.133071,0.173268,0.236223,0.329668"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.089447,0.096318,0.109689,0.135563,0.185710,0.283125,0.472632", \ "0.093456,0.100455,0.114064,0.140242,0.190866,0.288721,0.478563", \ "0.110070,0.116999,0.130554,0.156745,0.207588,0.305974,0.496395", \ "0.140142,0.147026,0.160184,0.186068,0.236549,0.334795,0.525389", \ "0.177669,0.186000,0.201725,0.230125,0.281230,0.378997,0.569389", \ "0.219613,0.229567,0.248088,0.281578,0.340421,0.442260,0.632248", \ "0.267291,0.279040,0.300615,0.339338,0.406436,0.520657,0.715611"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.016974,0.018380,0.021119,0.026472,0.036958,0.057510,0.097768", \ "0.016764,0.018215,0.021011,0.026412,0.036929,0.057509,0.097779", \ "0.019366,0.020314,0.022346,0.026840,0.036759,0.057516,0.097774", \ "0.032128,0.033430,0.035859,0.040010,0.047320,0.061601,0.097802", \ "0.049039,0.050855,0.054398,0.059962,0.069222,0.084357,0.110408", \ "0.069590,0.072047,0.076752,0.084411,0.096398,0.115067,0.144165", \ "0.093480,0.096694,0.102756,0.112594,0.127947,0.151201,0.185900"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.055302,0.061432,0.073596,0.096936,0.142344,0.230825,0.402908", \ "0.055301,0.061434,0.073641,0.096866,0.142381,0.230844,0.402911", \ "0.055306,0.061432,0.073583,0.096890,0.142367,0.230851,0.402910", \ "0.057330,0.062851,0.073956,0.096818,0.142265,0.230834,0.402911", \ "0.069790,0.075148,0.085545,0.104328,0.144521,0.230686,0.402914", \ "0.086058,0.091563,0.102651,0.122760,0.160227,0.236091,0.402996", \ "0.106445,0.112165,0.123751,0.144685,0.183809,0.256591,0.408133"); } } timing () { related_pin : "B1"; when : "!A1 & A2 & !B2 & !C1 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.057343,0.060197,0.065675,0.076126,0.095998,0.133859,0.206511", \ "0.062527,0.065400,0.070913,0.081398,0.101310,0.139225,0.211943", \ "0.078086,0.080972,0.086489,0.097028,0.117026,0.155046,0.227849", \ "0.104782,0.107954,0.113831,0.125050,0.145076,0.183181,0.256175", \ "0.134525,0.138522,0.145810,0.159620,0.184052,0.226145,0.299969", \ "0.161602,0.166883,0.176246,0.194047,0.224762,0.275729,0.359263", \ "0.182419,0.189230,0.201487,0.224012,0.262862,0.325685,0.424390"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.117054,0.124076,0.137981,0.164346,0.215524,0.313684,0.504735", \ "0.119299,0.126412,0.140510,0.166946,0.218453,0.317038,0.508186", \ "0.131913,0.139018,0.153001,0.179884,0.231321,0.330586,0.522307", \ "0.163392,0.170295,0.183638,0.209953,0.261148,0.359982,0.551594", \ "0.214991,0.222574,0.236770,0.262202,0.311911,0.409493,0.599880", \ "0.272879,0.282297,0.299886,0.331583,0.386931,0.482957,0.671055", \ "0.335051,0.346253,0.367410,0.405264,0.471374,0.581486,0.768069"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.030989,0.033210,0.037484,0.045862,0.062106,0.093799,0.155541", \ "0.030996,0.033196,0.037494,0.045853,0.062127,0.093803,0.155567", \ "0.030772,0.033022,0.037373,0.045804,0.062111,0.093789,0.155533", \ "0.034564,0.036603,0.040370,0.047689,0.062631,0.093697,0.155526", \ "0.045126,0.047225,0.051281,0.058723,0.072802,0.099371,0.156162", \ "0.061586,0.063971,0.068581,0.076586,0.090921,0.117322,0.167523", \ "0.082542,0.085442,0.090881,0.100264,0.116216,0.143404,0.192757"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.118500,0.125262,0.138966,0.165078,0.214104,0.306438,0.481716", \ "0.118512,0.125265,0.138964,0.164927,0.214110,0.306447,0.481710", \ "0.118551,0.125232,0.138811,0.165018,0.213984,0.306432,0.481702", \ "0.118615,0.125141,0.138822,0.164848,0.213986,0.306429,0.481686", \ "0.132891,0.137831,0.148495,0.170149,0.214630,0.306420,0.481696", \ "0.168864,0.172927,0.181170,0.196833,0.232239,0.310258,0.481697", \ "0.210659,0.215233,0.223956,0.241198,0.272955,0.336435,0.485306"); } } timing () { related_pin : "B1"; when : "!A1 & A2 & !B2 & C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.048332,0.051099,0.056427,0.066610,0.086096,0.123464,0.195627", \ "0.053454,0.056238,0.061603,0.071848,0.091394,0.128827,0.200997", \ "0.068891,0.071712,0.077083,0.087397,0.107052,0.144614,0.216902", \ "0.093516,0.096806,0.102918,0.114462,0.134986,0.172683,0.245203", \ "0.118760,0.123219,0.131203,0.145981,0.171547,0.214728,0.288935", \ "0.139447,0.145476,0.155932,0.175566,0.208611,0.261889,0.347191", \ "0.153045,0.160827,0.174578,0.199734,0.241867,0.308482,0.410290"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.114324,0.121339,0.135017,0.161418,0.212394,0.310616,0.501267", \ "0.116561,0.123665,0.137667,0.164144,0.215615,0.313892,0.504738", \ "0.129157,0.136264,0.150164,0.176900,0.228563,0.327619,0.518912", \ "0.160705,0.167586,0.180905,0.207148,0.258176,0.356888,0.548130", \ "0.211890,0.219553,0.233900,0.259510,0.309100,0.406442,0.596421", \ "0.268881,0.278394,0.296076,0.328095,0.383854,0.479953,0.667684", \ "0.330107,0.341428,0.362653,0.400896,0.467496,0.578145,0.764710"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.025679,0.027874,0.032145,0.040461,0.056663,0.088233,0.149916", \ "0.025682,0.027878,0.032138,0.040468,0.056662,0.088252,0.149862", \ "0.025470,0.027683,0.031996,0.040388,0.056625,0.088247,0.149846", \ "0.030944,0.032886,0.036714,0.043831,0.058028,0.088166,0.149839", \ "0.042757,0.044796,0.048749,0.055997,0.069607,0.095601,0.150986", \ "0.060029,0.062453,0.067078,0.075047,0.089053,0.114640,0.163888", \ "0.081575,0.084510,0.090065,0.099431,0.115209,0.141821,0.190082"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.088422,0.095347,0.108887,0.134842,0.184219,0.277067,0.452651", \ "0.088444,0.095390,0.108942,0.134844,0.184474,0.277079,0.452671", \ "0.088394,0.095371,0.108880,0.134728,0.184279,0.277145,0.452694", \ "0.088582,0.095272,0.108661,0.134576,0.184086,0.277071,0.452654", \ "0.103460,0.108400,0.118967,0.140205,0.184810,0.277029,0.452693", \ "0.134008,0.139425,0.149746,0.167988,0.202771,0.280983,0.452685", \ "0.168780,0.174841,0.186375,0.206962,0.242831,0.307493,0.456405"); } } timing () { related_pin : "B1"; when : "!A1 & A2 & !B2 & C1 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.039302,0.041595,0.046002,0.054421,0.070490,0.101266,0.160595", \ "0.044698,0.047010,0.051452,0.059916,0.076043,0.106869,0.166235", \ "0.060630,0.062957,0.067374,0.075859,0.092054,0.122978,0.182446", \ "0.084232,0.087163,0.092505,0.102517,0.120225,0.151584,0.211247", \ "0.107877,0.111888,0.119060,0.132212,0.154815,0.192415,0.255633", \ "0.126920,0.132492,0.142066,0.159790,0.189505,0.236852,0.311504", \ "0.138895,0.146086,0.158901,0.181710,0.220155,0.280239,0.370761"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.114071,0.121090,0.134856,0.161333,0.212157,0.310409,0.501069", \ "0.115930,0.123003,0.136849,0.163461,0.214670,0.313237,0.504117", \ "0.128600,0.135673,0.149541,0.176277,0.227770,0.326800,0.518122", \ "0.160525,0.167417,0.180715,0.206858,0.257732,0.356405,0.547499", \ "0.211959,0.219629,0.233918,0.259510,0.309040,0.406243,0.596070", \ "0.269278,0.278764,0.296419,0.328392,0.384065,0.480055,0.667609", \ "0.330934,0.342246,0.363348,0.401573,0.468079,0.578615,0.765024"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.021018,0.022831,0.026367,0.033252,0.046630,0.072727,0.123648", \ "0.021028,0.022846,0.026371,0.033244,0.046627,0.072729,0.123638", \ "0.021023,0.022745,0.026192,0.033104,0.046577,0.072713,0.123632", \ "0.027016,0.028662,0.031838,0.037874,0.049227,0.073182,0.123604", \ "0.038445,0.040197,0.043588,0.049709,0.061116,0.082832,0.126606", \ "0.054877,0.056993,0.060996,0.067879,0.079803,0.101346,0.142417", \ "0.075551,0.078124,0.082979,0.091254,0.104798,0.127385,0.167890"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.088449,0.095331,0.108846,0.134863,0.184209,0.277089,0.452709", \ "0.088415,0.095291,0.108812,0.134797,0.184244,0.277100,0.452703", \ "0.088418,0.095291,0.108791,0.134728,0.184156,0.277089,0.452693", \ "0.088610,0.095198,0.108667,0.134523,0.184065,0.277078,0.452701", \ "0.103437,0.108373,0.118847,0.140210,0.184842,0.277045,0.452706", \ "0.133779,0.139178,0.149523,0.167848,0.202592,0.280937,0.452683", \ "0.168289,0.174382,0.185920,0.206582,0.242532,0.307349,0.456400"); } } timing () { related_pin : "B1"; when : "A1 & !A2 & !B2 & !C1 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.048332,0.051099,0.056427,0.066610,0.086096,0.123464,0.195627", \ "0.053454,0.056238,0.061603,0.071848,0.091394,0.128827,0.200997", \ "0.068891,0.071712,0.077083,0.087397,0.107052,0.144614,0.216902", \ "0.093516,0.096806,0.102918,0.114462,0.134986,0.172683,0.245203", \ "0.118760,0.123219,0.131203,0.145981,0.171547,0.214728,0.288935", \ "0.139447,0.145476,0.155932,0.175566,0.208611,0.261889,0.347191", \ "0.153045,0.160827,0.174578,0.199734,0.241867,0.308482,0.410290"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.114324,0.121339,0.135017,0.161418,0.212394,0.310616,0.501267", \ "0.116561,0.123665,0.137667,0.164144,0.215615,0.313892,0.504738", \ "0.129157,0.136264,0.150164,0.176900,0.228563,0.327619,0.518912", \ "0.160705,0.167586,0.180905,0.207148,0.258176,0.356888,0.548130", \ "0.211890,0.219553,0.233900,0.259510,0.309100,0.406442,0.596421", \ "0.268881,0.278394,0.296076,0.328095,0.383854,0.479953,0.667684", \ "0.330107,0.341428,0.362653,0.400896,0.467496,0.578145,0.764710"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.025679,0.027874,0.032145,0.040461,0.056663,0.088233,0.149916", \ "0.025682,0.027878,0.032138,0.040468,0.056662,0.088252,0.149862", \ "0.025470,0.027683,0.031996,0.040388,0.056625,0.088247,0.149846", \ "0.030944,0.032886,0.036714,0.043831,0.058028,0.088166,0.149839", \ "0.042757,0.044796,0.048749,0.055997,0.069607,0.095601,0.150986", \ "0.060029,0.062453,0.067078,0.075047,0.089053,0.114640,0.163888", \ "0.081575,0.084510,0.090065,0.099431,0.115209,0.141821,0.190082"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.088422,0.095347,0.108887,0.134842,0.184219,0.277067,0.452651", \ "0.088444,0.095390,0.108942,0.134844,0.184474,0.277079,0.452671", \ "0.088394,0.095371,0.108880,0.134728,0.184279,0.277145,0.452694", \ "0.088582,0.095272,0.108661,0.134576,0.184086,0.277071,0.452654", \ "0.103460,0.108400,0.118967,0.140205,0.184810,0.277029,0.452693", \ "0.134008,0.139425,0.149746,0.167988,0.202771,0.280983,0.452685", \ "0.168780,0.174841,0.186375,0.206962,0.242831,0.307493,0.456405"); } } timing () { related_pin : "B1"; when : "A1 & !A2 & !B2 & C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.040459,0.043035,0.048032,0.057746,0.076619,0.113337,0.184843", \ "0.045470,0.048086,0.053143,0.062939,0.081903,0.118699,0.190258", \ "0.060528,0.063239,0.068422,0.078347,0.097494,0.134474,0.206181", \ "0.081654,0.085243,0.091758,0.103766,0.124904,0.162430,0.234403", \ "0.100882,0.106019,0.115116,0.131375,0.158590,0.203177,0.278021", \ "0.113782,0.120812,0.133127,0.155225,0.191410,0.247587,0.335033", \ "0.118617,0.127868,0.144052,0.172612,0.219246,0.290407,0.395939"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.111562,0.118574,0.132176,0.158561,0.209481,0.307544,0.497802", \ "0.113799,0.120902,0.134707,0.161316,0.212404,0.310738,0.501275", \ "0.126383,0.133485,0.147283,0.174033,0.225434,0.324415,0.515424", \ "0.157991,0.164885,0.178168,0.204412,0.255252,0.353739,0.544656", \ "0.208776,0.216531,0.230901,0.256816,0.306299,0.403396,0.592977", \ "0.264884,0.274508,0.292352,0.324628,0.380774,0.477036,0.664212", \ "0.325151,0.336623,0.357980,0.396628,0.463701,0.574875,0.761348"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.019899,0.022083,0.026366,0.034719,0.050983,0.082584,0.144153", \ "0.019905,0.022086,0.026374,0.034726,0.050984,0.082589,0.144140", \ "0.020288,0.022321,0.026452,0.034745,0.050966,0.082598,0.144138", \ "0.027383,0.029279,0.032998,0.040055,0.053661,0.082876,0.144124", \ "0.040612,0.042639,0.046580,0.053563,0.066723,0.092148,0.146001", \ "0.058953,0.061393,0.066053,0.073809,0.087540,0.112255,0.160573", \ "0.081681,0.084571,0.090038,0.099300,0.114738,0.140636,0.187671"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.072966,0.079282,0.091765,0.115669,0.161977,0.251150,0.424500", \ "0.072967,0.079278,0.091795,0.115767,0.161927,0.251136,0.424483", \ "0.072973,0.079281,0.091751,0.115538,0.161730,0.251145,0.424490", \ "0.073264,0.079261,0.091663,0.115496,0.161629,0.251109,0.424502", \ "0.088531,0.092955,0.102290,0.121329,0.162470,0.251177,0.424487", \ "0.112363,0.118070,0.128912,0.147662,0.180737,0.255184,0.424481", \ "0.138786,0.145576,0.158429,0.180445,0.217867,0.281798,0.428390"); } } timing () { related_pin : "B1"; when : "A1 & !A2 & !B2 & C1 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.033297,0.035404,0.039513,0.047492,0.063004,0.093184,0.151963", \ "0.038613,0.040751,0.044906,0.052957,0.068541,0.098785,0.157608", \ "0.054063,0.056319,0.060600,0.068763,0.084493,0.114891,0.173830", \ "0.074095,0.077278,0.083039,0.093525,0.111723,0.143388,0.202602", \ "0.092000,0.096686,0.104899,0.119433,0.143621,0.182609,0.246793", \ "0.103705,0.110194,0.121551,0.141552,0.174285,0.224413,0.301101", \ "0.107206,0.115821,0.130944,0.157119,0.199738,0.264232,0.358248"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.111327,0.118351,0.131968,0.158299,0.209257,0.307323,0.497607", \ "0.113156,0.120252,0.133999,0.160581,0.211727,0.310112,0.500655", \ "0.125825,0.132921,0.146733,0.173374,0.224733,0.323668,0.514674", \ "0.157816,0.164715,0.177965,0.204108,0.254807,0.353221,0.544034", \ "0.208845,0.216613,0.230971,0.256822,0.306227,0.403155,0.592590", \ "0.265278,0.274888,0.292712,0.324922,0.380989,0.477115,0.664171", \ "0.325997,0.337446,0.358756,0.397323,0.464288,0.575329,0.761647"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.016229,0.018025,0.021571,0.028469,0.041894,0.068037,0.118976", \ "0.016227,0.018025,0.021566,0.028461,0.041890,0.068040,0.118965", \ "0.017101,0.018722,0.021953,0.028527,0.041886,0.068039,0.118960", \ "0.024187,0.025768,0.028883,0.034754,0.046001,0.069139,0.118957", \ "0.036810,0.038569,0.041924,0.047904,0.058909,0.080087,0.122890", \ "0.054341,0.056467,0.060465,0.067271,0.078840,0.099602,0.139830", \ "0.076238,0.078769,0.083545,0.091644,0.104873,0.126742,0.166149"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.072970,0.079250,0.091746,0.115647,0.161984,0.251216,0.424528", \ "0.072977,0.079255,0.091697,0.115597,0.161949,0.251175,0.424509", \ "0.072977,0.079253,0.091750,0.115514,0.161759,0.251147,0.424512", \ "0.073285,0.079248,0.091584,0.115486,0.161584,0.251133,0.424510", \ "0.088510,0.092908,0.102205,0.121339,0.162477,0.251090,0.424531", \ "0.112190,0.117870,0.128696,0.147529,0.180658,0.255155,0.424516", \ "0.138392,0.145171,0.157940,0.180105,0.217583,0.281665,0.428382"); } } timing () { related_pin : "B1"; when : "A1 & A2 & !B2 & !C1 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.043069,0.045465,0.050062,0.058859,0.075665,0.107878,0.169993", \ "0.048163,0.050577,0.055209,0.064059,0.080922,0.113189,0.175374", \ "0.064626,0.067056,0.071682,0.080579,0.097534,0.129922,0.192188", \ "0.091030,0.094095,0.099681,0.110066,0.128404,0.160877,0.223324", \ "0.116622,0.120933,0.128690,0.142845,0.166961,0.206570,0.271666", \ "0.136792,0.142723,0.152978,0.172126,0.204374,0.255501,0.334493", \ "0.149613,0.157258,0.170790,0.195398,0.236779,0.301973,0.399923"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.122801,0.129779,0.143462,0.169826,0.220633,0.318648,0.509115", \ "0.125161,0.132244,0.146139,0.172596,0.223721,0.322199,0.512878", \ "0.137678,0.144728,0.158617,0.185302,0.236643,0.335672,0.526942", \ "0.169081,0.175947,0.189228,0.215369,0.266214,0.364875,0.556010", \ "0.221171,0.228572,0.242426,0.267591,0.317118,0.414408,0.604271", \ "0.280399,0.289537,0.306661,0.337817,0.392321,0.487884,0.675508", \ "0.343835,0.354762,0.375224,0.412495,0.477696,0.586627,0.772597"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.022932,0.024800,0.028436,0.035506,0.049265,0.076028,0.128271", \ "0.022939,0.024809,0.028433,0.035538,0.049250,0.076054,0.128312", \ "0.022697,0.024577,0.028253,0.035411,0.049229,0.076048,0.128273", \ "0.029637,0.031219,0.034287,0.040040,0.051355,0.076123,0.128258", \ "0.043280,0.045061,0.048507,0.054616,0.065722,0.086405,0.130385", \ "0.061733,0.063908,0.068092,0.075386,0.087728,0.109108,0.148148", \ "0.084398,0.087035,0.092094,0.100784,0.115390,0.139237,0.179341"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.093080,0.100114,0.113651,0.139696,0.189189,0.282035,0.457668", \ "0.093164,0.100056,0.113721,0.139710,0.189195,0.282058,0.457665", \ "0.093099,0.100090,0.113647,0.139679,0.189134,0.282042,0.457659", \ "0.092975,0.099992,0.113558,0.139490,0.188929,0.282036,0.457668", \ "0.105713,0.111006,0.121954,0.143903,0.189336,0.282016,0.457682", \ "0.136191,0.141624,0.151894,0.169879,0.205706,0.285243,0.457674", \ "0.171117,0.177154,0.188737,0.209237,0.245065,0.310450,0.460850"); } } timing () { related_pin : "B1"; when : "A1 & A2 & !B2 & C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.036601,0.038802,0.043102,0.051458,0.067694,0.099283,0.160788", \ "0.041605,0.043844,0.048196,0.056621,0.072937,0.104585,0.166140", \ "0.057637,0.059967,0.064439,0.072988,0.089471,0.121279,0.182969", \ "0.080012,0.083420,0.089503,0.100556,0.119513,0.152125,0.214075", \ "0.099251,0.104222,0.113106,0.128772,0.154850,0.196179,0.262315", \ "0.111703,0.118652,0.130682,0.152193,0.187558,0.241944,0.323478", \ "0.115974,0.124960,0.140918,0.168710,0.214536,0.284331,0.386314"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.120075,0.127038,0.140578,0.166791,0.217549,0.315541,0.505638", \ "0.122397,0.129485,0.143210,0.169634,0.220671,0.319014,0.509404", \ "0.134911,0.141972,0.155731,0.182284,0.233688,0.332485,0.523464", \ "0.166361,0.173247,0.186436,0.212562,0.263341,0.361720,0.552538", \ "0.218181,0.225659,0.239566,0.264889,0.314330,0.411287,0.600765", \ "0.276544,0.285804,0.303066,0.334470,0.389290,0.484932,0.672034", \ "0.339074,0.350125,0.370763,0.408296,0.473934,0.583384,0.769202"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.017933,0.019798,0.023461,0.030569,0.044366,0.071187,0.123386", \ "0.017935,0.019795,0.023451,0.030558,0.044358,0.071180,0.123379", \ "0.018459,0.020155,0.023559,0.030568,0.044362,0.071205,0.123378", \ "0.027040,0.028604,0.031661,0.037285,0.048051,0.071785,0.123380", \ "0.041691,0.043509,0.046866,0.053011,0.063888,0.084053,0.126477", \ "0.061158,0.063323,0.067521,0.074608,0.086885,0.107769,0.145979", \ "0.085129,0.087651,0.092554,0.101035,0.115283,0.138689,0.178018"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.077346,0.083679,0.096254,0.120135,0.166422,0.256070,0.429451", \ "0.077347,0.083672,0.096290,0.120140,0.166530,0.255954,0.429459", \ "0.077349,0.083679,0.096280,0.120107,0.166460,0.255950,0.429446", \ "0.077245,0.083594,0.096201,0.120050,0.166314,0.255999,0.429444", \ "0.090541,0.095225,0.104948,0.124683,0.166742,0.255929,0.429463", \ "0.115175,0.120737,0.131526,0.150129,0.183566,0.259294,0.429437", \ "0.142183,0.148805,0.161461,0.183195,0.220357,0.284637,0.432779"); } } timing () { related_pin : "B1"; when : "A1 & A2 & !B2 & C1 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.029446,0.031191,0.034592,0.041196,0.054031,0.078994,0.127619", \ "0.034771,0.036543,0.039982,0.046640,0.059535,0.084556,0.133213", \ "0.051320,0.053297,0.056905,0.063671,0.076705,0.101859,0.150620", \ "0.072377,0.075380,0.080742,0.090371,0.106614,0.133763,0.182815", \ "0.090186,0.094745,0.102741,0.116696,0.139826,0.175845,0.232099", \ "0.101402,0.107741,0.118737,0.138297,0.170216,0.218607,0.289855", \ "0.104314,0.112691,0.127474,0.152998,0.194799,0.257844,0.348453"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.119821,0.126813,0.140363,0.166573,0.217327,0.315294,0.505455", \ "0.121745,0.128802,0.142487,0.168945,0.219971,0.318341,0.508777", \ "0.134355,0.141409,0.155089,0.181670,0.232897,0.331739,0.522685", \ "0.166169,0.173044,0.186260,0.212256,0.262970,0.361154,0.551883", \ "0.218247,0.225724,0.239645,0.264896,0.314264,0.411142,0.600379", \ "0.276926,0.286175,0.303428,0.334766,0.389513,0.485055,0.671995", \ "0.339906,0.350932,0.371551,0.409030,0.474550,0.583863,0.769574"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.014000,0.015486,0.018376,0.024023,0.034982,0.056313,0.097793", \ "0.014000,0.015480,0.018383,0.024015,0.034993,0.056304,0.097810", \ "0.015247,0.016493,0.019022,0.024204,0.034992,0.056299,0.097792", \ "0.023785,0.025086,0.027577,0.032168,0.040782,0.058216,0.097786", \ "0.037622,0.039174,0.042013,0.047244,0.056210,0.072502,0.104224", \ "0.056152,0.058021,0.061621,0.067776,0.078008,0.095272,0.126132", \ "0.079222,0.081416,0.085601,0.093004,0.105044,0.124637,0.156997"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.077349,0.083672,0.096226,0.120230,0.166582,0.256016,0.429472", \ "0.077352,0.083680,0.096204,0.120174,0.166420,0.256013,0.429475", \ "0.077351,0.083680,0.096189,0.120097,0.166378,0.255965,0.429478", \ "0.077261,0.083590,0.096161,0.120065,0.166411,0.255969,0.429468", \ "0.090524,0.095187,0.104871,0.124683,0.166756,0.255978,0.429486", \ "0.115013,0.120602,0.131228,0.150023,0.183493,0.259304,0.429480", \ "0.141791,0.148429,0.160952,0.182882,0.220068,0.284492,0.432798"); } } timing () { related_pin : "B2"; when : "!A1 & A2 & !B1 & !C1 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.066457,0.069372,0.074915,0.085485,0.105585,0.143782,0.216834", \ "0.070983,0.073832,0.079400,0.089993,0.110091,0.148296,0.221350", \ "0.086269,0.089158,0.094727,0.105342,0.125465,0.163710,0.236798", \ "0.113891,0.116935,0.122687,0.133572,0.153651,0.191923,0.265119", \ "0.146983,0.150713,0.157449,0.170627,0.194208,0.235500,0.309088", \ "0.179029,0.183798,0.192446,0.208932,0.237934,0.287093,0.369167", \ "0.205708,0.211881,0.222955,0.243889,0.280170,0.340077,0.436140"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.130547,0.137567,0.151368,0.177920,0.228737,0.327112,0.518065", \ "0.135343,0.142388,0.156221,0.182759,0.233818,0.332421,0.523537", \ "0.152074,0.159213,0.173177,0.199907,0.251376,0.350321,0.541736", \ "0.181581,0.188632,0.202358,0.228896,0.280450,0.379549,0.571254", \ "0.225319,0.232863,0.247302,0.273641,0.324604,0.423758,0.615474", \ "0.276093,0.284770,0.301441,0.331818,0.387187,0.486546,0.678300", \ "0.333332,0.343370,0.362354,0.397006,0.459192,0.568321,0.761325"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.035946,0.038203,0.042518,0.050992,0.067381,0.099232,0.161110", \ "0.035960,0.038179,0.042545,0.050975,0.067384,0.099209,0.161137", \ "0.035848,0.038109,0.042495,0.050961,0.067393,0.099214,0.161119", \ "0.038445,0.040455,0.044397,0.052124,0.067666,0.099193,0.161143", \ "0.048319,0.050473,0.054617,0.062159,0.076548,0.103790,0.161574", \ "0.064179,0.066553,0.071169,0.079226,0.093806,0.120729,0.171754", \ "0.084698,0.087544,0.092879,0.102240,0.118278,0.145965,0.196100"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.118462,0.125280,0.138835,0.165027,0.214026,0.306445,0.481684", \ "0.118459,0.125290,0.138922,0.164977,0.214004,0.306440,0.481707", \ "0.118550,0.125177,0.138837,0.165025,0.214078,0.306450,0.481692", \ "0.118525,0.125277,0.138879,0.164846,0.214011,0.306428,0.481685", \ "0.125729,0.131512,0.143759,0.167481,0.214135,0.306404,0.481684", \ "0.148555,0.153573,0.163871,0.183596,0.224617,0.308925,0.481680", \ "0.176780,0.181851,0.191908,0.211865,0.250012,0.324923,0.484607"); } } timing () { related_pin : "B2"; when : "!A1 & A2 & !B1 & C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.056869,0.059715,0.065167,0.075583,0.095411,0.133221,0.205821", \ "0.061354,0.064191,0.069655,0.080071,0.099914,0.137737,0.210344", \ "0.076635,0.079491,0.084953,0.095394,0.115266,0.153140,0.225787", \ "0.103001,0.106138,0.112041,0.123208,0.143374,0.181320,0.254109", \ "0.132591,0.136586,0.143824,0.157679,0.182116,0.224207,0.297996", \ "0.159225,0.164534,0.173986,0.191854,0.222709,0.273711,0.357241", \ "0.179572,0.186429,0.198696,0.221515,0.260576,0.323566,0.422333"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.127826,0.134822,0.148606,0.174912,0.225749,0.324025,0.514642", \ "0.132589,0.139642,0.153416,0.179884,0.230930,0.329427,0.520087", \ "0.149340,0.156451,0.170307,0.196978,0.248419,0.347152,0.538287", \ "0.178863,0.185885,0.199569,0.226095,0.277406,0.376409,0.567850", \ "0.222343,0.229922,0.244484,0.270883,0.321754,0.420688,0.612068", \ "0.272561,0.281308,0.297980,0.328582,0.384167,0.483545,0.674889", \ "0.329182,0.339266,0.358332,0.393211,0.455678,0.565060,0.757934"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.030955,0.033174,0.037460,0.045816,0.062076,0.093749,0.155446", \ "0.030952,0.033165,0.037456,0.045834,0.062075,0.093738,0.155452", \ "0.030815,0.033052,0.037377,0.045785,0.062067,0.093739,0.155464", \ "0.034892,0.036910,0.040736,0.048042,0.062959,0.093731,0.155458", \ "0.045744,0.047827,0.051913,0.059260,0.073242,0.099758,0.156307", \ "0.062313,0.064725,0.069352,0.077344,0.091608,0.117880,0.167916", \ "0.083162,0.086084,0.091551,0.100991,0.116970,0.144131,0.193291"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.088368,0.095395,0.108868,0.134915,0.184202,0.277079,0.452672", \ "0.088372,0.095403,0.108837,0.134778,0.184128,0.277107,0.452693", \ "0.088427,0.095349,0.108902,0.134840,0.184259,0.277080,0.452676", \ "0.088439,0.095375,0.108752,0.134618,0.184068,0.277084,0.452690", \ "0.095955,0.101816,0.113761,0.137308,0.184246,0.277016,0.452689", \ "0.115247,0.121159,0.132798,0.154077,0.194939,0.279590,0.452681", \ "0.138696,0.144796,0.156770,0.178780,0.219628,0.295794,0.455646"); } } timing () { related_pin : "B2"; when : "!A1 & A2 & !B1 & C1 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.045995,0.048346,0.052873,0.061508,0.077895,0.109079,0.168832", \ "0.050875,0.053231,0.057765,0.066402,0.082800,0.113982,0.173740", \ "0.066607,0.068965,0.073497,0.082136,0.098551,0.129779,0.189576", \ "0.092241,0.095010,0.100127,0.109798,0.127130,0.158467,0.218392", \ "0.119981,0.123620,0.130115,0.142315,0.163823,0.200442,0.262862", \ "0.144568,0.149526,0.158130,0.174170,0.201841,0.247040,0.320070", \ "0.162989,0.169370,0.180634,0.201401,0.236854,0.293562,0.381215"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.127577,0.134592,0.148352,0.174705,0.225823,0.323813,0.514450", \ "0.131965,0.139015,0.152870,0.179343,0.230295,0.328728,0.519492", \ "0.148881,0.155941,0.169791,0.196414,0.247961,0.346475,0.537596", \ "0.178664,0.185717,0.199320,0.225781,0.277024,0.375927,0.567241", \ "0.222252,0.229810,0.244388,0.270739,0.321568,0.420453,0.611641", \ "0.272559,0.281287,0.297897,0.328546,0.384081,0.483507,0.674640", \ "0.329410,0.339475,0.358522,0.393377,0.455756,0.565144,0.757855"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.025482,0.027291,0.030835,0.037728,0.051127,0.077269,0.128243", \ "0.025475,0.027283,0.030835,0.037728,0.051136,0.077261,0.128242", \ "0.025314,0.027127,0.030687,0.037652,0.051115,0.077257,0.128242", \ "0.030289,0.031954,0.035170,0.041257,0.052982,0.077558,0.128229", \ "0.040866,0.042624,0.046066,0.052342,0.064018,0.086064,0.130726", \ "0.056609,0.058695,0.062728,0.069664,0.081786,0.103863,0.145539", \ "0.076524,0.079065,0.083907,0.092229,0.105982,0.129058,0.170412"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.088392,0.095348,0.108795,0.134934,0.184488,0.277095,0.452690", \ "0.088386,0.095344,0.108861,0.135004,0.184141,0.277100,0.452699", \ "0.088403,0.095289,0.108753,0.134845,0.184455,0.277102,0.452706", \ "0.088407,0.095327,0.108742,0.134620,0.184124,0.277097,0.452702", \ "0.095992,0.101815,0.113727,0.137322,0.184265,0.277096,0.452699", \ "0.115257,0.121117,0.132651,0.154170,0.194941,0.279620,0.452704", \ "0.138604,0.144714,0.156647,0.178706,0.219615,0.295845,0.455676"); } } timing () { related_pin : "B2"; when : "A1 & !A2 & !B1 & !C1 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.056869,0.059715,0.065167,0.075583,0.095411,0.133221,0.205821", \ "0.061354,0.064191,0.069655,0.080071,0.099914,0.137737,0.210344", \ "0.076635,0.079491,0.084953,0.095394,0.115266,0.153140,0.225787", \ "0.103001,0.106138,0.112041,0.123208,0.143374,0.181320,0.254109", \ "0.132591,0.136586,0.143824,0.157679,0.182116,0.224207,0.297996", \ "0.159225,0.164534,0.173986,0.191854,0.222709,0.273711,0.357241", \ "0.179572,0.186429,0.198696,0.221515,0.260576,0.323566,0.422333"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.127826,0.134822,0.148606,0.174912,0.225749,0.324025,0.514642", \ "0.132589,0.139642,0.153416,0.179884,0.230930,0.329427,0.520087", \ "0.149340,0.156451,0.170307,0.196978,0.248419,0.347152,0.538287", \ "0.178863,0.185885,0.199569,0.226095,0.277406,0.376409,0.567850", \ "0.222343,0.229922,0.244484,0.270883,0.321754,0.420688,0.612068", \ "0.272561,0.281308,0.297980,0.328582,0.384167,0.483545,0.674889", \ "0.329182,0.339266,0.358332,0.393211,0.455678,0.565060,0.757934"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.030955,0.033174,0.037460,0.045816,0.062076,0.093749,0.155446", \ "0.030952,0.033165,0.037456,0.045834,0.062075,0.093738,0.155452", \ "0.030815,0.033052,0.037377,0.045785,0.062067,0.093739,0.155464", \ "0.034892,0.036910,0.040736,0.048042,0.062959,0.093731,0.155458", \ "0.045744,0.047827,0.051913,0.059260,0.073242,0.099758,0.156307", \ "0.062313,0.064725,0.069352,0.077344,0.091608,0.117880,0.167916", \ "0.083162,0.086084,0.091551,0.100991,0.116970,0.144131,0.193291"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.088368,0.095395,0.108868,0.134915,0.184202,0.277079,0.452672", \ "0.088372,0.095403,0.108837,0.134778,0.184128,0.277107,0.452693", \ "0.088427,0.095349,0.108902,0.134840,0.184259,0.277080,0.452676", \ "0.088439,0.095375,0.108752,0.134618,0.184068,0.277084,0.452690", \ "0.095955,0.101816,0.113761,0.137308,0.184246,0.277016,0.452689", \ "0.115247,0.121159,0.132798,0.154077,0.194939,0.279590,0.452681", \ "0.138696,0.144796,0.156770,0.178780,0.219628,0.295794,0.455646"); } } timing () { related_pin : "B2"; when : "A1 & !A2 & !B1 & C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.047896,0.050652,0.055958,0.066119,0.085573,0.122946,0.195048", \ "0.052380,0.055135,0.060446,0.070615,0.090077,0.127443,0.199573", \ "0.067603,0.070373,0.075692,0.085900,0.105416,0.142841,0.214983", \ "0.091802,0.095095,0.101232,0.112745,0.133285,0.170931,0.243242", \ "0.116728,0.121253,0.129218,0.144109,0.169712,0.212856,0.287059", \ "0.136906,0.142977,0.153620,0.173389,0.206608,0.259933,0.345273", \ "0.149896,0.157786,0.171833,0.197108,0.239516,0.306391,0.408323"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.125075,0.132093,0.145661,0.171950,0.222829,0.320885,0.511174", \ "0.129842,0.136899,0.150600,0.177019,0.228033,0.326242,0.516624", \ "0.146566,0.153663,0.167436,0.194066,0.245322,0.343988,0.534832", \ "0.176112,0.183145,0.196767,0.223287,0.274501,0.373282,0.564356", \ "0.219337,0.226963,0.241563,0.268143,0.318939,0.417609,0.608585", \ "0.269046,0.277852,0.294481,0.325283,0.380994,0.480549,0.671411", \ "0.325028,0.335210,0.354243,0.389358,0.452149,0.561768,0.754527"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.025724,0.027906,0.032160,0.040487,0.056667,0.088292,0.149888", \ "0.025707,0.027884,0.032160,0.040473,0.056663,0.088256,0.149902", \ "0.025765,0.027908,0.032144,0.040479,0.056673,0.088262,0.149845", \ "0.031415,0.033347,0.037135,0.044281,0.058446,0.088380,0.149805", \ "0.043477,0.045525,0.049480,0.056652,0.070197,0.096061,0.151239", \ "0.060832,0.063259,0.067919,0.075855,0.089807,0.115306,0.164366", \ "0.082220,0.085183,0.090733,0.100176,0.116050,0.142628,0.190725"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.072962,0.079303,0.091782,0.115599,0.161880,0.251139,0.424500", \ "0.072964,0.079301,0.091774,0.115554,0.161827,0.251119,0.424505", \ "0.072970,0.079293,0.091775,0.115587,0.161872,0.251124,0.424489", \ "0.072963,0.079289,0.091653,0.115480,0.161756,0.251217,0.424520", \ "0.080711,0.086049,0.096861,0.118261,0.161847,0.251106,0.424492", \ "0.095777,0.101642,0.113235,0.133991,0.172586,0.253626,0.424485", \ "0.114069,0.120331,0.132736,0.154740,0.195269,0.269798,0.427575"); } } timing () { related_pin : "B2"; when : "A1 & !A2 & !B1 & C1 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.038946,0.041228,0.045621,0.054024,0.070075,0.100835,0.160150", \ "0.043827,0.046111,0.050508,0.058916,0.074971,0.105734,0.165087", \ "0.059487,0.061783,0.066187,0.074616,0.090710,0.121520,0.180877", \ "0.082687,0.085619,0.091006,0.101003,0.118708,0.150122,0.209675", \ "0.105990,0.110095,0.117297,0.130532,0.153141,0.190783,0.254029", \ "0.124500,0.130152,0.139905,0.157723,0.187656,0.235122,0.309816", \ "0.135827,0.143179,0.156159,0.179212,0.217974,0.278320,0.368997"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.124846,0.131854,0.145453,0.171719,0.222579,0.320700,0.510994", \ "0.129221,0.136268,0.149969,0.176379,0.227398,0.325581,0.516030", \ "0.146112,0.153186,0.166976,0.193500,0.244727,0.343335,0.534132", \ "0.175915,0.182969,0.196522,0.222980,0.274069,0.372830,0.563774", \ "0.219246,0.226860,0.241421,0.268008,0.318754,0.417287,0.608180", \ "0.269043,0.277843,0.294447,0.325251,0.381011,0.480488,0.671166", \ "0.325254,0.335417,0.354495,0.389546,0.452242,0.561820,0.754455"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.021099,0.022897,0.026416,0.033290,0.046646,0.072741,0.123662", \ "0.021071,0.022871,0.026399,0.033273,0.046642,0.072743,0.123709", \ "0.021422,0.023125,0.026501,0.033274,0.046636,0.072725,0.123647", \ "0.027498,0.029117,0.032276,0.038243,0.049640,0.073461,0.123626", \ "0.039145,0.040882,0.044255,0.050328,0.061679,0.083256,0.126927", \ "0.055657,0.057783,0.061812,0.068687,0.080535,0.101994,0.142869", \ "0.076187,0.078784,0.083632,0.092016,0.105591,0.128158,0.168519"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.072966,0.079255,0.091734,0.115615,0.161891,0.251247,0.424506", \ "0.072965,0.079256,0.091758,0.115569,0.161832,0.251148,0.424511", \ "0.072977,0.079257,0.091762,0.115572,0.161869,0.251163,0.424513", \ "0.072967,0.079246,0.091664,0.115474,0.161682,0.251217,0.424514", \ "0.080744,0.086048,0.096816,0.118270,0.161868,0.251102,0.424514", \ "0.095785,0.101588,0.113076,0.134000,0.172675,0.253707,0.424509", \ "0.114005,0.120219,0.132579,0.154734,0.195234,0.269858,0.427600"); } } timing () { related_pin : "B2"; when : "A1 & A2 & !B1 & !C1 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.050163,0.052622,0.057342,0.066351,0.083485,0.116116,0.178678", \ "0.054705,0.057165,0.061881,0.070908,0.088045,0.120684,0.183259", \ "0.070969,0.073421,0.078171,0.087192,0.104370,0.137053,0.199652", \ "0.099567,0.102426,0.107663,0.117584,0.135414,0.168117,0.230823", \ "0.129883,0.133782,0.140775,0.153878,0.176640,0.214930,0.279340", \ "0.156064,0.161314,0.170558,0.188014,0.217938,0.266552,0.343463", \ "0.175559,0.182327,0.194423,0.216751,0.255101,0.316665,0.411201"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.136345,0.143284,0.156914,0.183229,0.234001,0.332065,0.522516", \ "0.141256,0.148272,0.162034,0.188378,0.239418,0.337668,0.528269", \ "0.157881,0.164924,0.178803,0.205380,0.256585,0.355379,0.546386", \ "0.187310,0.194315,0.207985,0.234373,0.285625,0.384391,0.575747", \ "0.231399,0.238806,0.252985,0.279082,0.329847,0.428624,0.619819", \ "0.283075,0.291561,0.307793,0.337875,0.392679,0.491450,0.682502", \ "0.341392,0.351145,0.369693,0.403777,0.465201,0.573472,0.765704"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.027522,0.029395,0.033019,0.040108,0.053889,0.080716,0.133025", \ "0.027524,0.029389,0.033041,0.040102,0.053889,0.080710,0.133047", \ "0.027318,0.029218,0.032909,0.040066,0.053868,0.080710,0.133024", \ "0.032670,0.034268,0.037376,0.043196,0.055262,0.080744,0.133019", \ "0.045659,0.047433,0.050890,0.057016,0.068277,0.089363,0.134653", \ "0.063597,0.065768,0.069986,0.077200,0.089653,0.111305,0.150891", \ "0.085506,0.088178,0.093208,0.101994,0.116719,0.140868,0.181504"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.093162,0.100109,0.113716,0.139729,0.189094,0.282028,0.457682", \ "0.093090,0.100066,0.113653,0.139609,0.189205,0.282054,0.457651", \ "0.093142,0.100116,0.113645,0.139719,0.189108,0.282062,0.457689", \ "0.093160,0.100062,0.113558,0.139578,0.189106,0.282049,0.457670", \ "0.099376,0.105476,0.117658,0.141544,0.188990,0.282034,0.457667", \ "0.118433,0.124400,0.136014,0.157489,0.198850,0.284149,0.457643", \ "0.141763,0.147858,0.159925,0.182127,0.223022,0.299660,0.460304"); } } timing () { related_pin : "B2"; when : "A1 & A2 & !B1 & C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.042649,0.045032,0.049612,0.058385,0.075162,0.107348,0.169410", \ "0.047190,0.049571,0.054160,0.062939,0.079721,0.111903,0.173978", \ "0.063394,0.065785,0.070389,0.079199,0.096032,0.128269,0.190415", \ "0.089414,0.092478,0.098037,0.108450,0.126745,0.159263,0.221530", \ "0.114631,0.118955,0.126758,0.140983,0.165197,0.204825,0.269935", \ "0.134236,0.140212,0.150656,0.169930,0.202309,0.253586,0.332666", \ "0.146428,0.154203,0.168014,0.192697,0.234434,0.299865,0.397977"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.133615,0.140552,0.154057,0.180284,0.230914,0.328901,0.519030", \ "0.138485,0.145510,0.159168,0.185494,0.236378,0.334568,0.524798", \ "0.155108,0.162170,0.175861,0.202384,0.253539,0.352186,0.542915", \ "0.184553,0.191577,0.205144,0.231487,0.282524,0.381284,0.572275", \ "0.228481,0.235913,0.250237,0.276340,0.326994,0.425463,0.616354", \ "0.279653,0.288209,0.304451,0.334668,0.389590,0.488458,0.679023", \ "0.337370,0.347214,0.365784,0.400075,0.461706,0.570203,0.762255"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.022975,0.024832,0.028448,0.035498,0.049252,0.076024,0.128211", \ "0.022953,0.024811,0.028441,0.035496,0.049239,0.075988,0.128211", \ "0.023045,0.024833,0.028410,0.035502,0.049219,0.075994,0.128246", \ "0.030194,0.031752,0.034796,0.040516,0.051762,0.076315,0.128179", \ "0.044050,0.045837,0.049268,0.055353,0.066365,0.086914,0.130636", \ "0.062584,0.064773,0.068978,0.076234,0.088563,0.109826,0.148616", \ "0.085081,0.087740,0.092787,0.101545,0.116218,0.140074,0.180047"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.077345,0.083687,0.096248,0.120150,0.166405,0.255971,0.429444", \ "0.077350,0.083679,0.096315,0.120234,0.166585,0.256043,0.429457", \ "0.077345,0.083692,0.096251,0.120189,0.166401,0.255948,0.429461", \ "0.077346,0.083682,0.096256,0.119999,0.166294,0.256045,0.429445", \ "0.083883,0.089361,0.100486,0.122236,0.166382,0.255961,0.429455", \ "0.099199,0.105008,0.116657,0.137381,0.176326,0.258136,0.429455", \ "0.117556,0.123765,0.136141,0.158263,0.198722,0.273554,0.432167"); } } timing () { related_pin : "B2"; when : "A1 & A2 & !B1 & C1 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.033734,0.035637,0.039292,0.046279,0.059607,0.085112,0.134230", \ "0.038697,0.040599,0.044257,0.051246,0.064578,0.090084,0.139206", \ "0.055636,0.057553,0.061215,0.068212,0.081568,0.107119,0.156277", \ "0.080329,0.083030,0.087926,0.096915,0.112515,0.139155,0.188502", \ "0.103689,0.107630,0.114663,0.127297,0.148628,0.183077,0.238140", \ "0.121676,0.127111,0.136679,0.154035,0.183146,0.228669,0.297640", \ "0.132192,0.139334,0.152029,0.174576,0.212578,0.271524,0.358549"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.133379,0.140315,0.153831,0.180089,0.230692,0.328692,0.518843", \ "0.137863,0.144873,0.158514,0.184874,0.235674,0.333905,0.524186", \ "0.154633,0.161670,0.175323,0.201828,0.252942,0.351527,0.542213", \ "0.184347,0.191365,0.204841,0.231211,0.282124,0.380780,0.571629", \ "0.228384,0.235814,0.250014,0.276201,0.326841,0.425158,0.615873", \ "0.279649,0.288197,0.304425,0.334729,0.389506,0.488386,0.678856", \ "0.337577,0.347408,0.365959,0.400173,0.461793,0.570266,0.762209"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.018036,0.019522,0.022411,0.028009,0.038919,0.060149,0.101610", \ "0.018004,0.019494,0.022381,0.027997,0.038895,0.060141,0.101611", \ "0.018548,0.019895,0.022590,0.027997,0.038876,0.060136,0.101596", \ "0.026340,0.027616,0.030075,0.034678,0.043348,0.061483,0.101608", \ "0.039518,0.041022,0.043923,0.049027,0.058114,0.074681,0.107112", \ "0.057071,0.058939,0.062578,0.068799,0.079193,0.096783,0.128129", \ "0.078594,0.080919,0.085328,0.093040,0.105457,0.125511,0.158443"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.077355,0.083674,0.096202,0.120225,0.166411,0.255996,0.429468", \ "0.077353,0.083671,0.096234,0.120218,0.166346,0.256030,0.429475", \ "0.077351,0.083678,0.096236,0.120127,0.166394,0.256056,0.429480", \ "0.077350,0.083670,0.096185,0.120129,0.166300,0.256065,0.429479", \ "0.083908,0.089387,0.100405,0.122259,0.166361,0.255976,0.429484", \ "0.099205,0.105010,0.116503,0.137476,0.176346,0.258176,0.429477", \ "0.117498,0.123698,0.135946,0.158194,0.198690,0.273602,0.432208"); } } timing () { related_pin : "C1"; when : "!A1 & A2 & !B1 & B2 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.062750,0.065599,0.071062,0.081495,0.101353,0.139187,0.211803", \ "0.068471,0.071321,0.076815,0.087296,0.107207,0.145096,0.217771", \ "0.083411,0.086291,0.091820,0.102355,0.122346,0.160350,0.233108", \ "0.106140,0.109198,0.114857,0.125779,0.145868,0.183863,0.256754", \ "0.131745,0.135318,0.141857,0.154215,0.176834,0.217615,0.291305", \ "0.156945,0.161277,0.169123,0.183917,0.210585,0.256646,0.337045", \ "0.177909,0.183435,0.193242,0.211578,0.243612,0.297501,0.387341"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.145767,0.152995,0.167179,0.194420,0.246425,0.346232,0.538680", \ "0.147829,0.155113,0.169373,0.196602,0.248775,0.348722,0.541180", \ "0.160886,0.168217,0.182521,0.209789,0.262329,0.362401,0.555296", \ "0.192013,0.199228,0.213115,0.240134,0.292240,0.392119,0.584901", \ "0.245258,0.252033,0.265423,0.291689,0.342675,0.441771,0.633417", \ "0.311756,0.320354,0.336558,0.366033,0.417907,0.514859,0.704704", \ "0.382710,0.392957,0.412340,0.447522,0.509655,0.614695,0.801507"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.030972,0.033169,0.037452,0.045818,0.062075,0.093752,0.155447", \ "0.030966,0.033170,0.037459,0.045825,0.062079,0.093755,0.155483", \ "0.030862,0.033096,0.037425,0.045797,0.062090,0.093738,0.155434", \ "0.033132,0.035261,0.039241,0.046971,0.062442,0.093659,0.155417", \ "0.039166,0.041382,0.045652,0.053815,0.069127,0.098048,0.156218", \ "0.049702,0.051965,0.056439,0.064732,0.080310,0.109538,0.165154", \ "0.066120,0.068565,0.073326,0.081770,0.097173,0.126244,0.181664"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.137461,0.144107,0.157003,0.182581,0.231021,0.323339,0.498862", \ "0.137376,0.144097,0.156971,0.182459,0.231136,0.323338,0.498861", \ "0.137371,0.144060,0.157016,0.182447,0.231111,0.323320,0.498848", \ "0.137387,0.144070,0.156943,0.182425,0.231055,0.323316,0.498860", \ "0.142932,0.148726,0.160075,0.183480,0.230896,0.323314,0.498859", \ "0.170919,0.174960,0.183718,0.202295,0.241336,0.324327,0.498872", \ "0.211164,0.216003,0.225036,0.242910,0.275312,0.344461,0.500275"); } } timing () { related_pin : "C1"; when : "!A1 & A2 & B1 & !B2 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.053742,0.056509,0.061837,0.072022,0.091503,0.128872,0.200992", \ "0.059393,0.062187,0.067541,0.077791,0.097339,0.134773,0.206945", \ "0.074225,0.077046,0.082443,0.092772,0.112434,0.150009,0.222321", \ "0.095687,0.098799,0.104580,0.115627,0.135817,0.173494,0.245943", \ "0.118884,0.122599,0.129360,0.142101,0.165194,0.206435,0.280317", \ "0.140001,0.144777,0.153250,0.169025,0.196795,0.244013,0.325265", \ "0.154946,0.161232,0.172278,0.192349,0.226674,0.282742,0.374253"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.142821,0.150095,0.164201,0.191343,0.243294,0.343046,0.535145", \ "0.144898,0.152194,0.166374,0.193569,0.245609,0.345461,0.537685", \ "0.157964,0.165263,0.179575,0.206827,0.259117,0.359250,0.551735", \ "0.189108,0.196312,0.210155,0.237110,0.289075,0.389101,0.581364", \ "0.242393,0.249282,0.262616,0.288819,0.339759,0.438609,0.629861", \ "0.308052,0.316740,0.333005,0.362749,0.415013,0.511758,0.701167", \ "0.378133,0.388499,0.407932,0.443392,0.505964,0.611481,0.798074"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.025687,0.027876,0.032145,0.040465,0.056651,0.088232,0.149838", \ "0.025686,0.027884,0.032147,0.040456,0.056664,0.088239,0.149845", \ "0.025574,0.027792,0.032083,0.040437,0.056644,0.088236,0.149866", \ "0.028652,0.030763,0.034827,0.042510,0.057548,0.088189,0.149817", \ "0.035229,0.037405,0.041646,0.049687,0.064845,0.093646,0.151004", \ "0.047000,0.049240,0.053572,0.061601,0.076758,0.105506,0.160842", \ "0.064620,0.067076,0.071808,0.080116,0.094999,0.123070,0.177709"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.104539,0.111519,0.125002,0.151009,0.200421,0.293468,0.469536", \ "0.104549,0.111467,0.125003,0.151015,0.200317,0.293476,0.469552", \ "0.104591,0.111492,0.125093,0.151014,0.200409,0.293391,0.469538", \ "0.104602,0.111528,0.124987,0.150872,0.200336,0.293525,0.469539", \ "0.110352,0.116391,0.128287,0.151946,0.199987,0.293409,0.469535", \ "0.137379,0.142749,0.153181,0.171456,0.210840,0.294507,0.469534", \ "0.171052,0.177178,0.188836,0.209541,0.245783,0.314919,0.471046"); } } timing () { related_pin : "C1"; when : "!A1 & A2 & B1 & B2 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.045801,0.048096,0.052508,0.060947,0.077046,0.107881,0.167305", \ "0.051476,0.053795,0.058243,0.066724,0.082880,0.113763,0.173235", \ "0.067659,0.069998,0.074460,0.082993,0.099235,0.130229,0.189802", \ "0.091085,0.093779,0.098774,0.108167,0.125359,0.156544,0.216247", \ "0.115820,0.119226,0.125465,0.136858,0.157351,0.192984,0.255379", \ "0.137480,0.142110,0.150220,0.165109,0.190793,0.233465,0.304363", \ "0.152223,0.158303,0.169232,0.188677,0.221744,0.274443,0.357220"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.149054,0.156336,0.170443,0.197628,0.249683,0.349607,0.541855", \ "0.151179,0.158502,0.172743,0.199961,0.252130,0.352144,0.544461", \ "0.164087,0.171422,0.185700,0.213057,0.265456,0.365821,0.558474", \ "0.195173,0.202406,0.216247,0.243369,0.295309,0.395461,0.588006", \ "0.248301,0.255185,0.268687,0.294953,0.346094,0.445050,0.636483", \ "0.315747,0.324290,0.340329,0.369543,0.421235,0.518325,0.707859", \ "0.387554,0.397740,0.416878,0.451858,0.513586,0.618163,0.804808"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.021286,0.023113,0.026652,0.033558,0.046981,0.073137,0.124119", \ "0.021292,0.023118,0.026664,0.033561,0.046974,0.073120,0.124135", \ "0.021179,0.023009,0.026573,0.033495,0.046952,0.073123,0.124137", \ "0.024973,0.026714,0.030072,0.036475,0.048577,0.073359,0.124094", \ "0.032718,0.034529,0.038035,0.044673,0.057091,0.080616,0.126512", \ "0.045833,0.047801,0.051557,0.058398,0.070860,0.094244,0.139035", \ "0.064199,0.066509,0.070850,0.078466,0.091381,0.114428,0.158352"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.107504,0.114382,0.127874,0.153891,0.203153,0.296350,0.472429", \ "0.107464,0.114365,0.127934,0.153919,0.203138,0.296351,0.472411", \ "0.107467,0.114392,0.127891,0.153876,0.203187,0.296250,0.472409", \ "0.107475,0.114415,0.127844,0.153880,0.203144,0.296251,0.472406", \ "0.112053,0.118186,0.130309,0.154406,0.202978,0.296247,0.472401", \ "0.137975,0.143348,0.153480,0.172308,0.212444,0.296999,0.472399", \ "0.171349,0.177426,0.189091,0.209749,0.246000,0.316161,0.473573"); } } timing () { related_pin : "C1"; when : "A1 & !A2 & !B1 & B2 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.053742,0.056509,0.061837,0.072022,0.091503,0.128872,0.200992", \ "0.059393,0.062187,0.067541,0.077791,0.097339,0.134773,0.206945", \ "0.074225,0.077046,0.082443,0.092772,0.112434,0.150009,0.222321", \ "0.095687,0.098799,0.104580,0.115627,0.135817,0.173494,0.245943", \ "0.118884,0.122599,0.129360,0.142101,0.165194,0.206435,0.280317", \ "0.140001,0.144777,0.153250,0.169025,0.196795,0.244013,0.325265", \ "0.154946,0.161232,0.172278,0.192349,0.226674,0.282742,0.374253"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.142821,0.150095,0.164201,0.191343,0.243294,0.343046,0.535145", \ "0.144898,0.152194,0.166374,0.193569,0.245609,0.345461,0.537685", \ "0.157964,0.165263,0.179575,0.206827,0.259117,0.359250,0.551735", \ "0.189108,0.196312,0.210155,0.237110,0.289075,0.389101,0.581364", \ "0.242393,0.249282,0.262616,0.288819,0.339759,0.438609,0.629861", \ "0.308052,0.316740,0.333005,0.362749,0.415013,0.511758,0.701167", \ "0.378133,0.388499,0.407932,0.443392,0.505964,0.611481,0.798074"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.025687,0.027876,0.032145,0.040465,0.056651,0.088232,0.149838", \ "0.025686,0.027884,0.032147,0.040456,0.056664,0.088239,0.149845", \ "0.025574,0.027792,0.032083,0.040437,0.056644,0.088236,0.149866", \ "0.028652,0.030763,0.034827,0.042510,0.057548,0.088189,0.149817", \ "0.035229,0.037405,0.041646,0.049687,0.064845,0.093646,0.151004", \ "0.047000,0.049240,0.053572,0.061601,0.076758,0.105506,0.160842", \ "0.064620,0.067076,0.071808,0.080116,0.094999,0.123070,0.177709"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.104539,0.111519,0.125002,0.151009,0.200421,0.293468,0.469536", \ "0.104549,0.111467,0.125003,0.151015,0.200317,0.293476,0.469552", \ "0.104591,0.111492,0.125093,0.151014,0.200409,0.293391,0.469538", \ "0.104602,0.111528,0.124987,0.150872,0.200336,0.293525,0.469539", \ "0.110352,0.116391,0.128287,0.151946,0.199987,0.293409,0.469535", \ "0.137379,0.142749,0.153181,0.171456,0.210840,0.294507,0.469534", \ "0.171052,0.177178,0.188836,0.209541,0.245783,0.314919,0.471046"); } } timing () { related_pin : "C1"; when : "A1 & !A2 & B1 & !B2 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.045874,0.048437,0.053439,0.063150,0.082024,0.118748,0.190258", \ "0.051415,0.054019,0.059083,0.068878,0.087841,0.124638,0.196200", \ "0.065880,0.068576,0.073753,0.083703,0.102857,0.139835,0.211545", \ "0.085512,0.088650,0.094484,0.105560,0.125923,0.163260,0.235176", \ "0.105440,0.109420,0.116616,0.129800,0.153513,0.195336,0.269508", \ "0.121036,0.126428,0.136057,0.153260,0.182544,0.231105,0.313424", \ "0.128246,0.135612,0.148533,0.171194,0.208634,0.267464,0.361007"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.139907,0.147187,0.161247,0.188251,0.240172,0.339817,0.531610", \ "0.141960,0.149275,0.163384,0.190486,0.242533,0.342220,0.534117", \ "0.155021,0.162347,0.176461,0.203744,0.255991,0.356024,0.548229", \ "0.186193,0.193425,0.207193,0.234099,0.285963,0.385729,0.577814", \ "0.239479,0.246558,0.259792,0.285919,0.336794,0.435452,0.626352", \ "0.304354,0.313144,0.329538,0.359478,0.412138,0.508710,0.697643", \ "0.373538,0.384018,0.403581,0.439374,0.502312,0.608281,0.794579"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.019912,0.022083,0.026381,0.034726,0.050997,0.082585,0.144139", \ "0.019909,0.022086,0.026382,0.034721,0.050971,0.082605,0.144145", \ "0.019980,0.022140,0.026393,0.034722,0.050995,0.082599,0.144138", \ "0.023822,0.025919,0.030009,0.037849,0.052664,0.082777,0.144132", \ "0.031289,0.033421,0.037576,0.045477,0.060535,0.089265,0.145844", \ "0.044515,0.046726,0.051003,0.058893,0.073417,0.101610,0.156524", \ "0.063600,0.066076,0.070786,0.078973,0.093265,0.120222,0.173853"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.086638,0.093053,0.105824,0.129915,0.176597,0.266666,0.440960", \ "0.086639,0.093049,0.105822,0.129918,0.176705,0.266675,0.440958", \ "0.086635,0.093053,0.105783,0.129878,0.176578,0.266726,0.440976", \ "0.086630,0.093056,0.105821,0.129818,0.176475,0.266722,0.440959", \ "0.092909,0.098318,0.109293,0.131073,0.176357,0.266702,0.440965", \ "0.116293,0.121885,0.132614,0.150967,0.187387,0.267791,0.440987", \ "0.142778,0.149405,0.162074,0.183878,0.221202,0.288386,0.442549"); } } timing () { related_pin : "C1"; when : "A1 & !A2 & B1 & B2 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.039735,0.041853,0.045976,0.053982,0.069533,0.099781,0.158663", \ "0.045325,0.047479,0.051651,0.059726,0.075348,0.105656,0.164590", \ "0.061150,0.063380,0.067661,0.075862,0.091629,0.122090,0.181144", \ "0.082446,0.085210,0.090287,0.099836,0.117173,0.148324,0.207561", \ "0.103410,0.107169,0.113908,0.125961,0.147194,0.183593,0.246576", \ "0.119127,0.124433,0.133739,0.150209,0.177804,0.222115,0.294308", \ "0.126115,0.133324,0.145953,0.167978,0.204420,0.260403,0.345561"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.146097,0.153392,0.167476,0.194530,0.246560,0.346303,0.538292", \ "0.148222,0.155561,0.169662,0.196889,0.248990,0.348874,0.540907", \ "0.161136,0.168485,0.182663,0.209997,0.262345,0.362556,0.554920", \ "0.192256,0.199496,0.213289,0.240291,0.292222,0.392179,0.584449", \ "0.245561,0.252404,0.265840,0.292062,0.343078,0.441812,0.632958", \ "0.312118,0.320759,0.336908,0.366307,0.418349,0.515183,0.704300", \ "0.383043,0.393338,0.412605,0.447860,0.509983,0.614986,0.801310"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.016497,0.018297,0.021849,0.028770,0.042230,0.068449,0.119445", \ "0.016495,0.018295,0.021849,0.028767,0.042228,0.068432,0.119451", \ "0.016707,0.018456,0.021922,0.028781,0.042241,0.068442,0.119459", \ "0.021181,0.022903,0.026271,0.032681,0.044783,0.069073,0.119433", \ "0.029811,0.031593,0.035064,0.041600,0.053845,0.077213,0.122540", \ "0.043963,0.045979,0.049724,0.056567,0.068684,0.091477,0.135806", \ "0.063545,0.065873,0.070309,0.077938,0.090583,0.112855,0.155695"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.089213,0.095648,0.108415,0.132709,0.179176,0.269425,0.443778", \ "0.089224,0.095647,0.108387,0.132764,0.179198,0.269434,0.443796", \ "0.089220,0.095646,0.108402,0.132592,0.179358,0.269484,0.443794", \ "0.089233,0.095661,0.108343,0.132494,0.179216,0.269472,0.443795", \ "0.094246,0.099799,0.111052,0.133291,0.178987,0.269408,0.443780", \ "0.117053,0.122619,0.133244,0.151589,0.188761,0.270133,0.443778", \ "0.143488,0.150051,0.162542,0.184372,0.221641,0.289504,0.444998"); } } timing () { related_pin : "C1"; when : "A1 & A2 & !B1 & B2 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.048572,0.050963,0.055556,0.064349,0.081148,0.113340,0.175421", \ "0.054211,0.056620,0.061251,0.070096,0.086951,0.119200,0.181322", \ "0.069295,0.071743,0.076388,0.085294,0.102255,0.134624,0.196857", \ "0.091661,0.094437,0.099556,0.109281,0.127119,0.159623,0.221944", \ "0.115872,0.119321,0.125627,0.137258,0.158300,0.195047,0.259748", \ "0.137525,0.142079,0.150280,0.165266,0.191264,0.234740,0.307710", \ "0.152387,0.158473,0.169322,0.188851,0.222099,0.275313,0.359727"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.153336,0.160506,0.174451,0.201329,0.252986,0.352385,0.544039", \ "0.155431,0.162640,0.176667,0.203611,0.255378,0.354874,0.546682", \ "0.168510,0.175766,0.189851,0.216881,0.268880,0.368685,0.560769", \ "0.199635,0.206791,0.220456,0.247217,0.298789,0.398427,0.590362", \ "0.252490,0.259379,0.272790,0.298872,0.349545,0.448071,0.638902", \ "0.320636,0.328995,0.344703,0.373468,0.424604,0.521267,0.710299", \ "0.393020,0.402999,0.421743,0.456253,0.517311,0.621071,0.807266"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.022933,0.024797,0.028415,0.035487,0.049214,0.075990,0.128192", \ "0.022937,0.024799,0.028419,0.035497,0.049236,0.076004,0.128212", \ "0.022816,0.024681,0.028338,0.035436,0.049220,0.075991,0.128210", \ "0.026493,0.028254,0.031685,0.038226,0.050637,0.076146,0.128177", \ "0.034123,0.035946,0.039495,0.046252,0.058978,0.083059,0.130279", \ "0.047326,0.049235,0.052955,0.059739,0.072387,0.096375,0.142310", \ "0.066257,0.068388,0.072551,0.079905,0.092657,0.116070,0.161177"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.109159,0.116160,0.129863,0.156021,0.205736,0.299009,0.475412", \ "0.109187,0.116209,0.129918,0.156083,0.205723,0.299064,0.475382", \ "0.109157,0.116174,0.129937,0.155983,0.205563,0.299014,0.475358", \ "0.109171,0.116178,0.129862,0.156025,0.205583,0.299062,0.475367", \ "0.113827,0.120091,0.132279,0.156728,0.205489,0.298955,0.475407", \ "0.139732,0.145139,0.155125,0.174448,0.214856,0.299765,0.475362", \ "0.173811,0.179880,0.191554,0.212295,0.248317,0.318871,0.476540"); } } timing () { related_pin : "C1"; when : "A1 & A2 & B1 & !B2 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.042109,0.044327,0.048624,0.056983,0.073218,0.104807,0.166315", \ "0.047674,0.049912,0.054264,0.062693,0.079004,0.110657,0.172221", \ "0.062431,0.064754,0.069213,0.077769,0.094242,0.126057,0.187744", \ "0.082732,0.085557,0.090766,0.100622,0.118556,0.150984,0.212861", \ "0.103371,0.107101,0.113864,0.126107,0.147789,0.185263,0.250493", \ "0.119168,0.124423,0.133748,0.150204,0.177991,0.223093,0.297283", \ "0.126410,0.133527,0.146189,0.168182,0.204729,0.261081,0.347746"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.150473,0.157629,0.171531,0.198266,0.249878,0.349167,0.540520", \ "0.152553,0.159745,0.173708,0.200589,0.252251,0.351597,0.543107", \ "0.165625,0.172882,0.186813,0.213854,0.265749,0.365433,0.557216", \ "0.196768,0.203932,0.217528,0.244212,0.295731,0.395170,0.586806", \ "0.249745,0.256608,0.269969,0.295972,0.346555,0.444852,0.635395", \ "0.317072,0.325516,0.341306,0.370285,0.421724,0.518182,0.706761", \ "0.388615,0.398694,0.417601,0.452308,0.513742,0.617918,0.803816"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.017931,0.019801,0.023450,0.030579,0.044364,0.071181,0.123379", \ "0.017938,0.019801,0.023449,0.030580,0.044365,0.071185,0.123389", \ "0.018056,0.019884,0.023493,0.030560,0.044364,0.071184,0.123380", \ "0.022432,0.024223,0.027699,0.034278,0.046681,0.071704,0.123371", \ "0.030990,0.032793,0.036345,0.043008,0.055571,0.079523,0.126132", \ "0.045469,0.047415,0.051074,0.057826,0.070065,0.093438,0.138935", \ "0.065794,0.067981,0.072120,0.079304,0.091792,0.114264,0.158336"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.091121,0.097610,0.110466,0.134881,0.181599,0.272264,0.446795", \ "0.091129,0.097607,0.110466,0.134758,0.181753,0.272150,0.446790", \ "0.091117,0.097606,0.110412,0.134809,0.181650,0.272213,0.446775", \ "0.091124,0.097615,0.110446,0.134721,0.181754,0.272213,0.446782", \ "0.096149,0.101772,0.113115,0.135643,0.181518,0.272181,0.446793", \ "0.119311,0.124830,0.135412,0.153645,0.191203,0.272994,0.446799", \ "0.146532,0.153035,0.165368,0.187045,0.224136,0.292204,0.448105"); } } timing () { related_pin : "C1"; when : "A1 & A2 & B1 & B2 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.035755,0.037513,0.040938,0.047590,0.060499,0.085607,0.134488", \ "0.041353,0.043141,0.046608,0.053312,0.066285,0.091443,0.140363", \ "0.057581,0.059446,0.063014,0.069823,0.082920,0.108209,0.157228", \ "0.079606,0.082085,0.086650,0.095053,0.110097,0.136637,0.185837", \ "0.101017,0.104553,0.110947,0.122260,0.141827,0.174346,0.228846", \ "0.116642,0.121749,0.130827,0.146656,0.173201,0.214800,0.279842", \ "0.123240,0.130244,0.142710,0.164180,0.199740,0.253897,0.333777"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.157249,0.164453,0.178313,0.205105,0.256712,0.356017,0.547486", \ "0.159425,0.166629,0.180567,0.207480,0.259231,0.358696,0.550260", \ "0.172349,0.179611,0.193577,0.220584,0.272621,0.372359,0.564323", \ "0.203456,0.210616,0.224264,0.250898,0.302474,0.401978,0.593771", \ "0.256238,0.263169,0.276617,0.302650,0.353376,0.451664,0.642333", \ "0.325251,0.333534,0.349067,0.377608,0.428460,0.525102,0.713777", \ "0.398577,0.408466,0.427044,0.461154,0.521837,0.624936,0.810948"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.014320,0.015799,0.018721,0.024415,0.035450,0.056887,0.098555", \ "0.014306,0.015799,0.018718,0.024423,0.035440,0.056872,0.098552", \ "0.014696,0.016092,0.018863,0.024453,0.035437,0.056888,0.098551", \ "0.019904,0.021328,0.024092,0.029293,0.039052,0.058091,0.098548", \ "0.029779,0.031308,0.034243,0.039686,0.049559,0.068136,0.103565", \ "0.045136,0.046898,0.050206,0.056197,0.066362,0.084739,0.119591", \ "0.066037,0.068064,0.071897,0.078637,0.089835,0.108548,0.142597"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.093853,0.100343,0.113176,0.137661,0.184703,0.275180,0.449873", \ "0.093850,0.100348,0.113157,0.137660,0.184674,0.275213,0.449877", \ "0.093843,0.100342,0.113156,0.137657,0.184489,0.275184,0.449870", \ "0.093847,0.100342,0.113170,0.137583,0.184550,0.275177,0.449869", \ "0.097805,0.103552,0.115126,0.138111,0.184418,0.275081,0.449878", \ "0.120207,0.125705,0.136143,0.154595,0.192852,0.275629,0.449871", \ "0.147434,0.153894,0.166087,0.187787,0.224733,0.293648,0.450848"); } } timing () { related_pin : "C2"; when : "!A1 & A2 & !B1 & B2 & !C1"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.071895,0.074767,0.080316,0.090895,0.110987,0.149192,0.222241", \ "0.076910,0.079807,0.085343,0.095932,0.116046,0.154242,0.227295", \ "0.091667,0.094540,0.100120,0.110716,0.130851,0.169085,0.242175", \ "0.114979,0.117956,0.123594,0.134351,0.154503,0.192746,0.265880", \ "0.142558,0.145999,0.152350,0.164450,0.186585,0.226908,0.300540", \ "0.170847,0.174957,0.182319,0.196465,0.222253,0.267452,0.346931", \ "0.196361,0.201408,0.210482,0.227522,0.257887,0.310127,0.398530"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.159138,0.166372,0.180590,0.207757,0.259782,0.359532,0.552008", \ "0.163460,0.170729,0.184890,0.212131,0.264124,0.363991,0.556476", \ "0.181023,0.188346,0.202554,0.229977,0.281966,0.381979,0.574637", \ "0.211020,0.218271,0.232404,0.259604,0.311959,0.411863,0.604648", \ "0.256020,0.263267,0.277450,0.304495,0.356509,0.456660,0.649414", \ "0.312315,0.320631,0.336571,0.366075,0.419670,0.519671,0.712476", \ "0.375629,0.385006,0.403007,0.436127,0.496128,0.602828,0.795662"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.035926,0.038182,0.042526,0.050985,0.067391,0.099209,0.161128", \ "0.035933,0.038179,0.042543,0.051004,0.067385,0.099226,0.161121", \ "0.035890,0.038144,0.042505,0.050968,0.067398,0.099212,0.161123", \ "0.037554,0.039663,0.043758,0.051797,0.067683,0.099204,0.161123", \ "0.043429,0.045695,0.050031,0.058244,0.073648,0.102864,0.161767", \ "0.053078,0.055442,0.060051,0.068457,0.084450,0.113965,0.169857", \ "0.068408,0.070853,0.075685,0.084334,0.100275,0.130032,0.186086"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.137381,0.144055,0.157031,0.182545,0.231119,0.323320,0.498864", \ "0.137457,0.144026,0.156991,0.182564,0.231083,0.323325,0.498879", \ "0.137426,0.144052,0.156981,0.182564,0.231054,0.323326,0.498878", \ "0.137424,0.144054,0.156975,0.182476,0.231053,0.323313,0.498869", \ "0.140149,0.146325,0.158496,0.182925,0.230923,0.323297,0.498872", \ "0.157347,0.162601,0.172986,0.194182,0.237260,0.324193,0.498855", \ "0.183319,0.188661,0.199068,0.219612,0.258463,0.336693,0.500326"); } } timing () { related_pin : "C2"; when : "!A1 & A2 & B1 & !B2 & !C1"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.062318,0.065150,0.070607,0.081026,0.100865,0.138715,0.211355", \ "0.067335,0.070177,0.075624,0.086066,0.105906,0.143756,0.216408", \ "0.082041,0.084881,0.090356,0.100820,0.120703,0.158591,0.231280", \ "0.104560,0.107605,0.113301,0.124171,0.144280,0.182214,0.254975", \ "0.130175,0.133727,0.140233,0.152584,0.175135,0.215816,0.289501", \ "0.155223,0.159594,0.167395,0.182234,0.208900,0.255003,0.335258", \ "0.175760,0.181397,0.191253,0.209702,0.241832,0.295791,0.385631"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.156222,0.163465,0.177604,0.204681,0.256644,0.356520,0.548514", \ "0.160523,0.167793,0.181907,0.209050,0.261050,0.360795,0.552917", \ "0.178085,0.185406,0.199569,0.226781,0.278871,0.378800,0.571066", \ "0.208074,0.215354,0.229408,0.256617,0.308724,0.408706,0.601131", \ "0.253122,0.260398,0.274535,0.301575,0.353527,0.453519,0.645877", \ "0.308871,0.317219,0.333138,0.362835,0.416681,0.516542,0.708931", \ "0.371642,0.381093,0.399117,0.432406,0.492651,0.599548,0.792210"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.031006,0.033189,0.037483,0.045857,0.062132,0.093801,0.155546", \ "0.030993,0.033190,0.037502,0.045858,0.062136,0.093794,0.155547", \ "0.030917,0.033132,0.037465,0.045822,0.062116,0.093796,0.155541", \ "0.033382,0.035495,0.039532,0.047337,0.062789,0.093821,0.155578", \ "0.039496,0.041757,0.046059,0.054161,0.069427,0.098384,0.156512", \ "0.050090,0.052375,0.056900,0.065144,0.080735,0.109882,0.165477", \ "0.066494,0.068949,0.073818,0.082222,0.097663,0.126683,0.182051"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.104605,0.111511,0.125032,0.151005,0.200361,0.293535,0.469555", \ "0.104545,0.111479,0.125016,0.151038,0.200430,0.293432,0.469534", \ "0.104542,0.111495,0.125016,0.151019,0.200254,0.293424,0.469534", \ "0.104591,0.111490,0.125003,0.150946,0.200358,0.293410,0.469580", \ "0.107402,0.113924,0.126506,0.151365,0.200194,0.293402,0.469563", \ "0.123884,0.129825,0.141456,0.163105,0.206571,0.294284,0.469534", \ "0.145668,0.151920,0.164095,0.186584,0.228117,0.306991,0.471055"); } } timing () { related_pin : "C2"; when : "!A1 & A2 & B1 & B2 & !C1"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.052537,0.054901,0.059441,0.068097,0.084525,0.115784,0.175688", \ "0.057702,0.060075,0.064616,0.073276,0.089710,0.120976,0.180884", \ "0.073766,0.076125,0.080676,0.089341,0.105806,0.137106,0.197042", \ "0.098457,0.101077,0.105949,0.115183,0.132160,0.163527,0.223530", \ "0.125951,0.129172,0.135050,0.145973,0.165913,0.200821,0.262755", \ "0.151990,0.156167,0.163573,0.177332,0.201653,0.243069,0.312824", \ "0.172500,0.177934,0.187617,0.205378,0.236101,0.286317,0.367174"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.162440,0.169706,0.183810,0.211005,0.263037,0.362946,0.555165", \ "0.166848,0.174160,0.188289,0.215511,0.267571,0.367495,0.559764", \ "0.184288,0.191601,0.205796,0.233069,0.285348,0.385453,0.577862", \ "0.214174,0.221498,0.235590,0.262832,0.314976,0.415320,0.607793", \ "0.259222,0.266479,0.280697,0.307763,0.359868,0.459948,0.652482", \ "0.316045,0.324315,0.340087,0.369497,0.423013,0.522859,0.715517", \ "0.379985,0.389332,0.407112,0.440052,0.499893,0.606246,0.798779"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.025749,0.027585,0.031153,0.038077,0.051536,0.077726,0.128827", \ "0.025744,0.027579,0.031145,0.038065,0.051532,0.077730,0.128839", \ "0.025644,0.027487,0.031070,0.038034,0.051514,0.077727,0.128823", \ "0.028804,0.030549,0.033904,0.040283,0.052710,0.077895,0.128836", \ "0.036012,0.037858,0.041415,0.048158,0.060744,0.084304,0.130860", \ "0.048197,0.050156,0.053970,0.060911,0.073713,0.097611,0.142666", \ "0.065653,0.067961,0.072323,0.079926,0.093095,0.116859,0.161611"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.107504,0.114424,0.127887,0.153921,0.203146,0.296351,0.472422", \ "0.107472,0.114376,0.127895,0.153930,0.203247,0.296253,0.472409", \ "0.107461,0.114399,0.127891,0.153891,0.203204,0.296287,0.472410", \ "0.107445,0.114373,0.127888,0.153843,0.203161,0.296332,0.472407", \ "0.109779,0.116325,0.128987,0.153930,0.203069,0.296306,0.472401", \ "0.125502,0.131469,0.143190,0.164788,0.208771,0.296911,0.472403", \ "0.147014,0.153243,0.165437,0.188065,0.229616,0.308935,0.473729"); } } timing () { related_pin : "C2"; when : "A1 & !A2 & !B1 & B2 & !C1"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.062318,0.065150,0.070607,0.081026,0.100865,0.138715,0.211355", \ "0.067335,0.070177,0.075624,0.086066,0.105906,0.143756,0.216408", \ "0.082041,0.084881,0.090356,0.100820,0.120703,0.158591,0.231280", \ "0.104560,0.107605,0.113301,0.124171,0.144280,0.182214,0.254975", \ "0.130175,0.133727,0.140233,0.152584,0.175135,0.215816,0.289501", \ "0.155223,0.159594,0.167395,0.182234,0.208900,0.255003,0.335258", \ "0.175760,0.181397,0.191253,0.209702,0.241832,0.295791,0.385631"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.156222,0.163465,0.177604,0.204681,0.256644,0.356520,0.548514", \ "0.160523,0.167793,0.181907,0.209050,0.261050,0.360795,0.552917", \ "0.178085,0.185406,0.199569,0.226781,0.278871,0.378800,0.571066", \ "0.208074,0.215354,0.229408,0.256617,0.308724,0.408706,0.601131", \ "0.253122,0.260398,0.274535,0.301575,0.353527,0.453519,0.645877", \ "0.308871,0.317219,0.333138,0.362835,0.416681,0.516542,0.708931", \ "0.371642,0.381093,0.399117,0.432406,0.492651,0.599548,0.792210"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.031006,0.033189,0.037483,0.045857,0.062132,0.093801,0.155546", \ "0.030993,0.033190,0.037502,0.045858,0.062136,0.093794,0.155547", \ "0.030917,0.033132,0.037465,0.045822,0.062116,0.093796,0.155541", \ "0.033382,0.035495,0.039532,0.047337,0.062789,0.093821,0.155578", \ "0.039496,0.041757,0.046059,0.054161,0.069427,0.098384,0.156512", \ "0.050090,0.052375,0.056900,0.065144,0.080735,0.109882,0.165477", \ "0.066494,0.068949,0.073818,0.082222,0.097663,0.126683,0.182051"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.104605,0.111511,0.125032,0.151005,0.200361,0.293535,0.469555", \ "0.104545,0.111479,0.125016,0.151038,0.200430,0.293432,0.469534", \ "0.104542,0.111495,0.125016,0.151019,0.200254,0.293424,0.469534", \ "0.104591,0.111490,0.125003,0.150946,0.200358,0.293410,0.469580", \ "0.107402,0.113924,0.126506,0.151365,0.200194,0.293402,0.469563", \ "0.123884,0.129825,0.141456,0.163105,0.206571,0.294284,0.469534", \ "0.145668,0.151920,0.164095,0.186584,0.228117,0.306991,0.471055"); } } timing () { related_pin : "C2"; when : "A1 & !A2 & B1 & !B2 & !C1"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.053336,0.056090,0.061390,0.071563,0.091026,0.128397,0.200552", \ "0.058343,0.061105,0.066417,0.076593,0.096066,0.133444,0.205612", \ "0.072982,0.075763,0.081098,0.091314,0.110842,0.148284,0.220468", \ "0.094298,0.097381,0.103135,0.114085,0.134265,0.171858,0.244136", \ "0.117414,0.121144,0.127929,0.140648,0.163662,0.204787,0.278645", \ "0.138293,0.143044,0.151634,0.167405,0.195206,0.242338,0.323517", \ "0.152611,0.158937,0.170115,0.190401,0.224911,0.281046,0.372574"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.153300,0.160574,0.174607,0.201601,0.253535,0.353128,0.544944", \ "0.157608,0.164878,0.178940,0.205997,0.257910,0.357634,0.549400", \ "0.175162,0.182470,0.196590,0.223727,0.275740,0.375624,0.567543", \ "0.205139,0.212442,0.226419,0.253576,0.305600,0.405511,0.597605", \ "0.250225,0.257524,0.271631,0.298637,0.350457,0.450247,0.642348", \ "0.305460,0.313859,0.329784,0.359595,0.413695,0.513306,0.705378", \ "0.367674,0.377220,0.395196,0.428702,0.489183,0.596288,0.788678"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.025708,0.027903,0.032177,0.040499,0.056708,0.088313,0.149952", \ "0.025697,0.027899,0.032159,0.040488,0.056705,0.088311,0.149976", \ "0.025713,0.027895,0.032164,0.040483,0.056717,0.088315,0.149947", \ "0.028898,0.031014,0.035089,0.042848,0.057918,0.088444,0.149911", \ "0.035569,0.037753,0.041997,0.050024,0.065163,0.093971,0.151322", \ "0.047427,0.049659,0.053981,0.062065,0.077187,0.105893,0.161162", \ "0.065031,0.067512,0.072261,0.080577,0.095492,0.123550,0.178117"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.086635,0.093046,0.105848,0.129992,0.176617,0.266681,0.440968", \ "0.086638,0.093056,0.105798,0.130023,0.176567,0.266761,0.440955", \ "0.086637,0.093055,0.105803,0.130009,0.176551,0.266731,0.440968", \ "0.086625,0.093046,0.105786,0.129856,0.176480,0.266717,0.440973", \ "0.089737,0.095672,0.107433,0.130445,0.176316,0.266682,0.440976", \ "0.103716,0.109610,0.121295,0.142229,0.182913,0.267489,0.440957", \ "0.120846,0.127195,0.139832,0.162331,0.203446,0.280193,0.442532"); } } timing () { related_pin : "C2"; when : "A1 & !A2 & B1 & B2 & !C1"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.045472,0.047758,0.052161,0.060583,0.076675,0.107515,0.167007", \ "0.050634,0.052922,0.057330,0.065756,0.081854,0.112696,0.172167", \ "0.066629,0.068929,0.073352,0.081804,0.097942,0.128827,0.188346", \ "0.089855,0.092541,0.097489,0.106856,0.123972,0.155188,0.214782", \ "0.114456,0.117879,0.124103,0.135504,0.155948,0.191532,0.253911", \ "0.135778,0.140436,0.148600,0.163544,0.189298,0.231991,0.302869", \ "0.149870,0.156049,0.167039,0.186754,0.220050,0.272898,0.355707"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.159498,0.166790,0.180844,0.207931,0.259904,0.359661,0.551610", \ "0.163905,0.171223,0.185320,0.212420,0.264436,0.364228,0.556211", \ "0.181347,0.188670,0.202819,0.229980,0.282193,0.382140,0.574308", \ "0.211235,0.218559,0.232539,0.259768,0.311889,0.411925,0.604237", \ "0.256333,0.263599,0.277738,0.304820,0.356812,0.456664,0.648920", \ "0.312649,0.320975,0.336773,0.366262,0.420038,0.519721,0.711955", \ "0.376057,0.385478,0.403320,0.436358,0.496457,0.602967,0.795248"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.021318,0.023147,0.026688,0.033590,0.047021,0.073213,0.124284", \ "0.021300,0.023132,0.026685,0.033582,0.047013,0.073185,0.124229", \ "0.021376,0.023177,0.026688,0.033585,0.047023,0.073181,0.124255", \ "0.025250,0.026996,0.030360,0.036747,0.048922,0.073630,0.124220", \ "0.033116,0.034928,0.038444,0.045071,0.057462,0.080934,0.126860", \ "0.046309,0.048309,0.052063,0.058921,0.071360,0.094692,0.139412", \ "0.064637,0.066959,0.071331,0.078998,0.091944,0.115006,0.158840"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.089211,0.095647,0.108440,0.132548,0.179204,0.269420,0.443766", \ "0.089220,0.095645,0.108436,0.132542,0.179305,0.269482,0.443792", \ "0.089220,0.095647,0.108438,0.132696,0.179177,0.269485,0.443796", \ "0.089224,0.095649,0.108354,0.132536,0.179266,0.269475,0.443767", \ "0.091717,0.097721,0.109596,0.132820,0.179046,0.269349,0.443804", \ "0.105322,0.111224,0.122797,0.143824,0.184895,0.270018,0.443791", \ "0.122274,0.128630,0.141156,0.163808,0.204931,0.282050,0.445107"); } } timing () { related_pin : "C2"; when : "A1 & A2 & !B1 & B2 & !C1"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.055688,0.058137,0.062859,0.071881,0.089010,0.121639,0.184202", \ "0.060778,0.063230,0.067958,0.076978,0.094118,0.126757,0.189323", \ "0.075751,0.078223,0.082955,0.091995,0.109166,0.141842,0.204449", \ "0.099321,0.102021,0.107038,0.116614,0.134242,0.166962,0.229621", \ "0.126161,0.129415,0.135403,0.146617,0.167120,0.203180,0.267478", \ "0.152034,0.156223,0.163695,0.177602,0.202309,0.244692,0.316474", \ "0.172708,0.178100,0.187739,0.205593,0.236542,0.287390,0.369970"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.166715,0.173908,0.187877,0.214710,0.266343,0.365735,0.557417", \ "0.171113,0.178277,0.192239,0.219207,0.270844,0.370247,0.561955", \ "0.188681,0.195887,0.209909,0.236896,0.288686,0.388344,0.580177", \ "0.218654,0.225853,0.239730,0.266695,0.318450,0.418256,0.610162", \ "0.263679,0.270856,0.284843,0.311682,0.363373,0.462889,0.654864", \ "0.320936,0.329027,0.344541,0.373549,0.426499,0.525867,0.717968", \ "0.385292,0.394465,0.411918,0.444429,0.503683,0.609298,0.801230"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.027514,0.029383,0.033024,0.040103,0.053885,0.080711,0.133014", \ "0.027507,0.029377,0.033018,0.040125,0.053887,0.080727,0.133024", \ "0.027423,0.029310,0.032969,0.040096,0.053878,0.080723,0.133028", \ "0.030455,0.032228,0.035642,0.042161,0.054932,0.080826,0.133015", \ "0.037551,0.039425,0.043027,0.049881,0.062740,0.086861,0.134781", \ "0.049701,0.051640,0.055427,0.062392,0.075419,0.099884,0.146064", \ "0.067526,0.069699,0.073915,0.081367,0.094479,0.118683,0.164608"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.109170,0.116177,0.129941,0.156092,0.205630,0.299008,0.475369", \ "0.109166,0.116197,0.129861,0.156122,0.205713,0.299060,0.475382", \ "0.109148,0.116171,0.129880,0.156084,0.205689,0.299061,0.475364", \ "0.109191,0.116210,0.129839,0.156035,0.205571,0.299093,0.475369", \ "0.111455,0.118104,0.130993,0.156078,0.205569,0.299013,0.475367", \ "0.127128,0.133141,0.144995,0.166908,0.211212,0.299642,0.475383", \ "0.148997,0.155333,0.167578,0.190405,0.232014,0.311647,0.476668"); } } timing () { related_pin : "C2"; when : "A1 & A2 & B1 & !B2 & !C1"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.048203,0.050584,0.055161,0.063941,0.080726,0.112920,0.175034", \ "0.053291,0.055672,0.060257,0.069042,0.085834,0.118037,0.180149", \ "0.068208,0.070607,0.075213,0.084030,0.100868,0.133118,0.195274", \ "0.090363,0.093113,0.098230,0.107915,0.125660,0.158176,0.220402", \ "0.114467,0.117918,0.124224,0.135866,0.156844,0.193500,0.258181", \ "0.135762,0.140422,0.148647,0.163663,0.189727,0.233221,0.306142", \ "0.150039,0.156211,0.167207,0.186874,0.220387,0.273725,0.358171"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.163835,0.171034,0.184875,0.211702,0.263237,0.362486,0.553855", \ "0.168240,0.175410,0.189316,0.216126,0.267714,0.366987,0.558401", \ "0.185802,0.193005,0.206971,0.233820,0.285575,0.384999,0.576621", \ "0.215758,0.222981,0.236783,0.263697,0.315359,0.414849,0.606595", \ "0.260832,0.267997,0.281963,0.308762,0.360294,0.459650,0.651308", \ "0.317615,0.325759,0.341264,0.370367,0.423544,0.522733,0.714384", \ "0.381460,0.390698,0.408183,0.440833,0.500259,0.606033,0.797703"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.022954,0.024827,0.028449,0.035529,0.049271,0.076032,0.128305", \ "0.022942,0.024812,0.028438,0.035504,0.049252,0.076035,0.128283", \ "0.022974,0.024825,0.028439,0.035510,0.049258,0.076031,0.128299", \ "0.026759,0.028532,0.031957,0.038489,0.050977,0.076398,0.128253", \ "0.034508,0.036340,0.039900,0.046656,0.059341,0.083358,0.130598", \ "0.047796,0.049721,0.053451,0.060273,0.072879,0.096794,0.142663", \ "0.066679,0.068869,0.073045,0.080455,0.093205,0.116630,0.161650"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.091122,0.097610,0.110477,0.134756,0.181742,0.272177,0.446803", \ "0.091129,0.097605,0.110484,0.134746,0.181678,0.272150,0.446806", \ "0.091134,0.097607,0.110483,0.134912,0.181652,0.272158,0.446782", \ "0.091115,0.097607,0.110487,0.134704,0.181724,0.272170,0.446808", \ "0.093628,0.099694,0.111669,0.135023,0.181512,0.272146,0.446793", \ "0.107360,0.113266,0.124885,0.145866,0.187332,0.272797,0.446789", \ "0.124758,0.131108,0.143653,0.166231,0.207386,0.284733,0.448136"); } } timing () { related_pin : "C2"; when : "A1 & A2 & B1 & B2 & !C1"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.040149,0.042060,0.045734,0.052762,0.066168,0.091824,0.141242", \ "0.045388,0.047301,0.050978,0.058010,0.071419,0.097077,0.146498", \ "0.061792,0.063713,0.067400,0.074447,0.087887,0.113581,0.163030", \ "0.086010,0.088388,0.092773,0.100964,0.115746,0.142113,0.191657", \ "0.111344,0.114567,0.120400,0.130931,0.149619,0.181174,0.234985", \ "0.132674,0.137191,0.145156,0.159484,0.184055,0.223746,0.287299", \ "0.146355,0.152452,0.163255,0.182373,0.214888,0.265723,0.342968"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.170647,0.177814,0.191691,0.218475,0.270105,0.369382,0.560855", \ "0.175148,0.182344,0.196289,0.223111,0.274739,0.374084,0.565619", \ "0.192567,0.199808,0.213782,0.240724,0.292475,0.392028,0.583791", \ "0.222485,0.229682,0.243502,0.270387,0.322126,0.421749,0.613625", \ "0.267486,0.274670,0.288577,0.315486,0.367003,0.466417,0.658218", \ "0.325306,0.333357,0.348705,0.377592,0.430336,0.529579,0.721284", \ "0.390373,0.399478,0.416779,0.449065,0.507896,0.613101,0.804610"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.018339,0.019835,0.022763,0.028410,0.039407,0.060797,0.102492", \ "0.018309,0.019817,0.022738,0.028411,0.039401,0.060795,0.102482", \ "0.018486,0.019926,0.022775,0.028399,0.039398,0.060789,0.102462", \ "0.023232,0.024648,0.027377,0.032520,0.042206,0.061676,0.102478", \ "0.032505,0.034005,0.036899,0.042343,0.052347,0.070986,0.106802", \ "0.047028,0.048738,0.052051,0.057935,0.068216,0.086975,0.122270", \ "0.066596,0.068640,0.072494,0.079353,0.090663,0.109882,0.144757"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.093853,0.100351,0.113199,0.137687,0.184475,0.275123,0.449880", \ "0.093851,0.100355,0.113187,0.137610,0.184671,0.275106,0.449876", \ "0.093845,0.100353,0.113190,0.137569,0.184638,0.275176,0.449872", \ "0.093852,0.100345,0.113208,0.137603,0.184564,0.275113,0.449878", \ "0.095822,0.101945,0.114103,0.137680,0.184518,0.275096,0.449871", \ "0.109105,0.115019,0.126527,0.147654,0.189588,0.275586,0.449872", \ "0.126388,0.132731,0.145163,0.167883,0.209076,0.286896,0.450984"); } } internal_power () { related_pin : "A1"; when : "!A2 & !B1 & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("1.152686,1.158169,1.167087,1.185590,1.217749,1.250785,1.286187", \ "1.162813,1.169920,1.185023,1.202648,1.236912,1.273849,1.310507", \ "1.150792,1.162930,1.171652,1.198425,1.235027,1.272311,1.320099", \ "1.162863,1.168548,1.176273,1.200150,1.223133,1.271946,1.316436", \ "1.273392,1.274697,1.262113,1.262100,1.261366,1.293346,1.330646", \ "1.448800,1.445269,1.436305,1.425326,1.398539,1.366437,1.375961", \ "1.706904,1.700695,1.685041,1.656769,1.613845,1.551888,1.481390"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("3.052489,3.058903,3.070086,3.072787,3.083552,3.073298,3.095338", \ "3.000332,3.008866,3.025016,3.046179,3.073396,3.077959,3.105042", \ "2.943773,2.947280,2.965088,2.983831,3.001508,3.040825,3.056102", \ "2.981150,2.981326,2.984124,2.982897,2.982572,3.011125,3.026435", \ "3.122784,3.118213,3.105327,3.078666,3.063568,3.039156,3.033675", \ "3.357340,3.342649,3.318080,3.291325,3.238881,3.182852,3.117824", \ "3.655325,3.646834,3.639602,3.594966,3.514235,3.415616,3.306904"); } } internal_power () { related_pin : "A1"; when : "!A2 & !B1 & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.797187,0.799701,0.807109,0.823994,0.832941,0.855946,0.876947", \ "0.803336,0.807018,0.820265,0.833083,0.859117,0.878059,0.901224", \ "0.785008,0.793339,0.799350,0.826126,0.843829,0.878241,0.910009", \ "0.805913,0.803932,0.805438,0.822253,0.845970,0.872973,0.907475", \ "0.927618,0.923175,0.910053,0.901327,0.883844,0.895464,0.918889", \ "1.116895,1.106393,1.094565,1.064996,1.029173,0.980198,0.966295", \ "1.388311,1.376817,1.353071,1.312727,1.251251,1.171181,1.076144"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("2.585626,2.593242,2.602058,2.602366,2.603790,2.616193,2.607890", \ "2.532699,2.541214,2.554738,2.574695,2.593345,2.617143,2.612642", \ "2.476983,2.482300,2.492206,2.504904,2.546656,2.555447,2.593120", \ "2.516040,2.517281,2.516884,2.515338,2.528438,2.525793,2.564667", \ "2.656873,2.652679,2.639119,2.608374,2.583104,2.581727,2.571117", \ "2.895450,2.882282,2.863252,2.806587,2.758631,2.698043,2.656224", \ "3.136384,3.130175,3.127562,3.129682,3.036299,2.959671,2.846217"); } } internal_power () { related_pin : "A1"; when : "!A2 & !B1 & B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.789916,0.790415,0.801643,0.816811,0.825740,0.846782,0.871934", \ "0.789145,0.795256,0.807210,0.827953,0.846649,0.873800,0.897102", \ "0.779991,0.786247,0.795833,0.815554,0.843098,0.878092,0.903817", \ "0.819300,0.817548,0.810982,0.819018,0.843239,0.874273,0.899814", \ "0.942122,0.934614,0.922519,0.915456,0.900059,0.894748,0.913059", \ "1.130631,1.118716,1.107268,1.078951,1.047221,0.999850,0.968813", \ "1.399571,1.389167,1.366568,1.335196,1.271061,1.191228,1.098702"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("2.581266,2.587687,2.594802,2.606802,2.600050,2.613258,2.598500", \ "2.523136,2.530574,2.547685,2.567705,2.584640,2.608463,2.605186", \ "2.465339,2.472076,2.484253,2.497042,2.509396,2.544462,2.582957", \ "2.513076,2.513946,2.509431,2.505631,2.494820,2.516714,2.553548", \ "2.658306,2.651780,2.637532,2.614626,2.581665,2.577429,2.563695", \ "2.901734,2.889728,2.869208,2.817958,2.762064,2.699274,2.653966", \ "3.150173,3.144474,3.143913,3.138228,3.071335,2.966646,2.850227"); } } internal_power () { related_pin : "A1"; when : "!A2 & B1 & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.797187,0.799701,0.807109,0.823994,0.832941,0.855946,0.876947", \ "0.803336,0.807018,0.820265,0.833083,0.859117,0.878059,0.901224", \ "0.785008,0.793339,0.799350,0.826126,0.843829,0.878241,0.910009", \ "0.805913,0.803932,0.805438,0.822253,0.845970,0.872973,0.907475", \ "0.927618,0.923175,0.910053,0.901327,0.883844,0.895464,0.918889", \ "1.116895,1.106393,1.094565,1.064996,1.029173,0.980198,0.966295", \ "1.388311,1.376817,1.353071,1.312727,1.251251,1.171181,1.076144"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("2.585626,2.593242,2.602058,2.602366,2.603790,2.616193,2.607890", \ "2.532699,2.541214,2.554738,2.574695,2.593345,2.617143,2.612642", \ "2.476983,2.482300,2.492206,2.504904,2.546656,2.555447,2.593120", \ "2.516040,2.517281,2.516884,2.515338,2.528438,2.525793,2.564667", \ "2.656873,2.652679,2.639119,2.608374,2.583104,2.581727,2.571117", \ "2.895450,2.882282,2.863252,2.806587,2.758631,2.698043,2.656224", \ "3.136384,3.130175,3.127562,3.129682,3.036299,2.959671,2.846217"); } } internal_power () { related_pin : "A1"; when : "!A2 & B1 & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.456479,0.458435,0.456380,0.455220,0.458548,0.465309,0.468544", \ "0.456051,0.459055,0.463982,0.471452,0.483580,0.486294,0.494657", \ "0.422718,0.430747,0.439086,0.456697,0.471262,0.485511,0.500567", \ "0.464794,0.456382,0.446723,0.443626,0.460521,0.477314,0.496369", \ "0.593120,0.583196,0.563999,0.541985,0.509009,0.500147,0.508521", \ "0.796509,0.782588,0.759925,0.714475,0.660374,0.592938,0.558011", \ "1.088106,1.068494,1.040527,0.982731,0.899577,0.790536,0.673812"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("2.121477,2.128765,2.134928,2.127623,2.131971,2.144302,2.164882", \ "2.067547,2.080222,2.085395,2.098137,2.121559,2.136756,2.155356", \ "2.013117,2.019027,2.031457,2.049426,2.075561,2.113352,2.136848", \ "2.053363,2.053669,2.049009,2.051906,2.057382,2.075783,2.107579", \ "2.195922,2.187347,2.169866,2.156308,2.110101,2.102709,2.114693", \ "2.345979,2.356870,2.380735,2.362041,2.312912,2.247333,2.199694", \ "2.614707,2.612714,2.608926,2.615594,2.594240,2.476819,2.369363"); } } internal_power () { related_pin : "A1"; when : "!A2 & B1 & !B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.458080,0.459419,0.459757,0.460178,0.465898,0.469957,0.467272", \ "0.456198,0.458256,0.461141,0.473521,0.479432,0.489995,0.496153", \ "0.427356,0.432578,0.441402,0.454348,0.469765,0.488932,0.503627", \ "0.480284,0.475285,0.466511,0.452204,0.464760,0.482241,0.498869", \ "0.606603,0.596538,0.582569,0.562118,0.528476,0.502700,0.510802", \ "0.811777,0.796949,0.770909,0.735189,0.681410,0.617501,0.561307", \ "1.100273,1.083441,1.053218,1.004406,0.919277,0.814141,0.702032"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("2.117468,2.124963,2.130307,2.124691,2.127492,2.132240,2.142196", \ "2.058708,2.065799,2.081614,2.084041,2.112330,2.129192,2.147580", \ "2.006086,2.010627,2.016939,2.031267,2.065184,2.093369,2.126434", \ "2.051187,2.047858,2.045693,2.034543,2.050756,2.066723,2.096706", \ "2.197042,2.193354,2.174610,2.154295,2.107965,2.099025,2.107137", \ "2.354586,2.365019,2.382922,2.365159,2.316307,2.248612,2.197203", \ "2.624269,2.621342,2.623851,2.624543,2.602536,2.483736,2.395784"); } } internal_power () { related_pin : "A1"; when : "!A2 & B1 & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.789916,0.790415,0.801643,0.816811,0.825740,0.846782,0.871934", \ "0.789145,0.795256,0.807210,0.827953,0.846649,0.873800,0.897102", \ "0.779991,0.786247,0.795833,0.815554,0.843098,0.878092,0.903817", \ "0.819300,0.817548,0.810982,0.819018,0.843239,0.874273,0.899814", \ "0.942122,0.934614,0.922519,0.915456,0.900059,0.894748,0.913059", \ "1.130631,1.118716,1.107268,1.078951,1.047221,0.999850,0.968813", \ "1.399571,1.389167,1.366568,1.335196,1.271061,1.191228,1.098702"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("2.581266,2.587687,2.594802,2.606802,2.600050,2.613258,2.598500", \ "2.523136,2.530574,2.547685,2.567705,2.584640,2.608463,2.605186", \ "2.465339,2.472076,2.484253,2.497042,2.509396,2.544462,2.582957", \ "2.513076,2.513946,2.509431,2.505631,2.494820,2.516714,2.553548", \ "2.658306,2.651780,2.637532,2.614626,2.581665,2.577429,2.563695", \ "2.901734,2.889728,2.869208,2.817958,2.762064,2.699274,2.653966", \ "3.150173,3.144474,3.143913,3.138228,3.071335,2.966646,2.850227"); } } internal_power () { related_pin : "A1"; when : "!A2 & B1 & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.458080,0.459419,0.459757,0.460178,0.465898,0.469957,0.467272", \ "0.456198,0.458256,0.461141,0.473521,0.479432,0.489995,0.496153", \ "0.427356,0.432578,0.441402,0.454348,0.469765,0.488932,0.503627", \ "0.480284,0.475285,0.466511,0.452204,0.464760,0.482241,0.498869", \ "0.606603,0.596538,0.582569,0.562118,0.528476,0.502700,0.510802", \ "0.811777,0.796949,0.770909,0.735189,0.681410,0.617501,0.561307", \ "1.100273,1.083441,1.053218,1.004406,0.919277,0.814141,0.702032"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("2.117468,2.124963,2.130307,2.124691,2.127492,2.132240,2.142196", \ "2.058708,2.065799,2.081614,2.084041,2.112330,2.129192,2.147580", \ "2.006086,2.010627,2.016939,2.031267,2.065184,2.093369,2.126434", \ "2.051187,2.047858,2.045693,2.034543,2.050756,2.066723,2.096706", \ "2.197042,2.193354,2.174610,2.154295,2.107965,2.099025,2.107137", \ "2.354586,2.365019,2.382922,2.365159,2.316307,2.248612,2.197203", \ "2.624269,2.621342,2.623851,2.624543,2.602536,2.483736,2.395784"); } } internal_power () { related_pin : "A1"; when : "!A2 & B1 & B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.460747,0.457090,0.457442,0.465450,0.461042,0.470311,0.469996", \ "0.458388,0.457035,0.461154,0.472811,0.480179,0.491815,0.495676", \ "0.432046,0.437964,0.447166,0.457363,0.472170,0.489622,0.502920", \ "0.492937,0.491889,0.480516,0.472346,0.468922,0.481957,0.495633", \ "0.619422,0.611511,0.598443,0.574767,0.550882,0.513264,0.514541", \ "0.826389,0.812132,0.788147,0.748430,0.701755,0.641741,0.573978", \ "1.117214,1.099255,1.073370,1.018244,0.944162,0.840023,0.730683"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("2.116917,2.123100,2.133256,2.123172,2.127156,2.132174,2.141467", \ "2.047996,2.057589,2.071950,2.089588,2.106854,2.123337,2.142451", \ "1.993987,1.999726,2.006366,2.023415,2.053986,2.081744,2.115184", \ "2.048900,2.045107,2.043091,2.035540,2.042989,2.056838,2.084932", \ "2.203380,2.195462,2.177354,2.148734,2.107386,2.095006,2.099067", \ "2.360235,2.368134,2.387806,2.376152,2.320258,2.250490,2.195138", \ "2.638480,2.633959,2.639825,2.633989,2.611396,2.491327,2.400469"); } } internal_power () { related_pin : "A2"; when : "!A1 & !B1 & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("1.488041,1.497092,1.504195,1.534806,1.560442,1.607321,1.657692", \ "1.452847,1.463865,1.468874,1.499180,1.526915,1.573598,1.626211", \ "1.415914,1.426412,1.438470,1.464732,1.500770,1.548738,1.602583", \ "1.423860,1.424816,1.437354,1.456751,1.499063,1.545892,1.596866", \ "1.529852,1.530288,1.523179,1.520592,1.523640,1.563666,1.610660", \ "1.712188,1.707802,1.704279,1.695488,1.676217,1.645188,1.665776", \ "1.972702,1.969995,1.957679,1.938966,1.903163,1.847763,1.784736"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("3.627436,3.630570,3.634769,3.637991,3.632247,3.645459,3.637420", \ "3.598552,3.607912,3.619998,3.617733,3.647194,3.643178,3.669129", \ "3.531538,3.536080,3.548712,3.565952,3.586279,3.620148,3.630990", \ "3.501423,3.502128,3.506624,3.510699,3.537312,3.573432,3.592177", \ "3.524450,3.526408,3.522511,3.502201,3.518562,3.542500,3.555550", \ "3.606668,3.603025,3.594611,3.574962,3.586343,3.571673,3.585550", \ "3.734846,3.737770,3.744595,3.742764,3.688345,3.660231,3.615218"); } } internal_power () { related_pin : "A2"; when : "!A1 & !B1 & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("1.124034,1.129995,1.136019,1.159723,1.176383,1.211363,1.248239", \ "1.088364,1.094790,1.099811,1.110470,1.143027,1.178183,1.215591", \ "1.049394,1.057687,1.064198,1.091563,1.116565,1.152467,1.191795", \ "1.055085,1.056927,1.070818,1.079735,1.114493,1.149455,1.185878", \ "1.181601,1.178441,1.167106,1.158673,1.143505,1.180682,1.204206", \ "1.374310,1.369025,1.357464,1.340115,1.309484,1.259770,1.257355", \ "1.645206,1.636229,1.622677,1.588786,1.538526,1.468016,1.373945"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("3.161079,3.165215,3.171440,3.168057,3.177017,3.187915,3.175170", \ "3.131301,3.140259,3.144993,3.162847,3.166032,3.184845,3.208054", \ "3.064704,3.071029,3.080555,3.097319,3.131447,3.134542,3.168082", \ "3.035478,3.036346,3.033197,3.041271,3.057187,3.088993,3.131004", \ "3.055670,3.059202,3.048398,3.048391,3.063924,3.057866,3.093791", \ "3.137788,3.139666,3.130738,3.105292,3.105938,3.102376,3.093432", \ "3.217412,3.220224,3.225537,3.255034,3.233521,3.203865,3.154456"); } } internal_power () { related_pin : "A2"; when : "!A1 & !B1 & B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("1.106376,1.113998,1.118294,1.138178,1.158069,1.201832,1.233672", \ "1.068227,1.075612,1.085662,1.103001,1.130202,1.168648,1.201322", \ "1.031097,1.040217,1.044007,1.066199,1.099285,1.141558,1.177174", \ "1.053016,1.053433,1.057895,1.073660,1.102998,1.137322,1.169667", \ "1.187956,1.186890,1.179988,1.167536,1.152545,1.165554,1.190616", \ "1.385454,1.376746,1.369523,1.349540,1.321091,1.274977,1.253699", \ "1.658553,1.649967,1.637488,1.606856,1.559031,1.482511,1.391957"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("3.157035,3.162590,3.162830,3.165662,3.174092,3.185976,3.171271", \ "3.123310,3.126421,3.140943,3.153878,3.157747,3.190350,3.200801", \ "3.058476,3.062588,3.069776,3.094799,3.095473,3.125388,3.158735", \ "3.032417,3.029953,3.034797,3.033120,3.050122,3.078618,3.118900", \ "3.054851,3.056273,3.048449,3.054530,3.059423,3.051258,3.086357", \ "3.137507,3.137663,3.129093,3.121731,3.104134,3.099455,3.085835", \ "3.220007,3.224749,3.229214,3.255678,3.234097,3.202959,3.151587"); } } internal_power () { related_pin : "A2"; when : "!A1 & B1 & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("1.124034,1.129995,1.136019,1.159723,1.176383,1.211363,1.248239", \ "1.088364,1.094790,1.099811,1.110470,1.143027,1.178183,1.215591", \ "1.049394,1.057687,1.064198,1.091563,1.116565,1.152467,1.191795", \ "1.055085,1.056927,1.070818,1.079735,1.114493,1.149455,1.185878", \ "1.181601,1.178441,1.167106,1.158673,1.143505,1.180682,1.204206", \ "1.374310,1.369025,1.357464,1.340115,1.309484,1.259770,1.257355", \ "1.645206,1.636229,1.622677,1.588786,1.538526,1.468016,1.373945"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("3.161079,3.165215,3.171440,3.168057,3.177017,3.187915,3.175170", \ "3.131301,3.140259,3.144993,3.162847,3.166032,3.184845,3.208054", \ "3.064704,3.071029,3.080555,3.097319,3.131447,3.134542,3.168082", \ "3.035478,3.036346,3.033197,3.041271,3.057187,3.088993,3.131004", \ "3.055670,3.059202,3.048398,3.048391,3.063924,3.057866,3.093791", \ "3.137788,3.139666,3.130738,3.105292,3.105938,3.102376,3.093432", \ "3.217412,3.220224,3.225537,3.255034,3.233521,3.203865,3.154456"); } } internal_power () { related_pin : "A2"; when : "!A1 & B1 & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.768657,0.771367,0.771789,0.782536,0.796678,0.817158,0.837498", \ "0.732370,0.734853,0.744175,0.746055,0.763215,0.784590,0.805652", \ "0.689254,0.697349,0.703108,0.720285,0.734720,0.758440,0.781152", \ "0.706469,0.702570,0.703174,0.715819,0.732514,0.754817,0.775577", \ "0.843965,0.836583,0.827026,0.804552,0.775565,0.786408,0.795071", \ "1.046375,1.039545,1.021486,0.986718,0.941598,0.878209,0.854226", \ "1.331970,1.322477,1.296451,1.256110,1.185926,1.088072,0.974229"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("2.697247,2.703286,2.707875,2.703207,2.705035,2.708820,2.718531", \ "2.668349,2.671152,2.678589,2.677862,2.692654,2.704125,2.719341", \ "2.600283,2.604361,2.611138,2.618929,2.660279,2.684594,2.712350", \ "2.571506,2.568952,2.575038,2.569704,2.583609,2.639071,2.673610", \ "2.593291,2.591231,2.582647,2.566106,2.592269,2.607168,2.636605", \ "2.589000,2.607355,2.633309,2.658233,2.631693,2.622036,2.636431", \ "2.691703,2.694474,2.716226,2.741952,2.787887,2.720374,2.698786"); } } internal_power () { related_pin : "A2"; when : "!A1 & B1 & !B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.755531,0.763259,0.766792,0.782420,0.791767,0.814565,0.832620", \ "0.723031,0.723619,0.732371,0.748413,0.756896,0.776677,0.800447", \ "0.682425,0.687288,0.696525,0.710397,0.725988,0.748603,0.775603", \ "0.717118,0.714899,0.703919,0.712399,0.728053,0.743715,0.769711", \ "0.856596,0.848964,0.833254,0.822349,0.792334,0.783793,0.790983", \ "1.057445,1.049574,1.027380,1.005552,0.957551,0.898124,0.854368", \ "1.344374,1.334525,1.313548,1.270804,1.204025,1.109829,0.997159"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("2.693471,2.695870,2.694416,2.691903,2.701531,2.705323,2.714668", \ "2.659335,2.664132,2.677118,2.679106,2.684890,2.696547,2.711907", \ "2.594639,2.597024,2.608395,2.616340,2.651271,2.675583,2.703084", \ "2.569021,2.570624,2.567301,2.567504,2.576863,2.629487,2.663520", \ "2.591739,2.589725,2.590664,2.583108,2.588433,2.601151,2.628855", \ "2.588887,2.603526,2.640327,2.658922,2.629529,2.619004,2.630006", \ "2.694415,2.698643,2.718818,2.743489,2.788629,2.719473,2.695470"); } } internal_power () { related_pin : "A2"; when : "!A1 & B1 & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("1.106376,1.113998,1.118294,1.138178,1.158069,1.201832,1.233672", \ "1.068227,1.075612,1.085662,1.103001,1.130202,1.168648,1.201322", \ "1.031097,1.040217,1.044007,1.066199,1.099285,1.141558,1.177174", \ "1.053016,1.053433,1.057895,1.073660,1.102998,1.137322,1.169667", \ "1.187956,1.186890,1.179988,1.167536,1.152545,1.165554,1.190616", \ "1.385454,1.376746,1.369523,1.349540,1.321091,1.274977,1.253699", \ "1.658553,1.649967,1.637488,1.606856,1.559031,1.482511,1.391957"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("3.157035,3.162590,3.162830,3.165662,3.174092,3.185976,3.171271", \ "3.123310,3.126421,3.140943,3.153878,3.157747,3.190350,3.200801", \ "3.058476,3.062588,3.069776,3.094799,3.095473,3.125388,3.158735", \ "3.032417,3.029953,3.034797,3.033120,3.050122,3.078618,3.118900", \ "3.054851,3.056273,3.048449,3.054530,3.059423,3.051258,3.086357", \ "3.137507,3.137663,3.129093,3.121731,3.104134,3.099455,3.085835", \ "3.220007,3.224749,3.229214,3.255678,3.234097,3.202959,3.151587"); } } internal_power () { related_pin : "A2"; when : "!A1 & B1 & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.755531,0.763259,0.766792,0.782420,0.791767,0.814565,0.832620", \ "0.723031,0.723619,0.732371,0.748413,0.756896,0.776677,0.800447", \ "0.682425,0.687288,0.696525,0.710397,0.725988,0.748603,0.775603", \ "0.717118,0.714899,0.703919,0.712399,0.728053,0.743715,0.769711", \ "0.856596,0.848964,0.833254,0.822349,0.792334,0.783793,0.790983", \ "1.057445,1.049574,1.027380,1.005552,0.957551,0.898124,0.854368", \ "1.344374,1.334525,1.313548,1.270804,1.204025,1.109829,0.997159"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("2.693471,2.695870,2.694416,2.691903,2.701531,2.705323,2.714668", \ "2.659335,2.664132,2.677118,2.679106,2.684890,2.696547,2.711907", \ "2.594639,2.597024,2.608395,2.616340,2.651271,2.675583,2.703084", \ "2.569021,2.570624,2.567301,2.567504,2.576863,2.629487,2.663520", \ "2.591739,2.589725,2.590664,2.583108,2.588433,2.601151,2.628855", \ "2.588887,2.603526,2.640327,2.658922,2.629529,2.619004,2.630006", \ "2.694415,2.698643,2.718818,2.743489,2.788629,2.719473,2.695470"); } } internal_power () { related_pin : "A2"; when : "!A1 & B1 & B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.738413,0.740256,0.752848,0.765515,0.782717,0.802449,0.819674", \ "0.702015,0.709945,0.719719,0.731931,0.749680,0.770644,0.792342", \ "0.664564,0.673167,0.681320,0.694333,0.720356,0.746213,0.768363", \ "0.724822,0.722015,0.717265,0.707427,0.721501,0.742401,0.764029", \ "0.863707,0.858983,0.847869,0.830486,0.803163,0.776877,0.789293", \ "1.068799,1.058981,1.040409,1.018550,0.974913,0.916115,0.850094", \ "1.357828,1.346984,1.326539,1.285674,1.221876,1.130667,1.019783"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("2.692996,2.699286,2.700978,2.693617,2.701043,2.704633,2.713915", \ "2.649406,2.659812,2.669383,2.666469,2.680066,2.691664,2.706914", \ "2.584075,2.589079,2.600428,2.611989,2.641769,2.665346,2.692874", \ "2.562071,2.565273,2.560559,2.573014,2.570508,2.590029,2.641192", \ "2.590130,2.588370,2.582551,2.584046,2.583764,2.594623,2.619614", \ "2.588763,2.606143,2.635712,2.662222,2.628185,2.615023,2.625293", \ "2.697253,2.701636,2.718477,2.745113,2.789340,2.718749,2.692402"); } } internal_power () { related_pin : "B1"; when : "!A1 & A2 & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("1.160743,1.163647,1.177945,1.189527,1.217925,1.253581,1.289136", \ "1.168749,1.175506,1.188314,1.205866,1.232754,1.272018,1.312833", \ "1.148659,1.158353,1.173623,1.192138,1.233253,1.274424,1.319393", \ "1.112848,1.123121,1.137158,1.157052,1.203505,1.252575,1.306087", \ "1.152150,1.155581,1.157128,1.165917,1.189564,1.235322,1.288481", \ "1.235189,1.234523,1.228942,1.234198,1.241744,1.247341,1.288532", \ "1.374734,1.371989,1.370110,1.359215,1.350194,1.340750,1.324889"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("4.160522,4.165110,4.176935,4.190851,4.189771,4.210456,4.250667", \ "4.124582,4.128352,4.141592,4.154924,4.173762,4.201037,4.245280", \ "4.048437,4.057241,4.069507,4.084380,4.125501,4.168497,4.194723", \ "4.062334,4.064746,4.065484,4.068085,4.106824,4.123449,4.171317", \ "4.176772,4.173284,4.169618,4.149157,4.165420,4.172817,4.184199", \ "4.383369,4.380806,4.372761,4.359504,4.319361,4.287022,4.272349", \ "4.695923,4.690001,4.679489,4.631235,4.582578,4.532336,4.463106"); } } internal_power () { related_pin : "B1"; when : "!A1 & A2 & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.803822,0.804269,0.813942,0.821172,0.838182,0.858934,0.879588", \ "0.808008,0.811322,0.826194,0.833662,0.852519,0.882993,0.905149", \ "0.773197,0.784918,0.792760,0.819536,0.841394,0.881300,0.909394", \ "0.738976,0.744650,0.760054,0.785336,0.813999,0.854194,0.894596", \ "0.783019,0.784769,0.786926,0.785739,0.795662,0.832915,0.876780", \ "0.870264,0.868924,0.861501,0.857679,0.857622,0.847582,0.875354", \ "1.019688,1.015433,1.006632,0.991017,0.970659,0.942947,0.912136"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("3.676080,3.681995,3.688272,3.706442,3.722502,3.746957,3.754363", \ "3.637467,3.643034,3.660271,3.671765,3.710758,3.707483,3.748595", \ "3.564904,3.572365,3.585159,3.612768,3.638200,3.678582,3.729041", \ "3.575158,3.581330,3.582083,3.588906,3.615418,3.652815,3.705164", \ "3.690271,3.690466,3.682773,3.686721,3.687109,3.680397,3.717287", \ "3.902640,3.897842,3.883667,3.866965,3.855555,3.822680,3.807033", \ "4.160055,4.163855,4.177603,4.171762,4.118905,4.047980,3.997781"); } } internal_power () { related_pin : "B1"; when : "!A1 & A2 & !B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.790668,0.790883,0.796844,0.813715,0.831948,0.848973,0.873897", \ "0.791108,0.800827,0.808093,0.827022,0.846829,0.868299,0.897460", \ "0.764969,0.771576,0.789676,0.811703,0.829205,0.866029,0.902874", \ "0.746119,0.748635,0.756862,0.777427,0.806053,0.843012,0.888484", \ "0.794273,0.795822,0.795519,0.799045,0.804208,0.826608,0.871089", \ "0.881705,0.878647,0.873366,0.870826,0.869311,0.866621,0.872783", \ "1.032239,1.026967,1.018616,1.004978,0.984515,0.963758,0.934482"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("3.668918,3.674983,3.684242,3.702522,3.717083,3.741820,3.748983", \ "3.618434,3.627154,3.639716,3.650231,3.666102,3.693976,3.735246", \ "3.548207,3.557244,3.572470,3.579750,3.621370,3.661246,3.710863", \ "3.572492,3.572114,3.574505,3.575989,3.605379,3.641585,3.691639", \ "3.690873,3.688350,3.685080,3.682223,3.684962,3.674962,3.708905", \ "3.907757,3.900748,3.898565,3.885439,3.857996,3.822136,3.801526", \ "4.174504,4.178877,4.190872,4.178482,4.127393,4.055281,4.001127"); } } internal_power () { related_pin : "B1"; when : "A1 & !A2 & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.803822,0.804269,0.813942,0.821172,0.838182,0.858934,0.879588", \ "0.808008,0.811322,0.826194,0.833662,0.852519,0.882993,0.905149", \ "0.773197,0.784918,0.792760,0.819536,0.841394,0.881300,0.909394", \ "0.738976,0.744650,0.760054,0.785336,0.813999,0.854194,0.894596", \ "0.783019,0.784769,0.786926,0.785739,0.795662,0.832915,0.876780", \ "0.870264,0.868924,0.861501,0.857679,0.857622,0.847582,0.875354", \ "1.019688,1.015433,1.006632,0.991017,0.970659,0.942947,0.912136"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("3.676080,3.681995,3.688272,3.706442,3.722502,3.746957,3.754363", \ "3.637467,3.643034,3.660271,3.671765,3.710758,3.707483,3.748595", \ "3.564904,3.572365,3.585159,3.612768,3.638200,3.678582,3.729041", \ "3.575158,3.581330,3.582083,3.588906,3.615418,3.652815,3.705164", \ "3.690271,3.690466,3.682773,3.686721,3.687109,3.680397,3.717287", \ "3.902640,3.897842,3.883667,3.866965,3.855555,3.822680,3.807033", \ "4.160055,4.163855,4.177603,4.171762,4.118905,4.047980,3.997781"); } } internal_power () { related_pin : "B1"; when : "A1 & !A2 & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.464180,0.462985,0.460908,0.462227,0.465034,0.467949,0.469851", \ "0.462335,0.463589,0.467936,0.474692,0.480925,0.489221,0.494185", \ "0.406096,0.414760,0.425318,0.445120,0.460575,0.483600,0.499665", \ "0.370130,0.370794,0.373176,0.397625,0.426258,0.457233,0.483941", \ "0.415539,0.413848,0.413573,0.412342,0.406942,0.432316,0.464641", \ "0.509113,0.506454,0.498745,0.485063,0.469270,0.452323,0.462609", \ "0.675652,0.666913,0.652488,0.624334,0.589586,0.549600,0.502134"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("3.178768,3.185201,3.199072,3.199854,3.239121,3.258565,3.292953", \ "3.141911,3.149801,3.159996,3.186255,3.222518,3.247867,3.286773", \ "3.064741,3.074279,3.089271,3.121122,3.149566,3.186664,3.266720", \ "3.079892,3.085174,3.088660,3.110760,3.128871,3.162766,3.212088", \ "3.195815,3.196394,3.196354,3.181038,3.203088,3.222741,3.256629", \ "3.389586,3.403896,3.406542,3.388806,3.371802,3.335122,3.345045", \ "3.611911,3.620018,3.639555,3.657951,3.637483,3.559076,3.507150"); } } internal_power () { related_pin : "B1"; when : "A1 & !A2 & !B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.464785,0.462091,0.464513,0.461195,0.468896,0.470044,0.468401", \ "0.464091,0.465935,0.469798,0.476038,0.481502,0.488971,0.492134", \ "0.408873,0.418502,0.426835,0.443568,0.469320,0.484167,0.502279", \ "0.389520,0.389895,0.393421,0.400952,0.429150,0.458174,0.483155", \ "0.429564,0.430570,0.431176,0.426082,0.427248,0.434132,0.467828", \ "0.524719,0.520343,0.512745,0.500335,0.490533,0.473582,0.468422", \ "0.689744,0.681286,0.664751,0.640394,0.612121,0.571218,0.528778"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("3.173544,3.180662,3.194144,3.205322,3.234152,3.253930,3.287926", \ "3.122993,3.132092,3.148050,3.171476,3.180194,3.234790,3.273479", \ "3.052590,3.062006,3.077094,3.094828,3.135382,3.171411,3.218961", \ "3.075385,3.082100,3.088784,3.104321,3.119183,3.151695,3.198372", \ "3.196485,3.194682,3.196323,3.195719,3.200465,3.216202,3.215503", \ "3.394016,3.411046,3.412419,3.394802,3.374545,3.335357,3.342181", \ "3.621648,3.633339,3.647776,3.667200,3.645774,3.566317,3.510871"); } } internal_power () { related_pin : "B1"; when : "A1 & A2 & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.797966,0.802114,0.812594,0.810693,0.828098,0.854749,0.873485", \ "0.802612,0.812098,0.821033,0.835616,0.857317,0.874450,0.897544", \ "0.773722,0.783824,0.796768,0.810804,0.843273,0.874098,0.902332", \ "0.738984,0.747973,0.755629,0.773546,0.815513,0.848093,0.890803", \ "0.788817,0.788585,0.787115,0.790839,0.797710,0.828936,0.873631", \ "0.877095,0.873452,0.871115,0.864929,0.862964,0.854152,0.873453", \ "1.031211,1.026234,1.020299,0.998925,0.981081,0.953484,0.922438"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("3.921809,3.926425,3.936692,3.950072,3.959970,3.982473,4.019543", \ "3.883200,3.890946,3.908306,3.926458,3.948433,3.977630,4.018484", \ "3.809300,3.818575,3.834697,3.856926,3.873699,3.913900,3.967942", \ "3.821869,3.825489,3.828964,3.839382,3.848949,3.887730,3.940096", \ "3.929664,3.927931,3.927796,3.914505,3.922434,3.944413,3.952407", \ "4.138122,4.132731,4.125295,4.108363,4.089711,4.058834,4.043812", \ "4.407278,4.408442,4.426268,4.396393,4.353713,4.284212,4.234541"); } } internal_power () { related_pin : "B1"; when : "A1 & A2 & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.461805,0.461681,0.462258,0.461487,0.468833,0.465298,0.467388", \ "0.460189,0.463245,0.465858,0.476610,0.480645,0.488999,0.496306", \ "0.409492,0.418753,0.430473,0.448165,0.461137,0.487191,0.500904", \ "0.372693,0.376015,0.380655,0.394865,0.420443,0.457240,0.483031", \ "0.417762,0.417771,0.416152,0.414270,0.412634,0.433936,0.464378", \ "0.515994,0.510882,0.500059,0.492959,0.477901,0.462252,0.462835", \ "0.686899,0.676743,0.663861,0.635127,0.598105,0.559974,0.515968"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("3.426544,3.432236,3.441408,3.447047,3.471141,3.493570,3.526274", \ "3.386922,3.395015,3.410981,3.429758,3.460265,3.486863,3.525827", \ "3.313258,3.323607,3.333783,3.368883,3.385293,3.453523,3.504181", \ "3.321719,3.328115,3.330632,3.348811,3.362421,3.427915,3.478223", \ "3.434869,3.439192,3.436424,3.426885,3.437213,3.455170,3.489720", \ "3.639542,3.642450,3.645204,3.625394,3.607643,3.569695,3.578809", \ "3.857708,3.865630,3.880011,3.904102,3.869837,3.825487,3.775222"); } } internal_power () { related_pin : "B1"; when : "A1 & A2 & !B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.463936,0.464583,0.464479,0.465658,0.469624,0.470015,0.471929", \ "0.462674,0.461826,0.466358,0.477890,0.477145,0.487380,0.495453", \ "0.410794,0.419857,0.429852,0.450183,0.470090,0.487002,0.498934", \ "0.395433,0.396018,0.398599,0.402229,0.431629,0.460614,0.486122", \ "0.435835,0.432091,0.435433,0.435267,0.433300,0.434984,0.467054", \ "0.528304,0.525986,0.519949,0.507731,0.496085,0.484302,0.466404", \ "0.698366,0.691771,0.674999,0.653442,0.622163,0.582398,0.542743"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("3.416495,3.423374,3.432922,3.456627,3.468020,3.487669,3.521198", \ "3.372481,3.376521,3.393391,3.418604,3.445354,3.473491,3.512437", \ "3.296098,3.305085,3.321476,3.341323,3.369340,3.437914,3.488026", \ "3.316883,3.323446,3.332417,3.329665,3.354227,3.415518,3.463980", \ "3.435469,3.438981,3.435312,3.435663,3.434716,3.450237,3.480249", \ "3.644693,3.649138,3.649117,3.622351,3.609403,3.601765,3.576255", \ "3.867572,3.876804,3.895516,3.927830,3.878207,3.833168,3.780060"); } } internal_power () { related_pin : "B2"; when : "!A1 & A2 & !B1 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("1.493697,1.500102,1.509660,1.534747,1.567146,1.611090,1.660840", \ "1.457948,1.467697,1.475607,1.489412,1.532286,1.577218,1.628321", \ "1.423977,1.431844,1.445421,1.463560,1.506016,1.549846,1.603361", \ "1.397160,1.407668,1.422539,1.454891,1.474547,1.534519,1.583994", \ "1.437574,1.437521,1.434153,1.449313,1.487659,1.523789,1.582916", \ "1.531864,1.533117,1.530952,1.535148,1.539256,1.544923,1.596617", \ "1.671285,1.669119,1.669341,1.661962,1.655734,1.649041,1.633193"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("4.724872,4.726664,4.730703,4.752544,4.755761,4.780830,4.791699", \ "4.703000,4.705595,4.719370,4.728957,4.736026,4.763853,4.806637", \ "4.642211,4.644840,4.659349,4.677880,4.711396,4.734142,4.768588", \ "4.605702,4.609010,4.617373,4.628212,4.645567,4.682848,4.738904", \ "4.615428,4.613556,4.623354,4.618914,4.648042,4.686716,4.712601", \ "4.679712,4.680655,4.679936,4.685509,4.683995,4.702261,4.747821", \ "4.811114,4.824223,4.823107,4.819975,4.798445,4.793563,4.778502"); } } internal_power () { related_pin : "B2"; when : "!A1 & A2 & !B1 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("1.125641,1.134478,1.135813,1.157860,1.182918,1.215210,1.250728", \ "1.092931,1.099824,1.110294,1.122715,1.151607,1.181812,1.218218", \ "1.050975,1.056815,1.072911,1.090109,1.118058,1.153759,1.192448", \ "1.028892,1.032591,1.050055,1.070170,1.096796,1.134339,1.173102", \ "1.075530,1.074623,1.077070,1.076454,1.087916,1.131468,1.168485", \ "1.169153,1.168679,1.161114,1.158719,1.158260,1.151011,1.183466", \ "1.313525,1.310758,1.306849,1.292050,1.279665,1.258023,1.222812"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("4.238449,4.239770,4.254239,4.270761,4.265037,4.288065,4.324681", \ "4.216294,4.222035,4.231292,4.236088,4.271773,4.301786,4.309570", \ "4.154792,4.161360,4.176524,4.199995,4.220611,4.254504,4.301243", \ "4.118609,4.127156,4.135478,4.142388,4.178752,4.218951,4.273361", \ "4.130256,4.133906,4.138764,4.139159,4.158167,4.194858,4.247623", \ "4.190651,4.195035,4.195249,4.206735,4.220308,4.238741,4.266688", \ "4.277760,4.285678,4.306044,4.323114,4.306257,4.300565,4.320942"); } } internal_power () { related_pin : "B2"; when : "!A1 & A2 & !B1 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("1.104028,1.106565,1.122295,1.135175,1.164957,1.196444,1.235580", \ "1.068961,1.071670,1.084172,1.105897,1.120447,1.169538,1.203569", \ "1.030538,1.037196,1.052267,1.057866,1.101342,1.140837,1.176343", \ "1.011869,1.018335,1.023420,1.049182,1.081747,1.116716,1.162676", \ "1.077243,1.080080,1.077750,1.082785,1.086990,1.121411,1.155856", \ "1.172811,1.172634,1.165465,1.164045,1.162461,1.157604,1.169807", \ "1.320583,1.315627,1.310697,1.299262,1.289891,1.267404,1.236929"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("4.233178,4.235468,4.241853,4.257480,4.264155,4.283010,4.320182", \ "4.198470,4.205955,4.212040,4.228251,4.258388,4.257943,4.297068", \ "4.139975,4.148603,4.162461,4.174629,4.210017,4.240298,4.287357", \ "4.113136,4.120902,4.125509,4.136838,4.170817,4.208562,4.259867", \ "4.127345,4.129339,4.141791,4.136104,4.153801,4.189231,4.236140", \ "4.193258,4.193431,4.199322,4.201863,4.217652,4.236523,4.262005", \ "4.279607,4.291129,4.311245,4.333060,4.306364,4.328701,4.311172"); } } internal_power () { related_pin : "B2"; when : "A1 & !A2 & !B1 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("1.125641,1.134478,1.135813,1.157860,1.182918,1.215210,1.250728", \ "1.092931,1.099824,1.110294,1.122715,1.151607,1.181812,1.218218", \ "1.050975,1.056815,1.072911,1.090109,1.118058,1.153759,1.192448", \ "1.028892,1.032591,1.050055,1.070170,1.096796,1.134339,1.173102", \ "1.075530,1.074623,1.077070,1.076454,1.087916,1.131468,1.168485", \ "1.169153,1.168679,1.161114,1.158719,1.158260,1.151011,1.183466", \ "1.313525,1.310758,1.306849,1.292050,1.279665,1.258023,1.222812"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("4.238449,4.239770,4.254239,4.270761,4.265037,4.288065,4.324681", \ "4.216294,4.222035,4.231292,4.236088,4.271773,4.301786,4.309570", \ "4.154792,4.161360,4.176524,4.199995,4.220611,4.254504,4.301243", \ "4.118609,4.127156,4.135478,4.142388,4.178752,4.218951,4.273361", \ "4.130256,4.133906,4.138764,4.139159,4.158167,4.194858,4.247623", \ "4.190651,4.195035,4.195249,4.206735,4.220308,4.238741,4.266688", \ "4.277760,4.285678,4.306044,4.323114,4.306257,4.300565,4.320942"); } } internal_power () { related_pin : "B2"; when : "A1 & !A2 & !B1 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.770815,0.775150,0.782291,0.791925,0.802736,0.821686,0.840455", \ "0.737405,0.738774,0.745022,0.747017,0.768196,0.788416,0.808542", \ "0.692275,0.698360,0.703890,0.712277,0.735823,0.759243,0.781941", \ "0.660863,0.667757,0.674746,0.688134,0.707765,0.734605,0.765965", \ "0.720012,0.717055,0.714528,0.711449,0.709026,0.730551,0.755961", \ "0.810102,0.806533,0.799371,0.794883,0.780087,0.755511,0.767798", \ "0.961101,0.955809,0.943099,0.927694,0.902844,0.866901,0.814922"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("3.743454,3.747418,3.759473,3.779014,3.784714,3.828917,3.864002", \ "3.721096,3.728277,3.734631,3.742390,3.787785,3.811440,3.848394", \ "3.659273,3.667426,3.685124,3.701295,3.734001,3.764452,3.808556", \ "3.622274,3.630065,3.634778,3.656824,3.694447,3.729666,3.779465", \ "3.630666,3.639508,3.644403,3.660437,3.672846,3.705901,3.785134", \ "3.672018,3.695906,3.712293,3.705000,3.733747,3.750822,3.793844", \ "3.726653,3.736367,3.774591,3.814532,3.851498,3.840205,3.852610"); } } internal_power () { related_pin : "B2"; when : "A1 & !A2 & !B1 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.754518,0.761605,0.770678,0.779343,0.793689,0.811542,0.830441", \ "0.725594,0.726361,0.735505,0.748181,0.760482,0.777163,0.801927", \ "0.676287,0.685119,0.694501,0.707265,0.724861,0.747476,0.775314", \ "0.664881,0.664300,0.667342,0.679446,0.706934,0.731846,0.755747", \ "0.725315,0.724901,0.725897,0.723793,0.716105,0.726153,0.755176", \ "0.819049,0.815014,0.806810,0.805261,0.793510,0.774655,0.767626", \ "0.967813,0.965205,0.955599,0.938473,0.913191,0.882044,0.835599"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("3.733677,3.740741,3.754199,3.772811,3.773870,3.825154,3.858862", \ "3.703122,3.711145,3.722221,3.740461,3.774755,3.798315,3.835263", \ "3.644492,3.653371,3.669837,3.683321,3.720700,3.750861,3.793948", \ "3.617483,3.625910,3.636337,3.638708,3.685026,3.719997,3.766577", \ "3.628436,3.635303,3.645965,3.644169,3.668596,3.698427,3.774760", \ "3.671171,3.691637,3.713407,3.709585,3.732931,3.748738,3.783070", \ "3.728492,3.742068,3.768945,3.815508,3.851382,3.839789,3.849189"); } } internal_power () { related_pin : "B2"; when : "A1 & A2 & !B1 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("1.122065,1.127032,1.134449,1.152453,1.175181,1.200190,1.235806", \ "1.088755,1.092670,1.104140,1.120036,1.140051,1.166694,1.209850", \ "1.047522,1.054845,1.065779,1.081653,1.106329,1.142079,1.183099", \ "1.022788,1.027644,1.036547,1.056653,1.090056,1.128880,1.166660", \ "1.078934,1.080367,1.074512,1.077080,1.082615,1.126122,1.160559", \ "1.173138,1.173326,1.168142,1.169019,1.159327,1.150519,1.173650", \ "1.321962,1.320489,1.315935,1.302160,1.288538,1.263520,1.228865"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("4.482650,4.489753,4.498320,4.497605,4.528474,4.552682,4.559893", \ "4.462695,4.470133,4.483239,4.502115,4.515489,4.541057,4.580750", \ "4.398969,4.405545,4.418446,4.445570,4.478029,4.493362,4.540528", \ "4.364492,4.369528,4.374894,4.394975,4.415499,4.452925,4.507891", \ "4.370763,4.371580,4.378376,4.379613,4.391785,4.436471,4.477741", \ "4.433764,4.434843,4.444306,4.436433,4.453054,4.470479,4.511030", \ "4.523393,4.536979,4.560686,4.579836,4.565450,4.561388,4.574576"); } } internal_power () { related_pin : "B2"; when : "A1 & A2 & !B1 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.769705,0.769633,0.778670,0.777726,0.793194,0.817878,0.834623", \ "0.733603,0.738773,0.739186,0.745220,0.758166,0.784254,0.802634", \ "0.690386,0.695223,0.701054,0.708857,0.729094,0.753422,0.775251", \ "0.657816,0.661178,0.668486,0.682437,0.714324,0.733136,0.758574", \ "0.721490,0.720875,0.715190,0.714847,0.703611,0.731420,0.752540", \ "0.815666,0.812481,0.807176,0.801350,0.785010,0.764850,0.770056", \ "0.967746,0.964611,0.954480,0.934812,0.911689,0.873668,0.824825"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("3.987106,3.995868,4.002471,4.004157,4.041785,4.063478,4.097276", \ "3.966870,3.974506,3.986657,4.011040,4.027807,4.051909,4.087811", \ "3.902786,3.914826,3.926811,3.947090,3.968262,4.032531,4.079156", \ "3.868489,3.876737,3.886168,3.887915,3.925792,3.994192,4.046058", \ "3.875747,3.877398,3.887198,3.902667,3.905402,3.968571,4.016336", \ "3.926980,3.942952,3.955111,3.958861,3.966103,3.982366,4.018293", \ "3.973825,3.990143,4.021548,4.066277,4.082341,4.070864,4.082350"); } } internal_power () { related_pin : "B2"; when : "A1 & A2 & !B1 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.750261,0.756374,0.762317,0.764036,0.784574,0.808070,0.823644", \ "0.714156,0.720791,0.725913,0.734605,0.757241,0.773079,0.795759", \ "0.670423,0.678357,0.686199,0.703866,0.718743,0.740350,0.767408", \ "0.668675,0.667616,0.664068,0.674307,0.703943,0.730044,0.753725", \ "0.729467,0.727001,0.728249,0.720456,0.716021,0.721774,0.750002", \ "0.824516,0.821820,0.814170,0.811055,0.795296,0.778165,0.760728", \ "0.976922,0.972875,0.968079,0.948343,0.924397,0.888541,0.845028"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("3.981872,3.988493,3.997308,4.014656,4.036742,4.058484,4.093186", \ "3.953620,3.961601,3.968240,3.979981,4.012429,4.038428,4.074712", \ "3.892510,3.901176,3.912237,3.937192,3.954932,4.019313,4.063964", \ "3.863713,3.866912,3.874649,3.892007,3.917185,3.952850,4.031788", \ "3.868412,3.875037,3.885721,3.893550,3.900785,3.961186,4.005802", \ "3.926031,3.941115,3.948483,3.953791,3.963984,3.980035,4.012999", \ "3.975592,3.990246,4.023256,4.066854,4.082153,4.070293,4.079379"); } } internal_power () { related_pin : "C1"; when : "!A1 & A2 & !B1 & B2 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("1.157711,1.162205,1.173585,1.194706,1.216108,1.250525,1.287828", \ "1.168573,1.174605,1.190569,1.206660,1.233181,1.273462,1.310987", \ "1.146359,1.156114,1.167673,1.188000,1.230323,1.273873,1.318660", \ "1.105551,1.119642,1.128866,1.156220,1.196315,1.248276,1.303498", \ "1.134990,1.138322,1.146682,1.154888,1.174491,1.225161,1.280264", \ "1.182424,1.186204,1.191900,1.198250,1.221632,1.226590,1.271535", \ "1.281574,1.279808,1.278905,1.280027,1.292026,1.297634,1.295585"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("5.039447,5.045409,5.059862,5.068202,5.101675,5.156160,5.208922", \ "4.994893,5.001744,5.019928,5.036958,5.072987,5.123347,5.184035", \ "4.939491,4.944583,4.958223,4.988410,5.015880,5.069990,5.132578", \ "4.947949,4.955001,4.958911,4.971208,4.998123,5.054812,5.117396", \ "5.047101,5.049385,5.047194,5.047784,5.066926,5.107073,5.135504", \ "5.246184,5.243395,5.251119,5.235346,5.230609,5.219652,5.228563", \ "5.548675,5.546755,5.532253,5.519635,5.489402,5.446146,5.421441"); } } internal_power () { related_pin : "C1"; when : "!A1 & A2 & B1 & !B2 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.801267,0.803575,0.808929,0.818039,0.836404,0.857512,0.878245", \ "0.808204,0.811976,0.825901,0.837359,0.859551,0.876595,0.902055", \ "0.775475,0.781225,0.792753,0.813089,0.841228,0.877260,0.908773", \ "0.729056,0.737350,0.753024,0.776568,0.805686,0.849683,0.891918", \ "0.760345,0.763022,0.765551,0.773767,0.779671,0.817953,0.867630", \ "0.809440,0.810892,0.816584,0.821963,0.827042,0.828480,0.857280", \ "0.910541,0.908528,0.904331,0.906835,0.900461,0.899249,0.882314"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("4.536626,4.541525,4.558364,4.579268,4.599738,4.645425,4.707309", \ "4.491496,4.497414,4.517206,4.547230,4.570248,4.619267,4.682983", \ "4.431411,4.442372,4.458947,4.493504,4.536554,4.589925,4.662018", \ "4.439822,4.449254,4.456996,4.481103,4.522614,4.577698,4.646526", \ "4.539532,4.542262,4.546765,4.558586,4.566199,4.607634,4.664458", \ "4.739924,4.742497,4.743288,4.738902,4.730822,4.749956,4.757634", \ "5.030102,5.037490,5.043944,5.021017,4.990399,4.973056,4.951008"); } } internal_power () { related_pin : "C1"; when : "!A1 & A2 & B1 & B2 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.791123,0.798645,0.806210,0.810489,0.835183,0.852649,0.869351", \ "0.798201,0.801097,0.810090,0.824364,0.847865,0.871045,0.898787", \ "0.765122,0.774411,0.784494,0.812963,0.837545,0.867643,0.904096", \ "0.729921,0.731320,0.747854,0.763535,0.801297,0.846870,0.884539", \ "0.759275,0.765066,0.769260,0.778058,0.785008,0.816965,0.860935", \ "0.810815,0.814704,0.815909,0.823245,0.830518,0.836261,0.852481", \ "0.915548,0.915170,0.911269,0.910006,0.903344,0.902213,0.893874"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("4.703632,4.713314,4.729433,4.754961,4.783416,4.832350,4.895178", \ "4.664648,4.670448,4.692886,4.718525,4.757051,4.807995,4.873924", \ "4.601611,4.612763,4.631571,4.663568,4.691459,4.747334,4.851356", \ "4.609604,4.619185,4.631484,4.643513,4.676464,4.730188,4.802521", \ "4.709741,4.712421,4.718684,4.723046,4.750547,4.792680,4.851789", \ "4.908280,4.911351,4.912568,4.907303,4.915610,4.907692,4.945811", \ "5.199444,5.212830,5.208136,5.189056,5.175588,5.132422,5.112278"); } } internal_power () { related_pin : "C1"; when : "A1 & !A2 & !B1 & B2 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.801267,0.803575,0.808929,0.818039,0.836404,0.857512,0.878245", \ "0.808204,0.811976,0.825901,0.837359,0.859551,0.876595,0.902055", \ "0.775475,0.781225,0.792753,0.813089,0.841228,0.877260,0.908773", \ "0.729056,0.737350,0.753024,0.776568,0.805686,0.849683,0.891918", \ "0.760345,0.763022,0.765551,0.773767,0.779671,0.817953,0.867630", \ "0.809440,0.810892,0.816584,0.821963,0.827042,0.828480,0.857280", \ "0.910541,0.908528,0.904331,0.906835,0.900461,0.899249,0.882314"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("4.536626,4.541525,4.558364,4.579268,4.599738,4.645425,4.707309", \ "4.491496,4.497414,4.517206,4.547230,4.570248,4.619267,4.682983", \ "4.431411,4.442372,4.458947,4.493504,4.536554,4.589925,4.662018", \ "4.439822,4.449254,4.456996,4.481103,4.522614,4.577698,4.646526", \ "4.539532,4.542262,4.546765,4.558586,4.566199,4.607634,4.664458", \ "4.739924,4.742497,4.743288,4.738902,4.730822,4.749956,4.757634", \ "5.030102,5.037490,5.043944,5.021017,4.990399,4.973056,4.951008"); } } internal_power () { related_pin : "C1"; when : "A1 & !A2 & B1 & !B2 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.462107,0.464281,0.460394,0.464714,0.465901,0.466932,0.469057", \ "0.462396,0.463150,0.466814,0.470795,0.485620,0.486133,0.492992", \ "0.408580,0.414179,0.427119,0.441668,0.466946,0.482779,0.499046", \ "0.359352,0.360557,0.365683,0.388229,0.421805,0.452963,0.481641", \ "0.385694,0.388444,0.390856,0.392054,0.393117,0.417487,0.455854", \ "0.439259,0.437339,0.436584,0.438980,0.437998,0.430216,0.440635", \ "0.545921,0.542685,0.534279,0.529656,0.514479,0.498573,0.472297"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("4.006784,4.018521,4.040643,4.072319,4.101328,4.178313,4.241357", \ "3.961394,3.973857,3.997855,4.018956,4.074401,4.121189,4.216581", \ "3.905618,3.918880,3.941977,3.978673,4.039396,4.094920,4.196210", \ "3.913858,3.925638,3.938727,3.965595,4.024781,4.079180,4.148457", \ "4.010004,4.019066,4.028711,4.053567,4.071393,4.111367,4.198714", \ "4.216544,4.220914,4.226780,4.224738,4.234562,4.255089,4.292139", \ "4.451715,4.464601,4.489766,4.516086,4.494756,4.477513,4.455053"); } } internal_power () { related_pin : "C1"; when : "A1 & !A2 & B1 & B2 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.464149,0.461738,0.463181,0.459038,0.460889,0.463820,0.469428", \ "0.459229,0.464471,0.470031,0.470796,0.485273,0.490951,0.492867", \ "0.409024,0.414069,0.425583,0.442852,0.469257,0.485564,0.497829", \ "0.370095,0.371728,0.374209,0.386611,0.418639,0.453429,0.482957", \ "0.390516,0.393767,0.397020,0.401664,0.403758,0.419416,0.457631", \ "0.442564,0.442614,0.439998,0.445163,0.445257,0.442306,0.442637", \ "0.549966,0.547865,0.541051,0.531840,0.521642,0.506066,0.487152"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("4.176386,4.188369,4.209277,4.239638,4.284781,4.333333,4.429149", \ "4.132391,4.145225,4.170685,4.201406,4.258806,4.309929,4.407919", \ "4.068775,4.082227,4.113263,4.147167,4.194254,4.281722,4.353660", \ "4.081700,4.093704,4.111272,4.124716,4.177953,4.264288,4.336410", \ "4.177767,4.189184,4.200002,4.207719,4.253033,4.295704,4.353858", \ "4.382820,4.387675,4.394209,4.403538,4.389332,4.410329,4.448707", \ "4.620364,4.634875,4.666064,4.693545,4.676933,4.666016,4.646392"); } } internal_power () { related_pin : "C1"; when : "A1 & A2 & !B1 & B2 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.800426,0.801241,0.811665,0.823244,0.835966,0.852999,0.872290", \ "0.802455,0.809817,0.819944,0.828847,0.853430,0.871854,0.895763", \ "0.774558,0.783652,0.794282,0.812065,0.843479,0.872084,0.904677", \ "0.730497,0.738600,0.745671,0.769974,0.806303,0.842575,0.885308", \ "0.760066,0.765378,0.771198,0.776780,0.788184,0.815123,0.862215", \ "0.813756,0.813865,0.817561,0.822548,0.828682,0.836513,0.855023", \ "0.916783,0.914515,0.911012,0.910748,0.903121,0.900560,0.892315"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("4.813249,4.817760,4.835439,4.854748,4.887831,4.931761,4.992813", \ "4.768823,4.778658,4.790613,4.819071,4.860742,4.907836,4.970577", \ "4.714214,4.720107,4.738400,4.760689,4.797533,4.850569,4.929580", \ "4.722653,4.727156,4.739255,4.762164,4.783421,4.835754,4.902599", \ "4.817069,4.824136,4.832297,4.840886,4.858642,4.896539,4.952589", \ "5.016532,5.014985,5.017548,5.010839,5.022307,5.012596,5.047528", \ "5.310297,5.318815,5.312685,5.301896,5.280445,5.236589,5.226715"); } } internal_power () { related_pin : "C1"; when : "A1 & A2 & B1 & !B2 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.462986,0.460839,0.463149,0.457253,0.467322,0.465610,0.469590", \ "0.464086,0.463943,0.470382,0.468938,0.481463,0.490846,0.494758", \ "0.409412,0.416175,0.427453,0.448561,0.465760,0.487231,0.500797", \ "0.361904,0.365192,0.372207,0.388417,0.421821,0.455536,0.482275", \ "0.388127,0.388178,0.393418,0.398927,0.399997,0.423053,0.458070", \ "0.439396,0.439521,0.438021,0.437542,0.441671,0.438551,0.443396", \ "0.547493,0.543858,0.535719,0.529058,0.519725,0.503322,0.483376"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("4.284663,4.295605,4.316825,4.348808,4.389672,4.436120,4.526988", \ "4.244969,4.256759,4.278672,4.309764,4.363268,4.410061,4.472298", \ "4.185084,4.197427,4.220447,4.246679,4.299168,4.384409,4.452334", \ "4.196381,4.204382,4.220495,4.235808,4.287302,4.368952,4.436369", \ "4.292709,4.301705,4.314732,4.316959,4.361741,4.401433,4.455584", \ "4.493671,4.498134,4.501164,4.496916,4.496906,4.516683,4.550464", \ "4.737015,4.746782,4.772356,4.796287,4.781901,4.770537,4.749598"); } } internal_power () { related_pin : "C1"; when : "A1 & A2 & B1 & B2 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.463867,0.460613,0.463339,0.465170,0.468516,0.470445,0.470092", \ "0.459450,0.463837,0.470662,0.474927,0.481229,0.488842,0.496758", \ "0.408566,0.416985,0.428248,0.442319,0.468761,0.486350,0.499988", \ "0.373286,0.376662,0.380106,0.391556,0.423848,0.454125,0.483199", \ "0.392939,0.395568,0.397774,0.407059,0.413806,0.422951,0.460206", \ "0.444097,0.442416,0.443233,0.441801,0.446870,0.451361,0.447182", \ "0.553552,0.550648,0.542203,0.537387,0.526444,0.511634,0.498147"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("4.469414,4.480603,4.501374,4.522565,4.583927,4.629464,4.690262", \ "4.426164,4.438167,4.457809,4.496379,4.541353,4.608266,4.671267", \ "4.366256,4.375798,4.403706,4.437321,4.491624,4.547848,4.649843", \ "4.376408,4.387596,4.400455,4.421729,4.477322,4.530292,4.631446", \ "4.470922,4.480229,4.493008,4.498599,4.524739,4.593391,4.650528", \ "4.670069,4.674948,4.680813,4.687357,4.690436,4.711689,4.746954", \ "4.921341,4.932738,4.961289,4.987730,4.945029,4.936661,4.948324"); } } internal_power () { related_pin : "C2"; when : "!A1 & A2 & !B1 & B2 & !C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("1.492459,1.496527,1.505332,1.524200,1.565259,1.609163,1.659686", \ "1.457146,1.464967,1.471816,1.491360,1.528431,1.577564,1.626396", \ "1.420953,1.428201,1.439490,1.460752,1.504211,1.548270,1.602025", \ "1.399663,1.403935,1.418682,1.447546,1.484891,1.532323,1.587899", \ "1.429449,1.433839,1.441481,1.452877,1.472692,1.521129,1.577476", \ "1.489081,1.494141,1.500547,1.513889,1.532686,1.531481,1.577365", \ "1.586224,1.588548,1.589748,1.592681,1.604051,1.613656,1.605538"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("5.597211,5.606168,5.620548,5.626934,5.669593,5.713007,5.778161", \ "5.565814,5.573009,5.581544,5.596143,5.634481,5.679219,5.742550", \ "5.527659,5.535356,5.552053,5.572410,5.590466,5.638219,5.705517", \ "5.508175,5.512771,5.528559,5.550458,5.568854,5.621215,5.685298", \ "5.512432,5.515757,5.532661,5.549257,5.578772,5.630467,5.669283", \ "5.566869,5.572597,5.585219,5.587209,5.606785,5.647899,5.710202", \ "5.705555,5.709099,5.716505,5.703780,5.717670,5.731400,5.772261"); } } internal_power () { related_pin : "C2"; when : "!A1 & A2 & B1 & !B2 & !C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("1.129040,1.131828,1.142583,1.159422,1.181244,1.215678,1.249191", \ "1.093330,1.098011,1.105290,1.118594,1.144776,1.179191,1.217115", \ "1.054032,1.058205,1.074548,1.091905,1.116652,1.152504,1.191364", \ "1.022545,1.029916,1.039550,1.065431,1.097021,1.134826,1.176165", \ "1.060907,1.067213,1.067729,1.075921,1.079788,1.120854,1.164847", \ "1.122437,1.122942,1.128861,1.134081,1.140888,1.135914,1.164286", \ "1.218991,1.216283,1.218681,1.219407,1.219574,1.218626,1.196166"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("5.094279,5.101886,5.113313,5.133429,5.167804,5.216581,5.293253", \ "5.061230,5.067274,5.081870,5.100076,5.134182,5.179273,5.242523", \ "5.022101,5.028393,5.046710,5.069126,5.116078,5.167064,5.233994", \ "4.998049,5.009394,5.021580,5.044960,5.092946,5.144530,5.215184", \ "5.004269,5.011416,5.030229,5.040850,5.080185,5.131276,5.198813", \ "5.061832,5.068133,5.084838,5.092872,5.106172,5.177231,5.239827", \ "5.168120,5.180761,5.209884,5.218076,5.216659,5.233067,5.270673"); } } internal_power () { related_pin : "C2"; when : "!A1 & A2 & B1 & B2 & !C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("1.106680,1.115803,1.125636,1.132116,1.165762,1.202671,1.237734", \ "1.069985,1.079481,1.084039,1.103649,1.128226,1.161600,1.204184", \ "1.035752,1.039136,1.057123,1.070257,1.100258,1.140517,1.178116", \ "1.006883,1.017682,1.024090,1.043385,1.069108,1.120804,1.163727", \ "1.060937,1.062334,1.064624,1.075440,1.078089,1.107453,1.155052", \ "1.120811,1.121444,1.121650,1.127370,1.138355,1.140633,1.152813", \ "1.220236,1.219528,1.219408,1.217040,1.216896,1.219495,1.204014"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("5.265820,5.270928,5.292522,5.311471,5.351840,5.401260,5.464943", \ "5.232463,5.242308,5.255796,5.291066,5.319452,5.367372,5.433621", \ "5.190811,5.201381,5.216743,5.244750,5.285995,5.325338,5.393381", \ "5.168160,5.178487,5.191714,5.214979,5.246880,5.302294,5.371374", \ "5.172684,5.182440,5.199090,5.221873,5.263017,5.315936,5.385401", \ "5.229830,5.233340,5.250038,5.265523,5.287709,5.329603,5.393882", \ "5.339339,5.358066,5.379181,5.382829,5.397910,5.415221,5.457626"); } } internal_power () { related_pin : "C2"; when : "A1 & !A2 & !B1 & B2 & !C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("1.129040,1.131828,1.142583,1.159422,1.181244,1.215678,1.249191", \ "1.093330,1.098011,1.105290,1.118594,1.144776,1.179191,1.217115", \ "1.054032,1.058205,1.074548,1.091905,1.116652,1.152504,1.191364", \ "1.022545,1.029916,1.039550,1.065431,1.097021,1.134826,1.176165", \ "1.060907,1.067213,1.067729,1.075921,1.079788,1.120854,1.164847", \ "1.122437,1.122942,1.128861,1.134081,1.140888,1.135914,1.164286", \ "1.218991,1.216283,1.218681,1.219407,1.219574,1.218626,1.196166"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("5.094279,5.101886,5.113313,5.133429,5.167804,5.216581,5.293253", \ "5.061230,5.067274,5.081870,5.100076,5.134182,5.179273,5.242523", \ "5.022101,5.028393,5.046710,5.069126,5.116078,5.167064,5.233994", \ "4.998049,5.009394,5.021580,5.044960,5.092946,5.144530,5.215184", \ "5.004269,5.011416,5.030229,5.040850,5.080185,5.131276,5.198813", \ "5.061832,5.068133,5.084838,5.092872,5.106172,5.177231,5.239827", \ "5.168120,5.180761,5.209884,5.218076,5.216659,5.233067,5.270673"); } } internal_power () { related_pin : "C2"; when : "A1 & !A2 & B1 & !B2 & !C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.773186,0.774422,0.777124,0.792449,0.801440,0.820674,0.840081", \ "0.737412,0.739016,0.748216,0.755077,0.768090,0.786334,0.807269", \ "0.693961,0.696589,0.708435,0.713502,0.734664,0.759383,0.781236", \ "0.656127,0.662299,0.675047,0.691773,0.711965,0.739527,0.763390", \ "0.698010,0.701727,0.698366,0.702890,0.694408,0.722419,0.753592", \ "0.757654,0.757232,0.755259,0.756172,0.757275,0.740934,0.754537", \ "0.851424,0.849125,0.847368,0.843134,0.836252,0.820595,0.787710"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("4.564348,4.576116,4.602977,4.635650,4.671473,4.716988,4.811216", \ "4.533021,4.546395,4.569203,4.600366,4.635088,4.714333,4.776147", \ "4.494129,4.506778,4.527223,4.562168,4.620566,4.671835,4.736627", \ "4.471956,4.484602,4.499281,4.539714,4.570448,4.649426,4.717158", \ "4.473490,4.490216,4.506801,4.542659,4.582605,4.634565,4.733264", \ "4.534231,4.544507,4.565734,4.585839,4.608904,4.680067,4.750842", \ "4.589987,4.611774,4.649362,4.718994,4.724143,4.765998,4.806398"); } } internal_power () { related_pin : "C2"; when : "A1 & !A2 & B1 & B2 & !C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.762506,0.763367,0.772264,0.785055,0.788970,0.815546,0.831062", \ "0.725608,0.732632,0.735015,0.742753,0.763106,0.780624,0.799917", \ "0.680088,0.688598,0.697851,0.713848,0.728599,0.750201,0.776695", \ "0.653158,0.653454,0.665010,0.682730,0.707310,0.726500,0.758388", \ "0.699846,0.703393,0.700068,0.707080,0.700338,0.718409,0.748741", \ "0.758258,0.757696,0.760675,0.756610,0.760262,0.749377,0.749128", \ "0.855205,0.854188,0.849709,0.845085,0.839065,0.826411,0.797125"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("4.733872,4.745888,4.772189,4.801901,4.854018,4.902987,4.966747", \ "4.704889,4.717208,4.742486,4.761280,4.822018,4.871672,4.967534", \ "4.663138,4.676127,4.697206,4.731082,4.774720,4.858801,4.926830", \ "4.640116,4.653024,4.670546,4.705761,4.749269,4.834097,4.904617", \ "4.639707,4.651695,4.678825,4.697147,4.735795,4.817629,4.887581", \ "4.700637,4.711034,4.731403,4.752630,4.789753,4.832524,4.928267", \ "4.762555,4.779953,4.825019,4.885998,4.900357,4.917904,4.991769"); } } internal_power () { related_pin : "C2"; when : "A1 & A2 & !B1 & B2 & !C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("1.118330,1.125619,1.136746,1.151245,1.173001,1.198514,1.234573", \ "1.082345,1.090074,1.103243,1.114230,1.138127,1.174666,1.207961", \ "1.048000,1.050617,1.058100,1.084215,1.106500,1.136277,1.182840", \ "1.020098,1.029066,1.040745,1.056415,1.084270,1.124150,1.166247", \ "1.063381,1.064473,1.071047,1.075035,1.080740,1.106120,1.156821", \ "1.125128,1.125849,1.128202,1.132934,1.140540,1.138621,1.159136", \ "1.222777,1.221311,1.223080,1.219943,1.217349,1.218802,1.203201"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("5.371242,5.380336,5.397381,5.407435,5.455817,5.500824,5.560657", \ "5.341204,5.347988,5.360058,5.387847,5.423830,5.469364,5.539984", \ "5.302729,5.308095,5.328503,5.349171,5.379812,5.428436,5.492046", \ "5.280249,5.285604,5.305448,5.330566,5.352880,5.406326,5.470675", \ "5.285549,5.290371,5.307589,5.315822,5.343407,5.419144,5.485479", \ "5.340891,5.344071,5.360412,5.379988,5.394625,5.434046,5.495657", \ "5.450655,5.469293,5.486796,5.493956,5.505105,5.519814,5.558358"); } } internal_power () { related_pin : "C2"; when : "A1 & A2 & B1 & !B2 & !C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.766455,0.771802,0.777331,0.787034,0.791258,0.816272,0.834623", \ "0.735515,0.737051,0.745433,0.755581,0.769692,0.781639,0.800840", \ "0.690768,0.692404,0.702575,0.712277,0.735917,0.753530,0.775272", \ "0.652738,0.660697,0.671371,0.685754,0.699311,0.732475,0.759849", \ "0.700510,0.703438,0.700572,0.706131,0.698281,0.718418,0.748131", \ "0.759954,0.758739,0.756010,0.756243,0.758218,0.745741,0.748032", \ "0.854705,0.851070,0.847606,0.845850,0.837851,0.824687,0.795530"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("4.847579,4.858590,4.873871,4.895782,4.957494,5.004825,5.064679", \ "4.812535,4.823730,4.844753,4.874748,4.925742,4.971451,5.064315", \ "4.773718,4.785521,4.807592,4.832392,4.882670,4.960756,5.025876", \ "4.751160,4.762867,4.783638,4.820543,4.856885,4.937466,5.004625", \ "4.756131,4.767300,4.787961,4.810179,4.843499,4.922540,4.987956", \ "4.814864,4.824534,4.843130,4.868593,4.897710,4.937648,5.029174", \ "4.875588,4.898208,4.938058,4.990898,5.007716,5.022660,5.093656"); } } internal_power () { related_pin : "C2"; when : "A1 & A2 & B1 & B2 & !C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.757242,0.756748,0.767414,0.777721,0.790159,0.808721,0.823669", \ "0.719908,0.725786,0.731596,0.744789,0.754968,0.776867,0.796927", \ "0.678459,0.685396,0.693178,0.705451,0.718726,0.747848,0.769466", \ "0.655246,0.655609,0.659041,0.679259,0.696207,0.727412,0.755153", \ "0.701154,0.703770,0.706828,0.708589,0.707780,0.712435,0.744311", \ "0.762693,0.761963,0.759518,0.756490,0.760145,0.753968,0.744870", \ "0.857164,0.855678,0.851330,0.848786,0.840370,0.827335,0.805612"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("5.027126,5.038154,5.058692,5.090255,5.122012,5.198045,5.260026", \ "4.999802,5.011223,5.032701,5.063000,5.105977,5.168884,5.231424", \ "4.957971,4.970064,4.988970,5.023818,5.074733,5.126319,5.224006", \ "4.934289,4.946244,4.960732,4.994046,5.046751,5.098933,5.201290", \ "4.934880,4.943913,4.966672,4.993945,5.034793,5.113485,5.180755", \ "4.991079,5.002455,5.014479,5.049665,5.057061,5.129519,5.222198", \ "5.058486,5.082010,5.122239,5.176621,5.196424,5.213606,5.254193"); } } } } /****************************************************************************************** Module : OAI222_X2 Cell Description : Combinational cell (OAI222_X2) with drive strength X2 *******************************************************************************************/ cell (OAI222_X2) { drive_strength : 2; area : 3.724000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 46.314462; leakage_power () { when : "!A1 & !A2 & !B1 & !B2 & !C1 & !C2"; value : 8.755656; } leakage_power () { when : "!A1 & !A2 & !B1 & !B2 & !C1 & C2"; value : 22.946870; } leakage_power () { when : "!A1 & !A2 & !B1 & !B2 & C1 & !C2"; value : 22.946870; } leakage_power () { when : "!A1 & !A2 & !B1 & !B2 & C1 & C2"; value : 33.087740; } leakage_power () { when : "!A1 & !A2 & !B1 & B2 & !C1 & !C2"; value : 13.911344; } leakage_power () { when : "!A1 & !A2 & !B1 & B2 & !C1 & C2"; value : 69.696845; } leakage_power () { when : "!A1 & !A2 & !B1 & B2 & C1 & !C2"; value : 69.697035; } leakage_power () { when : "!A1 & !A2 & !B1 & B2 & C1 & C2"; value : 79.860895; } leakage_power () { when : "!A1 & !A2 & B1 & !B2 & !C1 & !C2"; value : 13.911353; } leakage_power () { when : "!A1 & !A2 & B1 & !B2 & !C1 & C2"; value : 69.697035; } leakage_power () { when : "!A1 & !A2 & B1 & !B2 & C1 & !C2"; value : 69.697225; } leakage_power () { when : "!A1 & !A2 & B1 & !B2 & C1 & C2"; value : 79.861085; } leakage_power () { when : "!A1 & !A2 & B1 & B2 & !C1 & !C2"; value : 14.735640; } leakage_power () { when : "!A1 & !A2 & B1 & B2 & !C1 & C2"; value : 79.843605; } leakage_power () { when : "!A1 & !A2 & B1 & B2 & C1 & !C2"; value : 79.843890; } leakage_power () { when : "!A1 & !A2 & B1 & B2 & C1 & C2"; value : 90.013545; } leakage_power () { when : "!A1 & A2 & !B1 & !B2 & !C1 & !C2"; value : 11.495355; } leakage_power () { when : "!A1 & A2 & !B1 & !B2 & !C1 & C2"; value : 41.723240; } leakage_power () { when : "!A1 & A2 & !B1 & !B2 & C1 & !C2"; value : 41.723430; } leakage_power () { when : "!A1 & A2 & !B1 & !B2 & C1 & C2"; value : 51.870287; } leakage_power () { when : "!A1 & A2 & !B1 & B2 & !C1 & !C2"; value : 30.500619; } leakage_power () { when : "!A1 & A2 & !B1 & B2 & !C1 & C2"; value : 43.574980; } leakage_power () { when : "!A1 & A2 & !B1 & B2 & C1 & !C2"; value : 46.307180; } leakage_power () { when : "!A1 & A2 & !B1 & B2 & C1 & C2"; value : 50.541140; } leakage_power () { when : "!A1 & A2 & B1 & !B2 & !C1 & !C2"; value : 30.500618; } leakage_power () { when : "!A1 & A2 & B1 & !B2 & !C1 & C2"; value : 46.307085; } leakage_power () { when : "!A1 & A2 & B1 & !B2 & C1 & !C2"; value : 49.039095; } leakage_power () { when : "!A1 & A2 & B1 & !B2 & C1 & C2"; value : 53.273625; } leakage_power () { when : "!A1 & A2 & B1 & B2 & !C1 & !C2"; value : 30.570330; } leakage_power () { when : "!A1 & A2 & B1 & B2 & !C1 & C2"; value : 50.537245; } leakage_power () { when : "!A1 & A2 & B1 & B2 & C1 & !C2"; value : 53.269540; } leakage_power () { when : "!A1 & A2 & B1 & B2 & C1 & C2"; value : 57.504716; } leakage_power () { when : "A1 & !A2 & !B1 & !B2 & !C1 & !C2"; value : 11.495354; } leakage_power () { when : "A1 & !A2 & !B1 & !B2 & !C1 & C2"; value : 41.723334; } leakage_power () { when : "A1 & !A2 & !B1 & !B2 & C1 & !C2"; value : 41.723429; } leakage_power () { when : "A1 & !A2 & !B1 & !B2 & C1 & C2"; value : 51.870382; } leakage_power () { when : "A1 & !A2 & !B1 & B2 & !C1 & !C2"; value : 30.500618; } leakage_power () { when : "A1 & !A2 & !B1 & B2 & !C1 & C2"; value : 46.307085; } leakage_power () { when : "A1 & !A2 & !B1 & B2 & C1 & !C2"; value : 49.039000; } leakage_power () { when : "A1 & !A2 & !B1 & B2 & C1 & C2"; value : 53.273625; } leakage_power () { when : "A1 & !A2 & B1 & !B2 & !C1 & !C2"; value : 30.500712; } leakage_power () { when : "A1 & !A2 & B1 & !B2 & !C1 & C2"; value : 49.039095; } leakage_power () { when : "A1 & !A2 & B1 & !B2 & C1 & !C2"; value : 51.770915; } leakage_power () { when : "A1 & !A2 & B1 & !B2 & C1 & C2"; value : 56.006110; } leakage_power () { when : "A1 & !A2 & B1 & B2 & !C1 & !C2"; value : 30.570328; } leakage_power () { when : "A1 & !A2 & B1 & B2 & !C1 & C2"; value : 53.269540; } leakage_power () { when : "A1 & !A2 & B1 & B2 & C1 & !C2"; value : 56.001550; } leakage_power () { when : "A1 & !A2 & B1 & B2 & C1 & C2"; value : 60.237400; } leakage_power () { when : "A1 & A2 & !B1 & !B2 & !C1 & !C2"; value : 9.694991; } leakage_power () { when : "A1 & A2 & !B1 & !B2 & !C1 & C2"; value : 41.096048; } leakage_power () { when : "A1 & A2 & !B1 & !B2 & C1 & !C2"; value : 41.096143; } leakage_power () { when : "A1 & A2 & !B1 & !B2 & C1 & C2"; value : 51.243665; } leakage_power () { when : "A1 & A2 & !B1 & B2 & !C1 & !C2"; value : 29.063466; } leakage_power () { when : "A1 & A2 & !B1 & B2 & !C1 & C2"; value : 50.534680; } leakage_power () { when : "A1 & A2 & !B1 & B2 & C1 & !C2"; value : 53.266595; } leakage_power () { when : "A1 & A2 & !B1 & B2 & C1 & C2"; value : 57.502426; } leakage_power () { when : "A1 & A2 & B1 & !B2 & !C1 & !C2"; value : 29.063465; } leakage_power () { when : "A1 & A2 & B1 & !B2 & !C1 & C2"; value : 53.266595; } leakage_power () { when : "A1 & A2 & B1 & !B2 & C1 & !C2"; value : 55.998415; } leakage_power () { when : "A1 & A2 & B1 & !B2 & C1 & C2"; value : 60.234674; } leakage_power () { when : "A1 & A2 & B1 & B2 & !C1 & !C2"; value : 29.297770; } leakage_power () { when : "A1 & A2 & B1 & B2 & !C1 & C2"; value : 57.497372; } leakage_power () { when : "A1 & A2 & B1 & B2 & C1 & !C2"; value : 60.229392; } leakage_power () { when : "A1 & A2 & B1 & B2 & C1 & C2"; value : 64.466306; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.154322; fall_capacitance : 2.817986; rise_capacitance : 3.154322; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 2.911945; fall_capacitance : 2.870355; rise_capacitance : 2.911945; } pin (B1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.226371; fall_capacitance : 2.851393; rise_capacitance : 3.226371; } pin (B2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 2.958218; fall_capacitance : 2.852122; rise_capacitance : 2.958218; } pin (C1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.243100; fall_capacitance : 2.826678; rise_capacitance : 3.243100; } pin (C2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 2.995186; fall_capacitance : 2.853120; rise_capacitance : 2.995186; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 39.596600; function : "!(((A1 | A2) & (B1 | B2)) & (C1 | C2))"; timing () { related_pin : "A1"; when : "!A2 & !B1 & B2 & !C1 & C2"; sdf_cond : "(A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.044778,0.048370,0.053368,0.063192,0.082368,0.119850,0.193611", \ "0.049307,0.052929,0.057953,0.067817,0.087052,0.124590,0.198392", \ "0.064509,0.068078,0.073136,0.083032,0.102282,0.139866,0.213726", \ "0.092355,0.096535,0.102203,0.112896,0.131908,0.169080,0.242677", \ "0.117518,0.123299,0.130853,0.145565,0.171488,0.215104,0.288737", \ "0.136499,0.144036,0.153970,0.172849,0.206492,0.262811,0.352529", \ "0.148103,0.157460,0.169915,0.193414,0.235132,0.305075,0.415999"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.081784,0.090493,0.102827,0.127227,0.175788,0.272511,0.465366", \ "0.083569,0.092517,0.105113,0.129941,0.179065,0.276360,0.469670", \ "0.096853,0.105598,0.118090,0.142838,0.192104,0.289937,0.483942", \ "0.129945,0.138114,0.149962,0.173975,0.222203,0.319166,0.512964", \ "0.173144,0.184246,0.199088,0.226272,0.274116,0.369060,0.561150", \ "0.220272,0.233977,0.252433,0.286000,0.344831,0.443101,0.632267", \ "0.271975,0.288182,0.310195,0.350150,0.420340,0.537424,0.729565"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.031481,0.034217,0.038137,0.045995,0.061681,0.093027,0.155704", \ "0.031522,0.034247,0.038168,0.046010,0.061685,0.093022,0.155698", \ "0.030429,0.033341,0.037572,0.045872,0.061672,0.093022,0.155706", \ "0.039156,0.041408,0.044430,0.050186,0.063033,0.092819,0.155680", \ "0.056629,0.059458,0.063367,0.070208,0.082542,0.104735,0.156979", \ "0.078357,0.082024,0.087077,0.095833,0.110849,0.136043,0.179104", \ "0.104002,0.108594,0.114903,0.125799,0.144311,0.174350,0.222356"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.095938,0.105294,0.118239,0.142941,0.190531,0.281963,0.458968", \ "0.096012,0.105325,0.118237,0.142959,0.190536,0.281956,0.458957", \ "0.095917,0.105290,0.118248,0.142937,0.190521,0.281952,0.458968", \ "0.101183,0.108859,0.119978,0.142867,0.190543,0.281937,0.458972", \ "0.128620,0.132624,0.140047,0.156909,0.195162,0.281920,0.458953", \ "0.169411,0.173647,0.180184,0.193531,0.221095,0.291108,0.458950", \ "0.213740,0.218211,0.224995,0.239151,0.267661,0.324296,0.466094"); } } timing () { related_pin : "A1"; when : "!A2 & !B1 & B2 & C1 & !C2"; sdf_cond : "(A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.035680,0.039167,0.044041,0.053623,0.072419,0.109395,0.182563", \ "0.040131,0.043651,0.048574,0.058214,0.077084,0.114130,0.187350", \ "0.055719,0.059010,0.063819,0.073409,0.092290,0.129380,0.202679", \ "0.079641,0.084275,0.090520,0.102042,0.122194,0.158665,0.231640", \ "0.098817,0.105307,0.113787,0.129840,0.157648,0.203450,0.277825", \ "0.111378,0.119850,0.130965,0.151726,0.187974,0.247385,0.340145", \ "0.116350,0.126863,0.140780,0.166564,0.211603,0.285530,0.400510"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.079162,0.087891,0.100231,0.124603,0.173082,0.269667,0.462096", \ "0.080934,0.089893,0.102482,0.127281,0.176338,0.273451,0.466380", \ "0.094233,0.102992,0.115462,0.140176,0.189393,0.287010,0.480666", \ "0.127439,0.135637,0.147441,0.171349,0.219508,0.316271,0.509668", \ "0.169542,0.180820,0.195848,0.223392,0.271490,0.366171,0.557865", \ "0.215652,0.229563,0.248224,0.282215,0.341584,0.440253,0.629033", \ "0.266291,0.282759,0.304959,0.345410,0.416253,0.533998,0.726332"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.026151,0.028874,0.032764,0.040564,0.056172,0.087408,0.149889", \ "0.026181,0.028905,0.032788,0.040583,0.056178,0.087411,0.149892", \ "0.025484,0.028107,0.031974,0.040074,0.056130,0.087403,0.149906", \ "0.037179,0.039379,0.042385,0.048099,0.059571,0.087486,0.149880", \ "0.055069,0.057972,0.061923,0.068823,0.081045,0.102744,0.152324", \ "0.076971,0.080725,0.085956,0.094781,0.109824,0.134856,0.177141", \ "0.102801,0.107506,0.113930,0.125020,0.143581,0.173489,0.221132"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.069206,0.077791,0.089959,0.114031,0.161390,0.253066,0.430206", \ "0.069208,0.077837,0.089988,0.114046,0.161401,0.253033,0.430201", \ "0.069175,0.077794,0.089950,0.114008,0.161399,0.253035,0.430207", \ "0.074847,0.081641,0.091955,0.114020,0.161356,0.253023,0.430191", \ "0.100320,0.106036,0.113076,0.128644,0.166314,0.252980,0.430199", \ "0.131745,0.137894,0.146697,0.163048,0.192908,0.262492,0.430202", \ "0.168805,0.175341,0.184729,0.202795,0.236303,0.296130,0.437527"); } } timing () { related_pin : "A1"; when : "!A2 & !B1 & B2 & C1 & C2"; sdf_cond : "(A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.028871,0.031776,0.035833,0.043785,0.059343,0.089888,0.150246", \ "0.033535,0.036473,0.040567,0.048571,0.064191,0.094790,0.155192", \ "0.050500,0.053231,0.056949,0.064693,0.080252,0.110855,0.171294", \ "0.072747,0.076985,0.082671,0.093096,0.111191,0.141809,0.201868", \ "0.090333,0.096353,0.104164,0.118876,0.144302,0.185740,0.250634", \ "0.101235,0.109158,0.119508,0.138763,0.172274,0.226647,0.310725", \ "0.104378,0.114282,0.127370,0.151440,0.193389,0.261771,0.366961"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.079003,0.087727,0.100070,0.124434,0.172928,0.269463,0.461947", \ "0.080536,0.089471,0.102066,0.126871,0.175931,0.273074,0.466062", \ "0.093923,0.102661,0.115082,0.139753,0.188911,0.286507,0.480187", \ "0.127364,0.135541,0.147344,0.171196,0.219218,0.315882,0.509196", \ "0.169684,0.180928,0.195929,0.223453,0.271486,0.366028,0.557555", \ "0.216119,0.229991,0.248647,0.282573,0.341843,0.440395,0.629016", \ "0.267256,0.283656,0.305789,0.346153,0.416886,0.534468,0.726628"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.021702,0.023983,0.027228,0.033700,0.046644,0.072526,0.124329", \ "0.021672,0.023973,0.027234,0.033714,0.046654,0.072535,0.124321", \ "0.021726,0.023715,0.026666,0.032959,0.046425,0.072516,0.124313", \ "0.034285,0.036201,0.038768,0.043568,0.052504,0.073545,0.124283", \ "0.051706,0.054262,0.057808,0.063888,0.074549,0.092931,0.130161", \ "0.073098,0.076476,0.081225,0.089120,0.102505,0.124423,0.160353", \ "0.098469,0.102769,0.108621,0.118758,0.135374,0.161936,0.203475"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.069235,0.077851,0.089983,0.114049,0.161424,0.253047,0.430216", \ "0.069212,0.077832,0.089994,0.114039,0.161405,0.253045,0.430236", \ "0.069169,0.077792,0.089939,0.114028,0.161407,0.253049,0.430229", \ "0.074883,0.081696,0.091954,0.114040,0.161371,0.253027,0.430228", \ "0.100184,0.105935,0.112982,0.128621,0.166341,0.252995,0.430220", \ "0.131398,0.137627,0.146415,0.162841,0.192786,0.262470,0.430221", \ "0.168168,0.174760,0.184142,0.202303,0.235948,0.295914,0.437505"); } } timing () { related_pin : "A1"; when : "!A2 & B1 & !B2 & !C1 & C2"; sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.035680,0.039167,0.044041,0.053623,0.072419,0.109395,0.182563", \ "0.040131,0.043651,0.048574,0.058214,0.077084,0.114130,0.187350", \ "0.055719,0.059010,0.063819,0.073409,0.092290,0.129380,0.202679", \ "0.079641,0.084275,0.090520,0.102042,0.122194,0.158665,0.231640", \ "0.098817,0.105307,0.113787,0.129840,0.157648,0.203450,0.277825", \ "0.111378,0.119850,0.130965,0.151726,0.187974,0.247385,0.340145", \ "0.116350,0.126863,0.140780,0.166564,0.211603,0.285530,0.400510"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.079162,0.087891,0.100231,0.124603,0.173082,0.269667,0.462096", \ "0.080934,0.089893,0.102482,0.127281,0.176338,0.273451,0.466380", \ "0.094233,0.102992,0.115462,0.140176,0.189393,0.287010,0.480666", \ "0.127439,0.135637,0.147441,0.171349,0.219508,0.316271,0.509668", \ "0.169542,0.180820,0.195848,0.223392,0.271490,0.366171,0.557865", \ "0.215652,0.229563,0.248224,0.282215,0.341584,0.440253,0.629033", \ "0.266291,0.282759,0.304959,0.345410,0.416253,0.533998,0.726332"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.026151,0.028874,0.032764,0.040564,0.056172,0.087408,0.149889", \ "0.026181,0.028905,0.032788,0.040583,0.056178,0.087411,0.149892", \ "0.025484,0.028107,0.031974,0.040074,0.056130,0.087403,0.149906", \ "0.037179,0.039379,0.042385,0.048099,0.059571,0.087486,0.149880", \ "0.055069,0.057972,0.061923,0.068823,0.081045,0.102744,0.152324", \ "0.076971,0.080725,0.085956,0.094781,0.109824,0.134856,0.177141", \ "0.102801,0.107506,0.113930,0.125020,0.143581,0.173489,0.221132"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.069206,0.077791,0.089959,0.114031,0.161390,0.253066,0.430206", \ "0.069208,0.077837,0.089988,0.114046,0.161401,0.253033,0.430201", \ "0.069175,0.077794,0.089950,0.114008,0.161399,0.253035,0.430207", \ "0.074847,0.081641,0.091955,0.114020,0.161356,0.253023,0.430191", \ "0.100320,0.106036,0.113076,0.128644,0.166314,0.252980,0.430199", \ "0.131745,0.137894,0.146697,0.163048,0.192908,0.262492,0.430202", \ "0.168805,0.175341,0.184729,0.202795,0.236303,0.296130,0.437527"); } } timing () { related_pin : "A1"; when : "!A2 & B1 & !B2 & C1 & !C2"; sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.027753,0.030992,0.035572,0.044703,0.062892,0.099180,0.171669", \ "0.032098,0.035382,0.040027,0.049239,0.067530,0.103899,0.176452", \ "0.047504,0.050906,0.055360,0.064378,0.082682,0.119139,0.191764", \ "0.065283,0.070564,0.077655,0.090346,0.111950,0.148435,0.220761", \ "0.077364,0.084894,0.094770,0.112625,0.142884,0.191277,0.267033", \ "0.082550,0.092392,0.105164,0.128539,0.168153,0.231233,0.327486", \ "0.079987,0.092193,0.108169,0.137080,0.186334,0.265074,0.384623"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.076570,0.085303,0.097626,0.121994,0.170388,0.266711,0.458792", \ "0.078311,0.087240,0.099844,0.124660,0.173635,0.270534,0.463086", \ "0.091647,0.100403,0.112830,0.137545,0.186663,0.284093,0.477351", \ "0.124739,0.133182,0.144929,0.168762,0.216804,0.313367,0.506364", \ "0.165895,0.177342,0.192514,0.220471,0.268802,0.363304,0.554554", \ "0.210974,0.225122,0.243972,0.278397,0.338293,0.437424,0.625749", \ "0.260561,0.277297,0.299667,0.340601,0.412109,0.530557,0.723087"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.020234,0.022995,0.026935,0.034800,0.050446,0.081675,0.144073", \ "0.020152,0.022950,0.026908,0.034789,0.050450,0.081680,0.144076", \ "0.021673,0.023788,0.027130,0.034414,0.050351,0.081679,0.144076", \ "0.035418,0.037612,0.040563,0.046139,0.056765,0.082432,0.144065", \ "0.053962,0.056867,0.060884,0.067783,0.079809,0.101134,0.147949", \ "0.076497,0.080264,0.085498,0.094260,0.109184,0.133886,0.175602", \ "0.102964,0.107667,0.114034,0.125076,0.143393,0.172974,0.220113"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.056365,0.064078,0.075077,0.096877,0.140516,0.227882,0.402282", \ "0.056372,0.064075,0.075068,0.096884,0.140548,0.227857,0.402264", \ "0.056325,0.064063,0.075040,0.096851,0.140551,0.227852,0.402283", \ "0.062552,0.068368,0.077324,0.096950,0.140509,0.227831,0.402266", \ "0.083748,0.089677,0.097864,0.111993,0.145717,0.227756,0.402272", \ "0.107477,0.114519,0.124271,0.141667,0.172406,0.237557,0.402271", \ "0.134165,0.142421,0.153846,0.174264,0.210169,0.271401,0.409774"); } } timing () { related_pin : "A1"; when : "!A2 & B1 & !B2 & C1 & C2"; sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.022790,0.025459,0.029238,0.036769,0.051773,0.081688,0.141434", \ "0.027402,0.030098,0.033920,0.041515,0.056596,0.086582,0.146377", \ "0.043326,0.046369,0.050380,0.057680,0.072628,0.102640,0.162475", \ "0.059817,0.064727,0.071171,0.082695,0.102186,0.133644,0.193076", \ "0.070665,0.077673,0.086823,0.103296,0.130996,0.174913,0.241963", \ "0.074461,0.083705,0.095685,0.117486,0.154106,0.212080,0.299403", \ "0.070275,0.081829,0.096928,0.124059,0.170115,0.243059,0.352596"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.076397,0.085122,0.097458,0.121827,0.170235,0.266553,0.458648", \ "0.077916,0.086833,0.099428,0.124234,0.173228,0.270160,0.462755", \ "0.091349,0.100075,0.112473,0.137125,0.186178,0.283591,0.476880", \ "0.124662,0.133091,0.144805,0.168581,0.216525,0.312977,0.505878", \ "0.166028,0.177481,0.192622,0.220543,0.268794,0.363166,0.554269", \ "0.211448,0.225566,0.244393,0.278756,0.338557,0.437567,0.625733", \ "0.261539,0.278221,0.300533,0.341380,0.412753,0.531035,0.723415"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.016660,0.018981,0.022282,0.028828,0.041834,0.067748,0.119505", \ "0.016487,0.018869,0.022222,0.028804,0.041838,0.067752,0.119506", \ "0.019450,0.020886,0.023289,0.028855,0.041454,0.067742,0.119512", \ "0.032955,0.034857,0.037406,0.042160,0.050941,0.070107,0.119477", \ "0.051003,0.053593,0.057160,0.063244,0.073763,0.091844,0.127283", \ "0.073121,0.076517,0.081196,0.089082,0.102294,0.123905,0.159368", \ "0.099217,0.103497,0.109265,0.119282,0.135681,0.161862,0.202918"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.056368,0.064061,0.075086,0.096898,0.140573,0.227871,0.402296", \ "0.056374,0.064060,0.075065,0.096859,0.140541,0.227870,0.402302", \ "0.056326,0.064045,0.075032,0.096872,0.140533,0.227865,0.402291", \ "0.062592,0.068394,0.077357,0.096973,0.140524,0.227853,0.402303", \ "0.083662,0.089567,0.097789,0.111979,0.145724,0.227767,0.402306", \ "0.107202,0.114260,0.124012,0.141489,0.172284,0.237534,0.402293", \ "0.133612,0.141893,0.153295,0.173835,0.209836,0.271186,0.409744"); } } timing () { related_pin : "A1"; when : "!A2 & B1 & B2 & !C1 & C2"; sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.028871,0.031776,0.035833,0.043785,0.059343,0.089888,0.150246", \ "0.033535,0.036473,0.040567,0.048571,0.064191,0.094790,0.155192", \ "0.050500,0.053231,0.056949,0.064693,0.080252,0.110855,0.171294", \ "0.072747,0.076985,0.082671,0.093096,0.111191,0.141809,0.201868", \ "0.090333,0.096353,0.104164,0.118876,0.144302,0.185740,0.250634", \ "0.101235,0.109158,0.119508,0.138763,0.172274,0.226647,0.310725", \ "0.104378,0.114282,0.127370,0.151440,0.193389,0.261771,0.366961"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.079003,0.087727,0.100070,0.124434,0.172928,0.269463,0.461947", \ "0.080536,0.089471,0.102066,0.126871,0.175931,0.273074,0.466062", \ "0.093923,0.102661,0.115082,0.139753,0.188911,0.286507,0.480187", \ "0.127364,0.135541,0.147344,0.171196,0.219218,0.315882,0.509196", \ "0.169684,0.180928,0.195929,0.223453,0.271486,0.366028,0.557555", \ "0.216119,0.229991,0.248647,0.282573,0.341843,0.440395,0.629016", \ "0.267256,0.283656,0.305789,0.346153,0.416886,0.534468,0.726628"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.021702,0.023983,0.027228,0.033700,0.046644,0.072526,0.124329", \ "0.021672,0.023973,0.027234,0.033714,0.046654,0.072535,0.124321", \ "0.021726,0.023715,0.026666,0.032959,0.046425,0.072516,0.124313", \ "0.034285,0.036201,0.038768,0.043568,0.052504,0.073545,0.124283", \ "0.051706,0.054262,0.057808,0.063888,0.074549,0.092931,0.130161", \ "0.073098,0.076476,0.081225,0.089120,0.102505,0.124423,0.160353", \ "0.098469,0.102769,0.108621,0.118758,0.135374,0.161936,0.203475"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.069235,0.077851,0.089983,0.114049,0.161424,0.253047,0.430216", \ "0.069212,0.077832,0.089994,0.114039,0.161405,0.253045,0.430236", \ "0.069169,0.077792,0.089939,0.114028,0.161407,0.253049,0.430229", \ "0.074883,0.081696,0.091954,0.114040,0.161371,0.253027,0.430228", \ "0.100184,0.105935,0.112982,0.128621,0.166341,0.252995,0.430220", \ "0.131398,0.137627,0.146415,0.162841,0.192786,0.262470,0.430221", \ "0.168168,0.174760,0.184142,0.202303,0.235948,0.295914,0.437505"); } } timing () { related_pin : "A1"; when : "!A2 & B1 & B2 & C1 & !C2"; sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.022790,0.025459,0.029238,0.036769,0.051773,0.081688,0.141434", \ "0.027402,0.030098,0.033920,0.041515,0.056596,0.086582,0.146377", \ "0.043326,0.046369,0.050380,0.057680,0.072628,0.102640,0.162475", \ "0.059817,0.064727,0.071171,0.082695,0.102186,0.133644,0.193076", \ "0.070665,0.077673,0.086823,0.103296,0.130996,0.174913,0.241963", \ "0.074461,0.083705,0.095685,0.117486,0.154106,0.212080,0.299403", \ "0.070275,0.081829,0.096928,0.124059,0.170115,0.243059,0.352596"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.076397,0.085122,0.097458,0.121827,0.170235,0.266553,0.458648", \ "0.077916,0.086833,0.099428,0.124234,0.173228,0.270160,0.462755", \ "0.091349,0.100075,0.112473,0.137125,0.186178,0.283591,0.476880", \ "0.124662,0.133091,0.144805,0.168581,0.216525,0.312977,0.505878", \ "0.166028,0.177481,0.192622,0.220543,0.268794,0.363166,0.554269", \ "0.211448,0.225566,0.244393,0.278756,0.338557,0.437567,0.625733", \ "0.261539,0.278221,0.300533,0.341380,0.412753,0.531035,0.723415"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.016660,0.018981,0.022282,0.028828,0.041834,0.067748,0.119505", \ "0.016487,0.018869,0.022222,0.028804,0.041838,0.067752,0.119506", \ "0.019450,0.020886,0.023289,0.028855,0.041454,0.067742,0.119512", \ "0.032955,0.034857,0.037406,0.042160,0.050941,0.070107,0.119477", \ "0.051003,0.053593,0.057160,0.063244,0.073763,0.091844,0.127283", \ "0.073121,0.076517,0.081196,0.089082,0.102294,0.123905,0.159368", \ "0.099217,0.103497,0.109265,0.119282,0.135681,0.161862,0.202918"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.056368,0.064061,0.075086,0.096898,0.140573,0.227871,0.402296", \ "0.056374,0.064060,0.075065,0.096859,0.140541,0.227870,0.402302", \ "0.056326,0.064045,0.075032,0.096872,0.140533,0.227865,0.402291", \ "0.062592,0.068394,0.077357,0.096973,0.140524,0.227853,0.402303", \ "0.083662,0.089567,0.097789,0.111979,0.145724,0.227767,0.402306", \ "0.107202,0.114260,0.124012,0.141489,0.172284,0.237534,0.402293", \ "0.133612,0.141893,0.153295,0.173835,0.209836,0.271186,0.409744"); } } timing () { related_pin : "A1"; when : "!A2 & B1 & B2 & C1 & C2"; sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.019538,0.021632,0.024596,0.030501,0.042258,0.065717,0.112578", \ "0.024310,0.026431,0.029429,0.035381,0.047202,0.070710,0.117608", \ "0.039844,0.042558,0.046126,0.052505,0.064171,0.087662,0.134557", \ "0.054714,0.059165,0.065013,0.075408,0.092795,0.120422,0.167101", \ "0.064040,0.070501,0.078902,0.093992,0.119079,0.158432,0.217520", \ "0.066266,0.074887,0.086045,0.106193,0.139808,0.192363,0.270466", \ "0.060314,0.071184,0.085363,0.110671,0.153353,0.220190,0.319207"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.076386,0.085114,0.097441,0.121831,0.170235,0.266588,0.458696", \ "0.077638,0.086552,0.099155,0.123973,0.172969,0.269928,0.462561", \ "0.091029,0.099721,0.112067,0.136660,0.185664,0.283038,0.476367", \ "0.124593,0.132991,0.144696,0.168393,0.216223,0.312529,0.505330", \ "0.166180,0.177599,0.192762,0.220629,0.268804,0.363046,0.553925", \ "0.211955,0.226038,0.244823,0.279112,0.338867,0.437733,0.625736", \ "0.262574,0.279197,0.301447,0.342206,0.413446,0.531551,0.723763"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.012992,0.014798,0.017374,0.022518,0.032785,0.053282,0.094239", \ "0.012926,0.014751,0.017349,0.022508,0.032777,0.053278,0.094241", \ "0.017367,0.018584,0.020236,0.023951,0.032865,0.053275,0.094244", \ "0.030404,0.032047,0.034229,0.038245,0.045392,0.058831,0.094323", \ "0.047876,0.050175,0.053284,0.058515,0.067504,0.082561,0.108522", \ "0.069541,0.072554,0.076672,0.083614,0.095027,0.113490,0.142959", \ "0.095214,0.099073,0.104235,0.113187,0.127491,0.150101,0.184995"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.056372,0.064064,0.075082,0.096875,0.140534,0.227926,0.402309", \ "0.056376,0.064064,0.075081,0.096910,0.140561,0.227884,0.402322", \ "0.056328,0.064047,0.075050,0.096885,0.140569,0.227875,0.402315", \ "0.062634,0.068431,0.077388,0.096995,0.140539,0.227874,0.402328", \ "0.083612,0.089540,0.097697,0.111953,0.145746,0.227800,0.402309", \ "0.106935,0.114005,0.123748,0.141269,0.172139,0.237505,0.402316", \ "0.133049,0.141355,0.152737,0.173393,0.209481,0.270954,0.409708"); } } timing () { related_pin : "A2"; when : "!A1 & !B1 & B2 & !C1 & C2"; sdf_cond : "(A1 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.054121,0.057740,0.062814,0.072750,0.092150,0.129992,0.204205", \ "0.057950,0.061594,0.066657,0.076604,0.096022,0.133868,0.208089", \ "0.072634,0.076260,0.081411,0.091400,0.110837,0.148674,0.222895", \ "0.102057,0.105954,0.111232,0.121362,0.140172,0.177842,0.251827", \ "0.132028,0.137338,0.144255,0.158019,0.182580,0.224529,0.297918", \ "0.156492,0.163393,0.172538,0.190073,0.221711,0.275556,0.362746", \ "0.174120,0.182663,0.194082,0.215863,0.255038,0.321669,0.429100"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.095924,0.104546,0.116815,0.141037,0.189471,0.286087,0.478886", \ "0.100425,0.109220,0.121647,0.146217,0.195057,0.292104,0.485227", \ "0.116973,0.125738,0.138178,0.162942,0.212069,0.309675,0.503471", \ "0.146576,0.155226,0.167358,0.191882,0.240810,0.338378,0.532501", \ "0.185521,0.195747,0.209581,0.235975,0.285133,0.382287,0.576253", \ "0.228932,0.241031,0.257541,0.288314,0.344515,0.445190,0.638685", \ "0.277960,0.292207,0.311388,0.346899,0.411057,0.523834,0.721827"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.036444,0.039241,0.043223,0.051181,0.067023,0.098562,0.161438", \ "0.036478,0.039249,0.043226,0.051199,0.067016,0.098553,0.161429", \ "0.036172,0.039181,0.043286,0.051251,0.067042,0.098544,0.161427", \ "0.042046,0.044220,0.047250,0.053621,0.067568,0.098405,0.161446", \ "0.059393,0.062148,0.065990,0.072766,0.085067,0.107921,0.162173", \ "0.081122,0.084674,0.089644,0.098235,0.113175,0.138343,0.182093", \ "0.106405,0.110907,0.117100,0.127901,0.146313,0.176397,0.224615"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.095969,0.105283,0.118260,0.142940,0.190514,0.281954,0.458957", \ "0.096016,0.105287,0.118231,0.142947,0.190522,0.281958,0.458961", \ "0.095929,0.105303,0.118224,0.142961,0.190542,0.281954,0.458962", \ "0.097528,0.106260,0.118490,0.142948,0.190536,0.281938,0.458965", \ "0.113603,0.119546,0.129235,0.149912,0.192816,0.281916,0.458959", \ "0.141182,0.146789,0.155413,0.172738,0.208068,0.287449,0.458951", \ "0.173487,0.178597,0.186644,0.203173,0.237710,0.307713,0.464053"); } } timing () { related_pin : "A2"; when : "!A1 & !B1 & B2 & C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.044472,0.048036,0.053020,0.062810,0.081940,0.119385,0.193112", \ "0.048286,0.051862,0.056850,0.066652,0.085809,0.123264,0.197006", \ "0.062969,0.066540,0.071610,0.081449,0.100632,0.138085,0.211809", \ "0.090379,0.094575,0.100329,0.111107,0.130222,0.167331,0.240775", \ "0.115109,0.120954,0.128553,0.143397,0.169478,0.213269,0.286968", \ "0.133863,0.141470,0.151478,0.170480,0.204279,0.260782,0.350701", \ "0.145581,0.154992,0.167510,0.191106,0.232950,0.303023,0.414082"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.093352,0.101956,0.114208,0.138461,0.186763,0.283231,0.475587", \ "0.097802,0.106603,0.119028,0.143606,0.192350,0.289190,0.481922", \ "0.114348,0.123124,0.135556,0.160260,0.209352,0.306798,0.500146", \ "0.144015,0.152656,0.164776,0.189243,0.238078,0.335469,0.529221", \ "0.182369,0.192667,0.206580,0.233155,0.282419,0.379375,0.572958", \ "0.225074,0.237332,0.253955,0.285015,0.341429,0.442288,0.635375", \ "0.273284,0.287745,0.307156,0.343001,0.407498,0.520544,0.718537"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.031523,0.034257,0.038173,0.046011,0.061685,0.093029,0.155703", \ "0.031603,0.034295,0.038215,0.046026,0.061696,0.093031,0.155711", \ "0.030720,0.033615,0.037739,0.046016,0.061721,0.093045,0.155700", \ "0.040007,0.042196,0.045200,0.050925,0.063612,0.093065,0.155703", \ "0.057716,0.060544,0.064437,0.071231,0.083463,0.105503,0.157356", \ "0.079371,0.083038,0.088144,0.096937,0.111932,0.137037,0.179921", \ "0.104398,0.109063,0.115574,0.126537,0.145208,0.175352,0.223299"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.069265,0.077822,0.089993,0.114115,0.161403,0.253058,0.430195", \ "0.069220,0.077823,0.089943,0.114075,0.161411,0.253041,0.430193", \ "0.069236,0.077823,0.089938,0.114041,0.161412,0.253056,0.430201", \ "0.070916,0.078818,0.090255,0.114021,0.161367,0.253025,0.430205", \ "0.085727,0.092672,0.101764,0.121324,0.163743,0.252984,0.430203", \ "0.106861,0.113713,0.123456,0.142571,0.179563,0.258677,0.430196", \ "0.134045,0.140554,0.150183,0.169218,0.206869,0.279299,0.435396"); } } timing () { related_pin : "A2"; when : "!A1 & !B1 & B2 & C1 & C2"; sdf_cond : "(A1 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.035828,0.038803,0.042965,0.051097,0.066969,0.097929,0.158774", \ "0.039950,0.042945,0.047116,0.055276,0.071153,0.102130,0.162982", \ "0.055882,0.058709,0.062827,0.070976,0.086867,0.117825,0.178666", \ "0.082313,0.086170,0.091367,0.101100,0.118216,0.148651,0.209219", \ "0.105118,0.110527,0.117504,0.131114,0.154891,0.194456,0.257917", \ "0.121910,0.129003,0.138315,0.155864,0.187039,0.238717,0.320085", \ "0.131539,0.140379,0.152118,0.174076,0.212978,0.277656,0.379157"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.093164,0.101787,0.114029,0.138264,0.186606,0.283040,0.475490", \ "0.097456,0.106237,0.118676,0.143269,0.191989,0.288842,0.481602", \ "0.114091,0.122822,0.135240,0.159896,0.208935,0.306365,0.499737", \ "0.143924,0.152532,0.164622,0.189041,0.237798,0.335109,0.528797", \ "0.182317,0.192569,0.206593,0.233060,0.282278,0.379141,0.572600", \ "0.225126,0.237325,0.253996,0.284965,0.341384,0.442169,0.635177", \ "0.273610,0.288014,0.307390,0.343196,0.407625,0.520586,0.718500"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.026432,0.028656,0.031867,0.038321,0.051261,0.077192,0.129105", \ "0.026450,0.028682,0.031890,0.038330,0.051260,0.077197,0.129106", \ "0.025528,0.027772,0.031019,0.037777,0.051246,0.077194,0.129105", \ "0.036620,0.038494,0.041038,0.045811,0.055234,0.077614,0.129099", \ "0.053889,0.056416,0.059899,0.065878,0.076482,0.094884,0.133774", \ "0.074923,0.078291,0.082906,0.090801,0.104153,0.126100,0.162225", \ "0.099436,0.103725,0.109606,0.119685,0.136487,0.163307,0.205114"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.069199,0.077821,0.089952,0.114047,0.161402,0.253054,0.430241", \ "0.069207,0.077789,0.089947,0.114086,0.161417,0.253046,0.430214", \ "0.069224,0.077803,0.089945,0.114046,0.161407,0.253060,0.430221", \ "0.070905,0.078821,0.090262,0.114023,0.161397,0.253039,0.430226", \ "0.085733,0.092636,0.101753,0.121327,0.163753,0.252994,0.430223", \ "0.106817,0.113623,0.123408,0.142558,0.179578,0.258685,0.430207", \ "0.133862,0.140403,0.149974,0.169128,0.206825,0.279296,0.435432"); } } timing () { related_pin : "A2"; when : "!A1 & B1 & !B2 & !C1 & C2"; sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.044472,0.048036,0.053020,0.062810,0.081940,0.119385,0.193112", \ "0.048286,0.051862,0.056850,0.066652,0.085809,0.123264,0.197006", \ "0.062969,0.066540,0.071610,0.081449,0.100632,0.138085,0.211809", \ "0.090379,0.094575,0.100329,0.111107,0.130222,0.167331,0.240775", \ "0.115109,0.120954,0.128553,0.143397,0.169478,0.213269,0.286968", \ "0.133863,0.141470,0.151478,0.170480,0.204279,0.260782,0.350701", \ "0.145581,0.154992,0.167510,0.191106,0.232950,0.303023,0.414082"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.093352,0.101956,0.114208,0.138461,0.186763,0.283231,0.475587", \ "0.097802,0.106603,0.119028,0.143606,0.192350,0.289190,0.481922", \ "0.114348,0.123124,0.135556,0.160260,0.209352,0.306798,0.500146", \ "0.144015,0.152656,0.164776,0.189243,0.238078,0.335469,0.529221", \ "0.182369,0.192667,0.206580,0.233155,0.282419,0.379375,0.572958", \ "0.225074,0.237332,0.253955,0.285015,0.341429,0.442288,0.635375", \ "0.273284,0.287745,0.307156,0.343001,0.407498,0.520544,0.718537"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.031523,0.034257,0.038173,0.046011,0.061685,0.093029,0.155703", \ "0.031603,0.034295,0.038215,0.046026,0.061696,0.093031,0.155711", \ "0.030720,0.033615,0.037739,0.046016,0.061721,0.093045,0.155700", \ "0.040007,0.042196,0.045200,0.050925,0.063612,0.093065,0.155703", \ "0.057716,0.060544,0.064437,0.071231,0.083463,0.105503,0.157356", \ "0.079371,0.083038,0.088144,0.096937,0.111932,0.137037,0.179921", \ "0.104398,0.109063,0.115574,0.126537,0.145208,0.175352,0.223299"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.069265,0.077822,0.089993,0.114115,0.161403,0.253058,0.430195", \ "0.069220,0.077823,0.089943,0.114075,0.161411,0.253041,0.430193", \ "0.069236,0.077823,0.089938,0.114041,0.161412,0.253056,0.430201", \ "0.070916,0.078818,0.090255,0.114021,0.161367,0.253025,0.430205", \ "0.085727,0.092672,0.101764,0.121324,0.163743,0.252984,0.430203", \ "0.106861,0.113713,0.123456,0.142571,0.179563,0.258677,0.430196", \ "0.134045,0.140554,0.150183,0.169218,0.206869,0.279299,0.435396"); } } timing () { related_pin : "A2"; when : "!A1 & B1 & !B2 & C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.035358,0.038836,0.043698,0.053252,0.072006,0.108936,0.182085", \ "0.039143,0.042628,0.047516,0.057087,0.075866,0.112817,0.185969", \ "0.054205,0.057473,0.062294,0.071884,0.090683,0.127635,0.200779", \ "0.077506,0.082184,0.088527,0.100172,0.120452,0.156933,0.229761", \ "0.096220,0.102800,0.111358,0.127582,0.155574,0.201576,0.276104", \ "0.108586,0.117150,0.128352,0.149275,0.185733,0.245323,0.338299", \ "0.113800,0.124377,0.138355,0.164251,0.209426,0.283482,0.398590"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.090763,0.099384,0.111597,0.135827,0.184080,0.280286,0.472286", \ "0.095210,0.103995,0.116420,0.140976,0.189650,0.286276,0.478618", \ "0.111735,0.120516,0.132934,0.157615,0.206623,0.303850,0.496839", \ "0.141465,0.150077,0.162201,0.186604,0.235361,0.332551,0.525898", \ "0.179175,0.189578,0.203610,0.230340,0.279723,0.376482,0.569645", \ "0.221180,0.233572,0.250417,0.281608,0.338356,0.439399,0.632102", \ "0.268555,0.283204,0.302786,0.339047,0.403935,0.517277,0.715290"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.026455,0.029105,0.032944,0.040680,0.056206,0.087418,0.149931", \ "0.026423,0.029100,0.032954,0.040679,0.056218,0.087421,0.149922", \ "0.026090,0.028637,0.032405,0.040314,0.056279,0.087430,0.149916", \ "0.038251,0.040431,0.043362,0.048956,0.060307,0.087682,0.149908", \ "0.056366,0.059245,0.063194,0.070016,0.082105,0.103600,0.152764", \ "0.078060,0.081876,0.087137,0.095998,0.111003,0.135900,0.177996", \ "0.102990,0.107812,0.114414,0.125686,0.144469,0.174526,0.222111"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.056364,0.064084,0.075067,0.096885,0.140552,0.227864,0.402266", \ "0.056366,0.064080,0.075064,0.096901,0.140551,0.227848,0.402262", \ "0.056364,0.064086,0.075074,0.096872,0.140527,0.227870,0.402266", \ "0.058254,0.065237,0.075390,0.096855,0.140504,0.227840,0.402285", \ "0.070761,0.077390,0.086680,0.104344,0.143005,0.227775,0.402266", \ "0.087087,0.094003,0.103962,0.122566,0.158750,0.233548,0.402269", \ "0.107776,0.114931,0.125362,0.144681,0.182325,0.254292,0.407513"); } } timing () { related_pin : "A2"; when : "!A1 & B1 & !B2 & C1 & C2"; sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.028576,0.031490,0.035544,0.043492,0.059031,0.089541,0.149878", \ "0.032703,0.035616,0.039691,0.047654,0.063212,0.093737,0.154080", \ "0.049114,0.051901,0.055671,0.063405,0.078939,0.109449,0.169764", \ "0.070793,0.075099,0.080864,0.091406,0.109649,0.140373,0.200350", \ "0.087881,0.093999,0.101910,0.116787,0.142414,0.184067,0.249189", \ "0.098561,0.106579,0.117023,0.136428,0.170186,0.224814,0.309067", \ "0.101909,0.111882,0.125039,0.149236,0.191329,0.259863,0.365218"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.090598,0.099217,0.111436,0.135672,0.183926,0.280143,0.472175", \ "0.094868,0.103641,0.116056,0.140615,0.189287,0.285931,0.478300", \ "0.111487,0.120223,0.132622,0.157257,0.206235,0.303414,0.496431", \ "0.141370,0.149994,0.162047,0.186405,0.235079,0.332184,0.525477", \ "0.179123,0.189496,0.203597,0.230259,0.279580,0.376233,0.569291", \ "0.221229,0.233605,0.250433,0.281607,0.338306,0.439280,0.631874", \ "0.268889,0.283508,0.303066,0.339250,0.404070,0.517323,0.715240"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.022136,0.024351,0.027517,0.033897,0.046719,0.072539,0.124332", \ "0.021966,0.024239,0.027446,0.033869,0.046719,0.072538,0.124342", \ "0.022359,0.024280,0.027175,0.033330,0.046601,0.072565,0.124325", \ "0.035326,0.037187,0.039715,0.044431,0.053260,0.073973,0.124362", \ "0.052963,0.055504,0.059031,0.065048,0.075592,0.093777,0.130701", \ "0.074151,0.077569,0.082379,0.090313,0.103676,0.125475,0.161194", \ "0.098655,0.103076,0.109110,0.119412,0.136233,0.162928,0.204433"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.056366,0.064057,0.075060,0.096899,0.140569,0.227888,0.402303", \ "0.056368,0.064053,0.075062,0.096897,0.140550,0.227875,0.402285", \ "0.056366,0.064057,0.075076,0.096875,0.140571,0.227873,0.402288", \ "0.058282,0.065253,0.075407,0.096873,0.140506,0.227868,0.402305", \ "0.070778,0.077389,0.086693,0.104377,0.143033,0.227790,0.402287", \ "0.087083,0.093947,0.103899,0.122584,0.158771,0.233569,0.402283", \ "0.107655,0.114781,0.125214,0.144615,0.182299,0.254296,0.407564"); } } timing () { related_pin : "A2"; when : "!A1 & B1 & B2 & !C1 & C2"; sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.035828,0.038803,0.042965,0.051097,0.066969,0.097929,0.158774", \ "0.039950,0.042945,0.047116,0.055276,0.071153,0.102130,0.162982", \ "0.055882,0.058709,0.062827,0.070976,0.086867,0.117825,0.178666", \ "0.082313,0.086170,0.091367,0.101100,0.118216,0.148651,0.209219", \ "0.105118,0.110527,0.117504,0.131114,0.154891,0.194456,0.257917", \ "0.121910,0.129003,0.138315,0.155864,0.187039,0.238717,0.320085", \ "0.131539,0.140379,0.152118,0.174076,0.212978,0.277656,0.379157"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.093164,0.101787,0.114029,0.138264,0.186606,0.283040,0.475490", \ "0.097456,0.106237,0.118676,0.143269,0.191989,0.288842,0.481602", \ "0.114091,0.122822,0.135240,0.159896,0.208935,0.306365,0.499737", \ "0.143924,0.152532,0.164622,0.189041,0.237798,0.335109,0.528797", \ "0.182317,0.192569,0.206593,0.233060,0.282278,0.379141,0.572600", \ "0.225126,0.237325,0.253996,0.284965,0.341384,0.442169,0.635177", \ "0.273610,0.288014,0.307390,0.343196,0.407625,0.520586,0.718500"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.026432,0.028656,0.031867,0.038321,0.051261,0.077192,0.129105", \ "0.026450,0.028682,0.031890,0.038330,0.051260,0.077197,0.129106", \ "0.025528,0.027772,0.031019,0.037777,0.051246,0.077194,0.129105", \ "0.036620,0.038494,0.041038,0.045811,0.055234,0.077614,0.129099", \ "0.053889,0.056416,0.059899,0.065878,0.076482,0.094884,0.133774", \ "0.074923,0.078291,0.082906,0.090801,0.104153,0.126100,0.162225", \ "0.099436,0.103725,0.109606,0.119685,0.136487,0.163307,0.205114"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.069199,0.077821,0.089952,0.114047,0.161402,0.253054,0.430241", \ "0.069207,0.077789,0.089947,0.114086,0.161417,0.253046,0.430214", \ "0.069224,0.077803,0.089945,0.114046,0.161407,0.253060,0.430221", \ "0.070905,0.078821,0.090262,0.114023,0.161397,0.253039,0.430226", \ "0.085733,0.092636,0.101753,0.121327,0.163753,0.252994,0.430223", \ "0.106817,0.113623,0.123408,0.142558,0.179578,0.258685,0.430207", \ "0.133862,0.140403,0.149974,0.169128,0.206825,0.279296,0.435432"); } } timing () { related_pin : "A2"; when : "!A1 & B1 & B2 & C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.028576,0.031490,0.035544,0.043492,0.059031,0.089541,0.149878", \ "0.032703,0.035616,0.039691,0.047654,0.063212,0.093737,0.154080", \ "0.049114,0.051901,0.055671,0.063405,0.078939,0.109449,0.169764", \ "0.070793,0.075099,0.080864,0.091406,0.109649,0.140373,0.200350", \ "0.087881,0.093999,0.101910,0.116787,0.142414,0.184067,0.249189", \ "0.098561,0.106579,0.117023,0.136428,0.170186,0.224814,0.309067", \ "0.101909,0.111882,0.125039,0.149236,0.191329,0.259863,0.365218"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.090598,0.099217,0.111436,0.135672,0.183926,0.280143,0.472175", \ "0.094868,0.103641,0.116056,0.140615,0.189287,0.285931,0.478300", \ "0.111487,0.120223,0.132622,0.157257,0.206235,0.303414,0.496431", \ "0.141370,0.149994,0.162047,0.186405,0.235079,0.332184,0.525477", \ "0.179123,0.189496,0.203597,0.230259,0.279580,0.376233,0.569291", \ "0.221229,0.233605,0.250433,0.281607,0.338306,0.439280,0.631874", \ "0.268889,0.283508,0.303066,0.339250,0.404070,0.517323,0.715240"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.022136,0.024351,0.027517,0.033897,0.046719,0.072539,0.124332", \ "0.021966,0.024239,0.027446,0.033869,0.046719,0.072538,0.124342", \ "0.022359,0.024280,0.027175,0.033330,0.046601,0.072565,0.124325", \ "0.035326,0.037187,0.039715,0.044431,0.053260,0.073973,0.124362", \ "0.052963,0.055504,0.059031,0.065048,0.075592,0.093777,0.130701", \ "0.074151,0.077569,0.082379,0.090313,0.103676,0.125475,0.161194", \ "0.098655,0.103076,0.109110,0.119412,0.136233,0.162928,0.204433"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.056366,0.064057,0.075060,0.096899,0.140569,0.227888,0.402303", \ "0.056368,0.064053,0.075062,0.096897,0.140550,0.227875,0.402285", \ "0.056366,0.064057,0.075076,0.096875,0.140571,0.227873,0.402288", \ "0.058282,0.065253,0.075407,0.096873,0.140506,0.227868,0.402305", \ "0.070778,0.077389,0.086693,0.104377,0.143033,0.227790,0.402287", \ "0.087083,0.093947,0.103899,0.122584,0.158771,0.233569,0.402283", \ "0.107655,0.114781,0.125214,0.144615,0.182299,0.254296,0.407564"); } } timing () { related_pin : "A2"; when : "!A1 & B1 & B2 & C1 & C2"; sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.023591,0.025896,0.029107,0.035393,0.047652,0.071661,0.119057", \ "0.028024,0.030326,0.033542,0.039829,0.052094,0.076110,0.123510", \ "0.044824,0.047303,0.050623,0.056695,0.068821,0.092785,0.140138", \ "0.064456,0.068348,0.073569,0.083046,0.099276,0.125725,0.172662", \ "0.079610,0.085227,0.092484,0.106033,0.129188,0.166440,0.223714", \ "0.088388,0.095824,0.105518,0.123396,0.154216,0.203660,0.278956", \ "0.089692,0.099022,0.111328,0.133771,0.172639,0.235317,0.330447"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.090589,0.099202,0.111428,0.135661,0.183922,0.280155,0.472193", \ "0.094628,0.103397,0.115810,0.140373,0.189072,0.285714,0.478114", \ "0.111220,0.119929,0.132286,0.156863,0.205786,0.302936,0.495976", \ "0.141266,0.149851,0.161894,0.186203,0.234786,0.331774,0.524984", \ "0.179065,0.189429,0.203582,0.230151,0.279441,0.375992,0.568896", \ "0.221270,0.233639,0.250426,0.281666,0.338269,0.439174,0.631648", \ "0.269231,0.283821,0.303342,0.339465,0.404211,0.517378,0.715193"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.017290,0.019050,0.021568,0.026622,0.036751,0.057137,0.098095", \ "0.017090,0.018904,0.021466,0.026557,0.036727,0.057127,0.098087", \ "0.019557,0.020770,0.022692,0.026956,0.036576,0.057142,0.098089", \ "0.032376,0.033982,0.036101,0.040029,0.047113,0.061298,0.098113", \ "0.049379,0.051634,0.054706,0.059895,0.068902,0.083988,0.110553", \ "0.070032,0.073077,0.077298,0.084293,0.095910,0.114603,0.144284", \ "0.094050,0.098028,0.103409,0.112690,0.127504,0.150688,0.186044"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.056366,0.064062,0.075062,0.096908,0.140548,0.227892,0.402322", \ "0.056372,0.064058,0.075062,0.096891,0.140575,0.227879,0.402308", \ "0.056371,0.064061,0.075063,0.096881,0.140576,0.227883,0.402309", \ "0.058306,0.065269,0.075425,0.096880,0.140535,0.227889,0.402322", \ "0.070788,0.077405,0.086686,0.104408,0.143056,0.227799,0.402308", \ "0.087073,0.093945,0.103868,0.122631,0.158791,0.233586,0.402306", \ "0.107537,0.114673,0.125046,0.144546,0.182266,0.254296,0.407594"); } } timing () { related_pin : "B1"; when : "!A1 & A2 & !B2 & !C1 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.057869,0.061435,0.066447,0.076245,0.095406,0.132880,0.206627", \ "0.063051,0.066651,0.071679,0.081521,0.100731,0.138263,0.212049", \ "0.078585,0.082214,0.087242,0.097136,0.116443,0.154076,0.227974", \ "0.105241,0.109242,0.114607,0.125153,0.144415,0.182141,0.256245", \ "0.135170,0.140184,0.146879,0.159672,0.183255,0.224955,0.299997", \ "0.162408,0.169045,0.177646,0.194226,0.223890,0.274327,0.359201", \ "0.183482,0.191995,0.203097,0.224170,0.261672,0.324090,0.424345"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.117651,0.126411,0.138990,0.163687,0.212743,0.310050,0.503583", \ "0.119962,0.128852,0.141529,0.166399,0.215717,0.313273,0.507055", \ "0.132495,0.141418,0.154177,0.179297,0.228864,0.326933,0.521277", \ "0.163994,0.172677,0.184871,0.209549,0.258673,0.356463,0.550581", \ "0.215661,0.225113,0.237970,0.261851,0.309753,0.405997,0.598936", \ "0.273703,0.285418,0.301361,0.331088,0.384433,0.479460,0.670017", \ "0.336014,0.349964,0.369109,0.404676,0.468473,0.577750,0.766993"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.031431,0.034174,0.038112,0.045963,0.061668,0.093013,0.155659", \ "0.031431,0.034184,0.038105,0.045979,0.061659,0.093012,0.155654", \ "0.031226,0.034029,0.038003,0.045929,0.061656,0.093003,0.155662", \ "0.034949,0.037443,0.040880,0.047788,0.062212,0.092916,0.155647", \ "0.045545,0.048091,0.051727,0.058742,0.072283,0.098637,0.156302", \ "0.062037,0.064958,0.069109,0.076606,0.090405,0.116521,0.167574", \ "0.083067,0.086608,0.091491,0.100334,0.115666,0.142600,0.192761"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.119398,0.128146,0.140723,0.165049,0.212172,0.303519,0.481032", \ "0.119459,0.128164,0.140730,0.165046,0.212157,0.303505,0.481031", \ "0.119454,0.128168,0.140747,0.164993,0.212165,0.303503,0.481043", \ "0.119537,0.128030,0.140671,0.164945,0.212123,0.303526,0.481035", \ "0.133708,0.140228,0.150244,0.170365,0.212980,0.303468,0.481036", \ "0.169651,0.174868,0.182467,0.196985,0.230981,0.307661,0.481038", \ "0.211547,0.217325,0.225420,0.241521,0.271998,0.334350,0.484715"); } } timing () { related_pin : "B1"; when : "!A1 & A2 & !B2 & C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.048774,0.052241,0.057106,0.066672,0.085460,0.122433,0.195623", \ "0.053892,0.057399,0.062293,0.071913,0.090765,0.127801,0.201035", \ "0.069345,0.072850,0.077767,0.087450,0.106417,0.143592,0.216954", \ "0.093958,0.098151,0.103734,0.114551,0.134289,0.171612,0.245209", \ "0.119378,0.124996,0.132304,0.146066,0.170755,0.213530,0.288878", \ "0.140387,0.147872,0.157514,0.175807,0.207714,0.260519,0.347118", \ "0.154260,0.163935,0.176451,0.199850,0.240644,0.306806,0.410226"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.114913,0.123690,0.136205,0.160857,0.209911,0.306997,0.500201", \ "0.117216,0.126102,0.138720,0.163599,0.212907,0.310367,0.503674", \ "0.129757,0.138682,0.151403,0.176429,0.225993,0.323918,0.517866", \ "0.161322,0.169986,0.182198,0.206816,0.255818,0.353381,0.547209", \ "0.212569,0.222132,0.235095,0.259193,0.306976,0.403064,0.595581", \ "0.269716,0.281580,0.297671,0.327648,0.381401,0.476521,0.666636", \ "0.331084,0.345201,0.364450,0.400338,0.464622,0.574470,0.763676"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.026097,0.028840,0.032747,0.040563,0.056188,0.087409,0.149917", \ "0.026111,0.028848,0.032751,0.040564,0.056179,0.087402,0.149892", \ "0.025902,0.028669,0.032623,0.040504,0.056156,0.087419,0.149899", \ "0.031311,0.033738,0.037224,0.043873,0.057606,0.087359,0.149891", \ "0.043153,0.045653,0.049224,0.056011,0.069130,0.094863,0.151058", \ "0.060495,0.063441,0.067598,0.075028,0.088512,0.113848,0.163907", \ "0.082113,0.085711,0.090658,0.099498,0.114675,0.141059,0.190039"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.089292,0.097993,0.110312,0.134576,0.182223,0.274093,0.451975", \ "0.089330,0.097952,0.110314,0.134607,0.182180,0.274142,0.451976", \ "0.089337,0.097972,0.110209,0.134553,0.182153,0.274094,0.451983", \ "0.089469,0.097805,0.110141,0.134473,0.182071,0.274094,0.451987", \ "0.104321,0.110551,0.120100,0.140234,0.183004,0.274041,0.452004", \ "0.134941,0.141672,0.150897,0.167961,0.201487,0.278411,0.451976", \ "0.169889,0.177392,0.187803,0.207033,0.241672,0.305463,0.455814"); } } timing () { related_pin : "B1"; when : "!A1 & A2 & !B2 & C1 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.039726,0.042600,0.046628,0.054539,0.070048,0.100523,0.160775", \ "0.045124,0.048023,0.052078,0.060035,0.075596,0.106121,0.166421", \ "0.061050,0.063933,0.067975,0.075950,0.091583,0.122219,0.182616", \ "0.084674,0.088327,0.093220,0.102570,0.119659,0.150782,0.211373", \ "0.108491,0.113502,0.120130,0.132350,0.154147,0.191450,0.255696", \ "0.127778,0.134634,0.143469,0.160042,0.188746,0.235683,0.311500", \ "0.140059,0.148984,0.160581,0.181985,0.219163,0.278842,0.370790"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.114705,0.123479,0.135962,0.160669,0.209634,0.306833,0.500009", \ "0.116533,0.125442,0.138072,0.162941,0.212183,0.309617,0.503046", \ "0.129261,0.138145,0.150838,0.175798,0.225370,0.323185,0.517112", \ "0.161138,0.169781,0.181955,0.206550,0.255425,0.352948,0.546544", \ "0.212635,0.222186,0.235148,0.259204,0.306913,0.402880,0.595184", \ "0.270110,0.281934,0.297995,0.327942,0.381614,0.476634,0.666582", \ "0.331911,0.346006,0.365170,0.401025,0.465231,0.574911,0.763982"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.021382,0.023655,0.026892,0.033357,0.046268,0.072096,0.123807", \ "0.021387,0.023661,0.026896,0.033361,0.046272,0.072090,0.123806", \ "0.021369,0.023541,0.026715,0.033230,0.046217,0.072091,0.123793", \ "0.027342,0.029374,0.032286,0.037931,0.048918,0.072597,0.123779", \ "0.038794,0.040943,0.043949,0.049724,0.060721,0.082269,0.126777", \ "0.055277,0.057857,0.061462,0.067860,0.079352,0.100672,0.142484", \ "0.076016,0.079171,0.083493,0.091243,0.104312,0.126711,0.167883"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.089353,0.097975,0.110281,0.134639,0.182176,0.274145,0.452016", \ "0.089368,0.097973,0.110231,0.134569,0.182169,0.274112,0.452007", \ "0.089345,0.097998,0.110223,0.134573,0.182183,0.274109,0.452009", \ "0.089509,0.097841,0.110163,0.134472,0.182085,0.274151,0.452016", \ "0.104290,0.110559,0.120093,0.140255,0.183031,0.274070,0.452007", \ "0.134771,0.141503,0.150692,0.167832,0.201417,0.278404,0.451999", \ "0.169435,0.176959,0.187318,0.206676,0.241400,0.305296,0.455795"); } } timing () { related_pin : "B1"; when : "A1 & !A2 & !B2 & !C1 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.048774,0.052241,0.057106,0.066672,0.085460,0.122433,0.195623", \ "0.053892,0.057399,0.062293,0.071913,0.090765,0.127801,0.201035", \ "0.069345,0.072850,0.077767,0.087450,0.106417,0.143592,0.216954", \ "0.093958,0.098151,0.103734,0.114551,0.134289,0.171612,0.245209", \ "0.119378,0.124996,0.132304,0.146066,0.170755,0.213530,0.288878", \ "0.140387,0.147872,0.157514,0.175807,0.207714,0.260519,0.347118", \ "0.154260,0.163935,0.176451,0.199850,0.240644,0.306806,0.410226"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.114913,0.123690,0.136205,0.160857,0.209911,0.306997,0.500201", \ "0.117216,0.126102,0.138720,0.163599,0.212907,0.310367,0.503674", \ "0.129757,0.138682,0.151403,0.176429,0.225993,0.323918,0.517866", \ "0.161322,0.169986,0.182198,0.206816,0.255818,0.353381,0.547209", \ "0.212569,0.222132,0.235095,0.259193,0.306976,0.403064,0.595581", \ "0.269716,0.281580,0.297671,0.327648,0.381401,0.476521,0.666636", \ "0.331084,0.345201,0.364450,0.400338,0.464622,0.574470,0.763676"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.026097,0.028840,0.032747,0.040563,0.056188,0.087409,0.149917", \ "0.026111,0.028848,0.032751,0.040564,0.056179,0.087402,0.149892", \ "0.025902,0.028669,0.032623,0.040504,0.056156,0.087419,0.149899", \ "0.031311,0.033738,0.037224,0.043873,0.057606,0.087359,0.149891", \ "0.043153,0.045653,0.049224,0.056011,0.069130,0.094863,0.151058", \ "0.060495,0.063441,0.067598,0.075028,0.088512,0.113848,0.163907", \ "0.082113,0.085711,0.090658,0.099498,0.114675,0.141059,0.190039"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.089292,0.097993,0.110312,0.134576,0.182223,0.274093,0.451975", \ "0.089330,0.097952,0.110314,0.134607,0.182180,0.274142,0.451976", \ "0.089337,0.097972,0.110209,0.134553,0.182153,0.274094,0.451983", \ "0.089469,0.097805,0.110141,0.134473,0.182071,0.274094,0.451987", \ "0.104321,0.110551,0.120100,0.140234,0.183004,0.274041,0.452004", \ "0.134941,0.141672,0.150897,0.167961,0.201487,0.278411,0.451976", \ "0.169889,0.177392,0.187803,0.207033,0.241672,0.305463,0.455814"); } } timing () { related_pin : "B1"; when : "A1 & !A2 & !B2 & C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.040814,0.044045,0.048618,0.057738,0.075923,0.112207,0.184713", \ "0.045842,0.049116,0.053741,0.062938,0.081204,0.117573,0.190127", \ "0.060892,0.064271,0.068999,0.078326,0.096772,0.133318,0.206023", \ "0.082118,0.086635,0.092522,0.103742,0.124105,0.161252,0.234252", \ "0.101579,0.108026,0.116281,0.131395,0.157664,0.201846,0.277835", \ "0.114814,0.123606,0.134807,0.155414,0.190293,0.246077,0.334834", \ "0.120064,0.131509,0.146139,0.172770,0.217792,0.288569,0.395742"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.112177,0.120964,0.133392,0.158047,0.206934,0.303954,0.496788", \ "0.114444,0.123348,0.135911,0.160764,0.209912,0.307200,0.500270", \ "0.126992,0.135919,0.148608,0.173622,0.223073,0.320919,0.514455", \ "0.158610,0.167281,0.179459,0.204061,0.252983,0.350323,0.543785", \ "0.209463,0.219143,0.232195,0.256518,0.304211,0.400029,0.592150", \ "0.265730,0.277735,0.293954,0.324161,0.378352,0.473555,0.663251", \ "0.326143,0.340446,0.359822,0.395998,0.460779,0.571187,0.760352"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.020298,0.023026,0.026957,0.034790,0.050440,0.081680,0.144084", \ "0.020303,0.023025,0.026948,0.034786,0.050444,0.081685,0.144071", \ "0.020661,0.023212,0.027029,0.034817,0.050444,0.081676,0.144076", \ "0.027735,0.030080,0.033454,0.040089,0.053215,0.082014,0.144071", \ "0.041014,0.043467,0.046974,0.053599,0.066206,0.091412,0.145958", \ "0.059397,0.062381,0.066490,0.073869,0.086988,0.111473,0.160485", \ "0.082168,0.085727,0.090626,0.099300,0.114182,0.139826,0.187524"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.073831,0.081723,0.092985,0.115256,0.159612,0.247950,0.423614", \ "0.073839,0.081712,0.093004,0.115235,0.159591,0.247974,0.423643", \ "0.073831,0.081721,0.093018,0.115242,0.159568,0.248030,0.423650", \ "0.074097,0.081617,0.092888,0.115170,0.159530,0.247934,0.423649", \ "0.089285,0.094843,0.103406,0.121254,0.160638,0.247840,0.423650", \ "0.113334,0.120378,0.130066,0.147600,0.179444,0.252397,0.423609", \ "0.139955,0.148337,0.159846,0.180404,0.216478,0.279722,0.427583"); } } timing () { related_pin : "B1"; when : "A1 & !A2 & !B2 & C1 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.033617,0.036268,0.040027,0.047525,0.062474,0.092315,0.151945", \ "0.038930,0.041624,0.045424,0.052984,0.068008,0.097913,0.157586", \ "0.054381,0.057191,0.061095,0.068764,0.083933,0.113979,0.173780", \ "0.074531,0.078524,0.083720,0.093497,0.111090,0.142448,0.202526", \ "0.092689,0.098497,0.105987,0.119510,0.142849,0.181507,0.246725", \ "0.104671,0.112745,0.123045,0.141830,0.173350,0.223145,0.301006", \ "0.108568,0.119219,0.132877,0.157369,0.198630,0.262686,0.358197"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.111959,0.120748,0.133159,0.157827,0.206713,0.303733,0.496605", \ "0.113773,0.122683,0.135237,0.160116,0.209251,0.306560,0.499650", \ "0.126489,0.135388,0.147990,0.172998,0.222399,0.320171,0.513705", \ "0.158427,0.167083,0.179250,0.203790,0.252620,0.349807,0.543154", \ "0.209535,0.219202,0.232269,0.256530,0.304154,0.399834,0.591797", \ "0.266123,0.278102,0.294293,0.324456,0.378568,0.473701,0.663201", \ "0.326986,0.341260,0.360592,0.396721,0.461400,0.571636,0.760660"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.016557,0.018812,0.022055,0.028532,0.041479,0.067323,0.118989", \ "0.016558,0.018811,0.022054,0.028531,0.041475,0.067326,0.118976", \ "0.017408,0.019443,0.022414,0.028593,0.041475,0.067327,0.118976", \ "0.024489,0.026453,0.029272,0.034797,0.045664,0.068472,0.118981", \ "0.037163,0.039300,0.042305,0.047917,0.058506,0.079494,0.122919", \ "0.054741,0.057340,0.060907,0.067227,0.078396,0.098941,0.139798", \ "0.076692,0.079804,0.084058,0.091597,0.104362,0.126082,0.166040"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.073843,0.081717,0.092955,0.115270,0.159615,0.247964,0.423637", \ "0.073836,0.081722,0.092957,0.115259,0.159604,0.247956,0.423639", \ "0.073839,0.081726,0.092954,0.115230,0.159578,0.248003,0.423639", \ "0.074117,0.081633,0.092847,0.115194,0.159582,0.247949,0.423667", \ "0.089262,0.094828,0.103349,0.121255,0.160660,0.247854,0.423649", \ "0.113169,0.120211,0.129839,0.147471,0.179368,0.252406,0.423633", \ "0.139553,0.147954,0.159407,0.180071,0.216200,0.279543,0.427573"); } } timing () { related_pin : "B1"; when : "A1 & A2 & !B2 & !C1 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.043430,0.046430,0.050628,0.058890,0.075098,0.106961,0.169995", \ "0.048531,0.051555,0.055787,0.064093,0.080355,0.112276,0.175341", \ "0.064992,0.068000,0.072248,0.080591,0.096945,0.128991,0.192160", \ "0.091462,0.095310,0.100349,0.110066,0.127684,0.159906,0.223285", \ "0.117252,0.122675,0.129742,0.142940,0.166253,0.205482,0.271603", \ "0.137693,0.145050,0.154559,0.172351,0.203459,0.254230,0.334450", \ "0.150836,0.160320,0.172629,0.195526,0.235592,0.300372,0.399875"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.123356,0.132105,0.144543,0.169123,0.217978,0.315010,0.508012", \ "0.125771,0.134609,0.147179,0.171956,0.221135,0.318527,0.511787", \ "0.138245,0.147117,0.159765,0.184712,0.234124,0.332055,0.525889", \ "0.169650,0.178289,0.190442,0.214985,0.263926,0.361318,0.555037", \ "0.221779,0.231005,0.243593,0.267213,0.314967,0.410906,0.603324", \ "0.281143,0.292564,0.308139,0.337317,0.389900,0.484385,0.674427", \ "0.344710,0.358358,0.376981,0.411843,0.474799,0.582976,0.771539"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.023294,0.025631,0.028957,0.035595,0.048842,0.075337,0.128336", \ "0.023302,0.025633,0.028966,0.035614,0.048842,0.075330,0.128322", \ "0.023052,0.025406,0.028783,0.035492,0.048816,0.075328,0.128318", \ "0.029947,0.031904,0.034698,0.040102,0.050962,0.075438,0.128308", \ "0.043634,0.045824,0.048909,0.054646,0.065330,0.085799,0.130450", \ "0.062135,0.064824,0.068610,0.075380,0.087291,0.108453,0.148085", \ "0.084846,0.088113,0.092646,0.100858,0.114876,0.138551,0.179304"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.093997,0.102719,0.114995,0.139449,0.187026,0.279026,0.456934", \ "0.094036,0.102735,0.115037,0.139427,0.187068,0.279054,0.456948", \ "0.094062,0.102718,0.115035,0.139398,0.187007,0.279051,0.456934", \ "0.093897,0.102668,0.114960,0.139347,0.187027,0.279014,0.456935", \ "0.106584,0.113294,0.123303,0.143836,0.187549,0.278956,0.456944", \ "0.137122,0.143822,0.153016,0.169863,0.204526,0.282582,0.456932", \ "0.172153,0.179700,0.190021,0.209325,0.243826,0.308369,0.460193"); } } timing () { related_pin : "B1"; when : "A1 & A2 & !B2 & C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.036898,0.039679,0.043615,0.051464,0.067111,0.098342,0.160733", \ "0.041917,0.044736,0.048719,0.056630,0.072352,0.103650,0.166087", \ "0.057956,0.060875,0.064951,0.072981,0.088869,0.120328,0.182901", \ "0.080480,0.084743,0.090211,0.100531,0.118818,0.151142,0.213994", \ "0.099979,0.106214,0.114236,0.128868,0.154035,0.195017,0.262206", \ "0.112767,0.121321,0.132283,0.152376,0.186526,0.240564,0.323386", \ "0.117385,0.128524,0.142898,0.168970,0.213158,0.282569,0.386197"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.120628,0.129368,0.141753,0.166305,0.215075,0.311943,0.504601", \ "0.123001,0.131860,0.144352,0.169152,0.218213,0.315412,0.508371", \ "0.135472,0.144348,0.156955,0.181898,0.231245,0.328966,0.522472", \ "0.166930,0.175569,0.187689,0.212241,0.261053,0.358223,0.551619", \ "0.218798,0.228124,0.240773,0.264529,0.312177,0.407929,0.599907", \ "0.277299,0.288857,0.304543,0.333891,0.386879,0.481433,0.671034", \ "0.339961,0.353750,0.372505,0.407653,0.471039,0.579715,0.768196"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.018263,0.020616,0.023967,0.030634,0.043954,0.070468,0.123397", \ "0.018268,0.020612,0.023968,0.030644,0.043935,0.070457,0.123399", \ "0.018776,0.020919,0.024052,0.030660,0.043932,0.070462,0.123397", \ "0.027344,0.029259,0.032037,0.037330,0.047708,0.071106,0.123392", \ "0.042033,0.044246,0.047337,0.053063,0.063513,0.083471,0.126501", \ "0.061537,0.064220,0.067950,0.074690,0.086430,0.107115,0.145891", \ "0.085519,0.088661,0.093067,0.101022,0.114775,0.137984,0.177939"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.078210,0.086138,0.097496,0.119778,0.164258,0.252764,0.428557", \ "0.078204,0.086137,0.097466,0.119819,0.164255,0.252737,0.428552", \ "0.078205,0.086138,0.097447,0.119781,0.164250,0.252770,0.428568", \ "0.078087,0.086077,0.097376,0.119735,0.164245,0.252691,0.428560", \ "0.091303,0.097184,0.106070,0.124558,0.164887,0.252669,0.428564", \ "0.116112,0.123030,0.132550,0.149960,0.182193,0.256443,0.428564", \ "0.143284,0.151507,0.162772,0.183165,0.218920,0.282480,0.432007"); } } timing () { related_pin : "B1"; when : "A1 & A2 & !B2 & C1 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.029721,0.031916,0.035029,0.041237,0.053615,0.078321,0.127678", \ "0.035046,0.037276,0.040422,0.046682,0.059119,0.083874,0.133274", \ "0.051612,0.054056,0.057334,0.063697,0.076267,0.101153,0.150660", \ "0.072832,0.076576,0.081421,0.090385,0.106086,0.133022,0.182821", \ "0.090885,0.096534,0.103770,0.116834,0.139142,0.174912,0.232087", \ "0.102401,0.110245,0.120317,0.138618,0.169346,0.217421,0.289852", \ "0.105656,0.116003,0.129390,0.153319,0.193727,0.256385,0.348493"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.120417,0.129156,0.141504,0.166089,0.214840,0.311719,0.504431", \ "0.122310,0.131179,0.143690,0.168482,0.217552,0.314748,0.507744", \ "0.134925,0.143768,0.156325,0.181235,0.230525,0.328154,0.521707", \ "0.166737,0.175354,0.187460,0.211936,0.260624,0.357717,0.550954", \ "0.218866,0.228179,0.240845,0.264552,0.312132,0.407704,0.599543", \ "0.277679,0.289219,0.304899,0.334191,0.387110,0.481595,0.671009", \ "0.340790,0.354548,0.373269,0.408352,0.471636,0.580182,0.768535"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.014287,0.016145,0.018802,0.024103,0.034674,0.055768,0.097904", \ "0.014281,0.016140,0.018810,0.024103,0.034681,0.055774,0.097904", \ "0.015489,0.017065,0.019399,0.024276,0.034682,0.055762,0.097891", \ "0.024051,0.025634,0.027919,0.032205,0.040528,0.057744,0.097900", \ "0.037929,0.039827,0.042449,0.047277,0.055894,0.072050,0.104314", \ "0.056499,0.058807,0.061979,0.067736,0.077645,0.094797,0.126139", \ "0.079590,0.082302,0.086067,0.092932,0.104600,0.124070,0.156952"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.078211,0.086141,0.097433,0.119846,0.164287,0.252761,0.428588", \ "0.078208,0.086142,0.097437,0.119769,0.164262,0.252725,0.428579", \ "0.078207,0.086142,0.097432,0.119778,0.164265,0.252717,0.428584", \ "0.078101,0.086080,0.097412,0.119758,0.164232,0.252754,0.428594", \ "0.091284,0.097173,0.106039,0.124549,0.164916,0.252673,0.428586", \ "0.115948,0.122874,0.132355,0.149837,0.182119,0.256453,0.428591", \ "0.142905,0.151129,0.162363,0.182780,0.218635,0.282305,0.431934"); } } timing () { related_pin : "B2"; when : "!A1 & A2 & !B1 & !C1 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.067125,0.070767,0.075832,0.085768,0.105170,0.143028,0.217263", \ "0.071618,0.075259,0.080329,0.090270,0.109678,0.147543,0.221781", \ "0.086866,0.090514,0.095593,0.105552,0.125000,0.162906,0.237187", \ "0.114447,0.118282,0.123573,0.133758,0.153071,0.191065,0.265467", \ "0.147651,0.152418,0.158640,0.170813,0.193556,0.234455,0.309379", \ "0.179897,0.185938,0.193841,0.209251,0.237252,0.285961,0.369356", \ "0.206830,0.214571,0.224749,0.244146,0.279234,0.338735,0.436383"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.131203,0.139986,0.152475,0.177232,0.226360,0.323553,0.517029", \ "0.135995,0.144830,0.157421,0.182217,0.231418,0.328958,0.522530", \ "0.152787,0.161665,0.174327,0.199300,0.248846,0.346643,0.540692", \ "0.182307,0.191141,0.203598,0.228468,0.277854,0.375860,0.570212", \ "0.226054,0.235445,0.248550,0.273149,0.322255,0.420059,0.614422", \ "0.276929,0.287758,0.302816,0.331481,0.384685,0.482978,0.677117", \ "0.334263,0.346738,0.363939,0.396419,0.456407,0.564639,0.760334"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.036445,0.039229,0.043209,0.051170,0.067019,0.098542,0.161443", \ "0.036476,0.039226,0.043212,0.051175,0.067012,0.098553,0.161436", \ "0.036353,0.039173,0.043179,0.051169,0.067006,0.098543,0.161435", \ "0.038899,0.041382,0.045055,0.052321,0.067309,0.098516,0.161438", \ "0.048799,0.051393,0.055091,0.062242,0.076077,0.103111,0.161888", \ "0.064689,0.067545,0.071701,0.079279,0.093344,0.119983,0.171940", \ "0.085275,0.088746,0.093597,0.102375,0.117847,0.145231,0.196276"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.119450,0.128146,0.140724,0.165022,0.212214,0.303517,0.481033", \ "0.119431,0.128147,0.140743,0.165032,0.212167,0.303541,0.481041", \ "0.119406,0.128153,0.140722,0.165022,0.212193,0.303517,0.481037", \ "0.119394,0.128145,0.140712,0.164942,0.212131,0.303504,0.481038", \ "0.126564,0.134153,0.145487,0.167607,0.212438,0.303471,0.481037", \ "0.149391,0.155851,0.165295,0.183668,0.223039,0.306187,0.481031", \ "0.177661,0.184085,0.193417,0.212068,0.248697,0.322503,0.483996"); } } timing () { related_pin : "B2"; when : "!A1 & A2 & !B1 & C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.057451,0.061024,0.066000,0.075797,0.094937,0.132396,0.206146", \ "0.061944,0.065502,0.070491,0.080293,0.099441,0.136911,0.210667", \ "0.077170,0.080754,0.085746,0.095561,0.114754,0.152276,0.226071", \ "0.103566,0.107551,0.112895,0.123386,0.142779,0.180410,0.254349", \ "0.133279,0.138337,0.145023,0.157891,0.181467,0.223167,0.298240", \ "0.160162,0.166832,0.175533,0.192225,0.221999,0.272555,0.357426", \ "0.180799,0.189390,0.200643,0.221851,0.259562,0.322187,0.422559"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.128501,0.137267,0.149751,0.174408,0.223399,0.320548,0.513646", \ "0.133275,0.142105,0.154666,0.179458,0.228517,0.325834,0.519110", \ "0.150028,0.158929,0.171583,0.196558,0.245961,0.343588,0.537307", \ "0.179558,0.188393,0.200851,0.225642,0.274968,0.372841,0.566820", \ "0.223078,0.232523,0.245745,0.270403,0.319395,0.417087,0.611029", \ "0.273410,0.284328,0.299447,0.328281,0.381668,0.479976,0.673727", \ "0.330121,0.342713,0.359999,0.392687,0.452958,0.561383,0.756969"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.031453,0.034191,0.038125,0.045989,0.061698,0.093023,0.155695", \ "0.031437,0.034197,0.038126,0.045989,0.061685,0.093034,0.155696", \ "0.031297,0.034084,0.038049,0.045959,0.061676,0.093039,0.155696", \ "0.035338,0.037824,0.041320,0.048210,0.062576,0.093035,0.155691", \ "0.046172,0.048732,0.052367,0.059319,0.072803,0.099103,0.156571", \ "0.062825,0.065732,0.069896,0.077392,0.091144,0.117131,0.168049", \ "0.083745,0.087313,0.092262,0.101125,0.116498,0.143397,0.193404"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.089336,0.097994,0.110229,0.134611,0.182205,0.274139,0.451982", \ "0.089284,0.097980,0.110199,0.134638,0.182164,0.274117,0.451980", \ "0.089282,0.097980,0.110244,0.134596,0.182186,0.274098,0.451983", \ "0.089319,0.097986,0.110219,0.134490,0.182108,0.274087,0.451977", \ "0.096791,0.104163,0.115122,0.137304,0.182449,0.274067,0.451984", \ "0.116147,0.123526,0.133970,0.154027,0.193315,0.276842,0.451972", \ "0.139729,0.147269,0.158038,0.178809,0.218166,0.293375,0.455014"); } } timing () { related_pin : "B2"; when : "!A1 & A2 & !B1 & C1 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.046557,0.049529,0.053671,0.061788,0.077630,0.108549,0.169323", \ "0.051432,0.054405,0.058553,0.066677,0.082519,0.113448,0.174225", \ "0.067117,0.070080,0.074225,0.082352,0.098227,0.129197,0.190010", \ "0.092773,0.096246,0.100910,0.109988,0.126665,0.157814,0.218789", \ "0.120650,0.125167,0.131182,0.142586,0.163320,0.199643,0.263224", \ "0.145462,0.151605,0.159499,0.174584,0.201324,0.246122,0.320307", \ "0.164143,0.172071,0.182398,0.201724,0.236058,0.292434,0.381533"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.128265,0.137030,0.149503,0.174173,0.223148,0.320323,0.513453", \ "0.132651,0.141494,0.154056,0.178850,0.227891,0.325188,0.518486", \ "0.149556,0.158441,0.171045,0.195981,0.245266,0.342925,0.536601", \ "0.179360,0.188174,0.200634,0.225335,0.274595,0.372336,0.566235", \ "0.222985,0.232423,0.245657,0.270267,0.319232,0.416760,0.610593", \ "0.273409,0.284316,0.299396,0.328247,0.381585,0.479888,0.673485", \ "0.330339,0.342914,0.360161,0.392825,0.453051,0.561404,0.756888"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.025888,0.028165,0.031414,0.037906,0.050858,0.076755,0.128604", \ "0.025880,0.028159,0.031405,0.037906,0.050859,0.076756,0.128598", \ "0.025729,0.028004,0.031281,0.037824,0.050843,0.076761,0.128599", \ "0.030655,0.032727,0.035660,0.041416,0.052750,0.077074,0.128605", \ "0.041239,0.043431,0.046498,0.052390,0.063708,0.085567,0.131064", \ "0.057059,0.059614,0.063204,0.069675,0.081397,0.103295,0.145738", \ "0.077035,0.080168,0.084511,0.092321,0.105566,0.128491,0.170553"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.089329,0.098021,0.110297,0.134611,0.182199,0.274152,0.452007", \ "0.089316,0.097986,0.110243,0.134622,0.182199,0.274109,0.452000", \ "0.089312,0.098004,0.110292,0.134641,0.182173,0.274114,0.452008", \ "0.089340,0.098012,0.110245,0.134502,0.182123,0.274104,0.452006", \ "0.096846,0.104214,0.115157,0.137295,0.182477,0.274074,0.452009", \ "0.116167,0.123570,0.133869,0.154063,0.193356,0.276879,0.452006", \ "0.139687,0.147231,0.157903,0.178775,0.218156,0.293386,0.455042"); } } timing () { related_pin : "B2"; when : "A1 & !A2 & !B1 & !C1 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.057451,0.061024,0.066000,0.075797,0.094937,0.132396,0.206146", \ "0.061944,0.065502,0.070491,0.080293,0.099441,0.136911,0.210667", \ "0.077170,0.080754,0.085746,0.095561,0.114754,0.152276,0.226071", \ "0.103566,0.107551,0.112895,0.123386,0.142779,0.180410,0.254349", \ "0.133279,0.138337,0.145023,0.157891,0.181467,0.223167,0.298240", \ "0.160162,0.166832,0.175533,0.192225,0.221999,0.272555,0.357426", \ "0.180799,0.189390,0.200643,0.221851,0.259562,0.322187,0.422559"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.128501,0.137267,0.149751,0.174408,0.223399,0.320548,0.513646", \ "0.133275,0.142105,0.154666,0.179458,0.228517,0.325834,0.519110", \ "0.150028,0.158929,0.171583,0.196558,0.245961,0.343588,0.537307", \ "0.179558,0.188393,0.200851,0.225642,0.274968,0.372841,0.566820", \ "0.223078,0.232523,0.245745,0.270403,0.319395,0.417087,0.611029", \ "0.273410,0.284328,0.299447,0.328281,0.381668,0.479976,0.673727", \ "0.330121,0.342713,0.359999,0.392687,0.452958,0.561383,0.756969"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.031453,0.034191,0.038125,0.045989,0.061698,0.093023,0.155695", \ "0.031437,0.034197,0.038126,0.045989,0.061685,0.093034,0.155696", \ "0.031297,0.034084,0.038049,0.045959,0.061676,0.093039,0.155696", \ "0.035338,0.037824,0.041320,0.048210,0.062576,0.093035,0.155691", \ "0.046172,0.048732,0.052367,0.059319,0.072803,0.099103,0.156571", \ "0.062825,0.065732,0.069896,0.077392,0.091144,0.117131,0.168049", \ "0.083745,0.087313,0.092262,0.101125,0.116498,0.143397,0.193404"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.089336,0.097994,0.110229,0.134611,0.182205,0.274139,0.451982", \ "0.089284,0.097980,0.110199,0.134638,0.182164,0.274117,0.451980", \ "0.089282,0.097980,0.110244,0.134596,0.182186,0.274098,0.451983", \ "0.089319,0.097986,0.110219,0.134490,0.182108,0.274087,0.451977", \ "0.096791,0.104163,0.115122,0.137304,0.182449,0.274067,0.451984", \ "0.116147,0.123526,0.133970,0.154027,0.193315,0.276842,0.451972", \ "0.139729,0.147269,0.158038,0.178809,0.218166,0.293375,0.455014"); } } timing () { related_pin : "B2"; when : "A1 & !A2 & !B1 & C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.048391,0.051851,0.056695,0.066242,0.085009,0.121968,0.195170", \ "0.052872,0.056330,0.061183,0.070737,0.089510,0.126477,0.199687", \ "0.068044,0.071524,0.076390,0.085978,0.104806,0.141840,0.215088", \ "0.092340,0.096520,0.102071,0.112839,0.132629,0.169917,0.243305", \ "0.117481,0.123102,0.130443,0.144258,0.168957,0.211733,0.287123", \ "0.137896,0.145500,0.155270,0.173709,0.205755,0.258644,0.345311", \ "0.151232,0.161037,0.173749,0.197319,0.238425,0.304823,0.408377"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.125767,0.134532,0.146976,0.171583,0.220439,0.317408,0.510233", \ "0.130524,0.139365,0.151881,0.176602,0.225627,0.322749,0.515704", \ "0.147270,0.156176,0.168779,0.193673,0.242969,0.340542,0.533900", \ "0.176810,0.185654,0.198083,0.222893,0.272134,0.369815,0.563419", \ "0.220084,0.229600,0.242867,0.267662,0.316576,0.414059,0.607617", \ "0.269896,0.280896,0.296059,0.325080,0.378628,0.476994,0.670301", \ "0.325980,0.338691,0.356018,0.388932,0.449447,0.558114,0.753601"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.026140,0.028870,0.032780,0.040588,0.056205,0.087439,0.149972", \ "0.026119,0.028858,0.032766,0.040583,0.056211,0.087430,0.149971", \ "0.026177,0.028873,0.032767,0.040570,0.056192,0.087434,0.149962", \ "0.031791,0.034205,0.037671,0.044372,0.058033,0.087614,0.149920", \ "0.043881,0.046380,0.049940,0.056690,0.069711,0.095366,0.151355", \ "0.061312,0.064268,0.068429,0.075860,0.089304,0.114531,0.164398", \ "0.082770,0.086404,0.091379,0.100300,0.115515,0.141870,0.190713"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.073830,0.081722,0.093007,0.115244,0.159641,0.247940,0.423616", \ "0.073829,0.081723,0.093013,0.115232,0.159629,0.247943,0.423614", \ "0.073828,0.081722,0.093017,0.115213,0.159598,0.247951,0.423625", \ "0.073838,0.081712,0.092961,0.115234,0.159604,0.247980,0.423663", \ "0.081520,0.088215,0.098090,0.118121,0.159962,0.247935,0.423623", \ "0.096689,0.103944,0.114315,0.133826,0.170997,0.250730,0.423605", \ "0.115086,0.122824,0.133923,0.154611,0.193578,0.267388,0.426716"); } } timing () { related_pin : "B2"; when : "A1 & !A2 & !B1 & C1 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.039409,0.042275,0.046293,0.054193,0.069690,0.100159,0.160408", \ "0.044284,0.047154,0.051173,0.059075,0.074578,0.105047,0.165316", \ "0.059897,0.062785,0.066810,0.074734,0.090278,0.120801,0.181100", \ "0.083215,0.086879,0.091782,0.101122,0.118192,0.149369,0.209868", \ "0.106717,0.111757,0.118397,0.130684,0.152551,0.189921,0.254222", \ "0.125468,0.132451,0.141375,0.158068,0.186985,0.234052,0.309973", \ "0.137105,0.146199,0.158003,0.179608,0.217129,0.277092,0.369231"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.125538,0.134316,0.146721,0.171354,0.220214,0.317199,0.510049", \ "0.129910,0.138751,0.151228,0.175976,0.225012,0.322124,0.515084", \ "0.146809,0.155691,0.168247,0.193122,0.242362,0.339867,0.533203", \ "0.176618,0.185440,0.197820,0.222559,0.271782,0.369316,0.562824", \ "0.219993,0.229502,0.242733,0.267535,0.316419,0.413717,0.607190", \ "0.269892,0.280882,0.296009,0.325012,0.378549,0.476908,0.670071", \ "0.326203,0.338896,0.356209,0.389049,0.449584,0.558141,0.753529"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.021460,0.023725,0.026960,0.033407,0.046314,0.072132,0.123841", \ "0.021431,0.023705,0.026935,0.033401,0.046305,0.072120,0.123847", \ "0.021773,0.023921,0.027020,0.033391,0.046297,0.072131,0.123845", \ "0.027830,0.029853,0.032736,0.038328,0.049331,0.072890,0.123842", \ "0.039507,0.041648,0.044666,0.050400,0.061324,0.082703,0.127107", \ "0.056109,0.058697,0.062288,0.068712,0.080140,0.101365,0.142960", \ "0.076702,0.079887,0.084233,0.092041,0.105150,0.127551,0.168563"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.073837,0.081723,0.092958,0.115258,0.159627,0.247957,0.423639", \ "0.073834,0.081726,0.092958,0.115238,0.159680,0.247960,0.423643", \ "0.073833,0.081727,0.092960,0.115222,0.159610,0.247958,0.423649", \ "0.073841,0.081716,0.092939,0.115180,0.159638,0.247995,0.423639", \ "0.081548,0.088241,0.098054,0.118154,0.159986,0.247884,0.423646", \ "0.096697,0.103954,0.114218,0.133837,0.171016,0.250781,0.423628", \ "0.115023,0.122767,0.133777,0.154595,0.193585,0.267392,0.426748"); } } timing () { related_pin : "B2"; when : "A1 & A2 & !B1 & !C1 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.050648,0.053737,0.058053,0.066523,0.083065,0.115384,0.178938", \ "0.055192,0.058281,0.062603,0.071079,0.087621,0.119950,0.183506", \ "0.071416,0.074509,0.078845,0.087335,0.103917,0.136292,0.199888", \ "0.100085,0.103672,0.108421,0.117708,0.134877,0.167296,0.231019", \ "0.130614,0.135476,0.141927,0.154131,0.176091,0.214047,0.279499", \ "0.156983,0.163586,0.172080,0.188358,0.217319,0.265542,0.343676", \ "0.176770,0.185224,0.196280,0.217083,0.254118,0.315331,0.411414"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.136980,0.145694,0.158125,0.182663,0.231468,0.328555,0.521469", \ "0.141875,0.150676,0.163184,0.187849,0.236858,0.334145,0.527258", \ "0.158521,0.167384,0.179975,0.204803,0.254064,0.351738,0.545386", \ "0.187962,0.196758,0.209167,0.233871,0.283035,0.380829,0.574717", \ "0.232077,0.241336,0.254180,0.278561,0.327480,0.424927,0.618757", \ "0.283839,0.294461,0.309215,0.337582,0.390144,0.487855,0.681417", \ "0.342244,0.354443,0.371280,0.403268,0.462486,0.569794,0.764688"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.027921,0.030261,0.033599,0.040262,0.053543,0.080117,0.133241", \ "0.027922,0.030258,0.033591,0.040260,0.053552,0.080109,0.133236", \ "0.027728,0.030105,0.033483,0.040208,0.053540,0.080108,0.133240", \ "0.033021,0.034991,0.037813,0.043289,0.054910,0.080141,0.133232", \ "0.046025,0.048216,0.051302,0.057076,0.067937,0.088802,0.134845", \ "0.064045,0.066702,0.070493,0.077236,0.089232,0.110725,0.150958", \ "0.086020,0.089293,0.093861,0.102123,0.116302,0.140247,0.181589"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.093994,0.102745,0.115026,0.139424,0.187072,0.279055,0.456942", \ "0.094042,0.102737,0.115041,0.139415,0.187037,0.279055,0.456938", \ "0.093998,0.102718,0.115007,0.139412,0.186985,0.279024,0.456943", \ "0.094010,0.102745,0.114972,0.139369,0.187008,0.279029,0.456942", \ "0.100238,0.107889,0.119062,0.141552,0.187104,0.278986,0.456942", \ "0.119324,0.126772,0.137160,0.157397,0.197190,0.281344,0.456947", \ "0.142729,0.150379,0.161209,0.182113,0.221539,0.297175,0.459635"); } } timing () { related_pin : "B2"; when : "A1 & A2 & !B1 & C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.043089,0.046081,0.050269,0.058517,0.074707,0.106565,0.169604", \ "0.047630,0.050621,0.054814,0.063065,0.079264,0.111130,0.174164", \ "0.063811,0.066809,0.071017,0.079296,0.095543,0.127469,0.190545", \ "0.089935,0.093789,0.098818,0.108557,0.126174,0.158410,0.221640", \ "0.115326,0.120817,0.127927,0.141186,0.164558,0.203868,0.270056", \ "0.135256,0.142729,0.152250,0.170264,0.201543,0.252442,0.332803", \ "0.147768,0.157396,0.169937,0.193029,0.233378,0.298410,0.398109"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.134255,0.142970,0.155333,0.179859,0.228597,0.325378,0.518052", \ "0.139127,0.147934,0.160365,0.185045,0.233962,0.331021,0.523845", \ "0.155772,0.164622,0.177140,0.201983,0.251178,0.348633,0.541970", \ "0.185222,0.194020,0.206381,0.231074,0.280162,0.377701,0.571300", \ "0.229166,0.238461,0.251441,0.275825,0.324634,0.421895,0.615331", \ "0.280418,0.291111,0.305916,0.334360,0.387141,0.484866,0.677995", \ "0.338231,0.350527,0.367429,0.399533,0.459048,0.566537,0.761311"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.023336,0.025673,0.028994,0.035632,0.048884,0.075375,0.128393", \ "0.023326,0.025656,0.028992,0.035615,0.048869,0.075371,0.128369", \ "0.023404,0.025663,0.028954,0.035596,0.048875,0.075357,0.128369", \ "0.030502,0.032437,0.035226,0.040588,0.051418,0.075704,0.128361", \ "0.044413,0.046593,0.049678,0.055389,0.066009,0.086368,0.130778", \ "0.063009,0.065696,0.069499,0.076250,0.088149,0.109233,0.148672", \ "0.085559,0.088842,0.093397,0.101677,0.115744,0.139435,0.180080"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.078209,0.086138,0.097453,0.119761,0.164297,0.252689,0.428554", \ "0.078210,0.086138,0.097447,0.119802,0.164266,0.252739,0.428552", \ "0.078202,0.086137,0.097462,0.119808,0.164248,0.252685,0.428558", \ "0.078213,0.086135,0.097464,0.119756,0.164248,0.252689,0.428564", \ "0.084698,0.091602,0.101683,0.122093,0.164419,0.252712,0.428555", \ "0.100091,0.107335,0.117637,0.137226,0.174631,0.255135,0.428553", \ "0.118540,0.126269,0.137318,0.158028,0.197019,0.271033,0.431340"); } } timing () { related_pin : "B2"; when : "A1 & A2 & !B1 & C1 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.034145,0.036535,0.039881,0.046456,0.059335,0.084617,0.134561", \ "0.039103,0.041493,0.044840,0.051417,0.064300,0.089586,0.139536", \ "0.056015,0.058421,0.061768,0.068348,0.081259,0.106590,0.156576", \ "0.080841,0.084211,0.088661,0.097052,0.112113,0.138567,0.188748", \ "0.104394,0.109315,0.115723,0.127507,0.148177,0.182365,0.238378", \ "0.122656,0.129412,0.138150,0.154421,0.182530,0.227777,0.297869", \ "0.133475,0.142366,0.153930,0.175063,0.211801,0.270387,0.358857"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.134022,0.142742,0.155085,0.179636,0.228363,0.325170,0.517879", \ "0.138506,0.147323,0.159740,0.184416,0.233336,0.330357,0.523230", \ "0.155287,0.164126,0.176618,0.201400,0.250543,0.347952,0.541254", \ "0.185015,0.193792,0.206102,0.230752,0.279784,0.377185,0.570677", \ "0.229070,0.238357,0.251278,0.275690,0.324443,0.421588,0.614895", \ "0.280405,0.291092,0.305874,0.334315,0.387057,0.484774,0.677750", \ "0.338444,0.350725,0.367574,0.399704,0.459144,0.566567,0.761246"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.018357,0.020224,0.022871,0.028151,0.038679,0.059721,0.101875", \ "0.018330,0.020193,0.022857,0.028134,0.038665,0.059714,0.101878", \ "0.018846,0.020552,0.023025,0.028120,0.038648,0.059713,0.101877", \ "0.026608,0.028192,0.030437,0.034769,0.043135,0.061100,0.101875", \ "0.039839,0.041693,0.044299,0.049107,0.057842,0.074257,0.107326", \ "0.057453,0.059773,0.063025,0.068836,0.078890,0.096352,0.128242", \ "0.079041,0.081918,0.085871,0.093039,0.105065,0.125013,0.158525"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.078214,0.086144,0.097446,0.119770,0.164275,0.252698,0.428584", \ "0.078210,0.086143,0.097445,0.119812,0.164273,0.252714,0.428581", \ "0.078207,0.086143,0.097444,0.119832,0.164259,0.252725,0.428582", \ "0.078215,0.086140,0.097421,0.119750,0.164260,0.252722,0.428592", \ "0.084726,0.091629,0.101658,0.122120,0.164439,0.252728,0.428578", \ "0.100104,0.107347,0.117591,0.137240,0.174646,0.255176,0.428578", \ "0.118484,0.126215,0.137206,0.157982,0.197020,0.271042,0.431367"); } } timing () { related_pin : "C1"; when : "!A1 & A2 & !B1 & B2 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.063389,0.066970,0.071976,0.081782,0.100943,0.138427,0.212192", \ "0.069123,0.072706,0.077744,0.087586,0.106806,0.144339,0.218157", \ "0.084121,0.087724,0.092781,0.102677,0.121981,0.159623,0.233549", \ "0.106811,0.110647,0.115830,0.126081,0.145446,0.183151,0.257207", \ "0.132491,0.136920,0.142915,0.154431,0.176224,0.216656,0.291579", \ "0.157836,0.163216,0.170386,0.184167,0.209828,0.255429,0.337144", \ "0.178998,0.185861,0.194889,0.211929,0.242851,0.296265,0.387451"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.146775,0.155895,0.168753,0.194141,0.244189,0.342888,0.538047", \ "0.148888,0.158019,0.170931,0.196426,0.246473,0.345304,0.540571", \ "0.161915,0.171098,0.184119,0.209698,0.260047,0.359153,0.554671", \ "0.193004,0.202055,0.214693,0.240012,0.290113,0.389130,0.584394", \ "0.246202,0.254754,0.267023,0.291585,0.340806,0.438601,0.632964", \ "0.312977,0.323694,0.338384,0.365976,0.416051,0.511726,0.704040", \ "0.384153,0.396922,0.414456,0.447454,0.507401,0.611528,0.800845"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.031436,0.034189,0.038117,0.045974,0.061674,0.093034,0.155700", \ "0.031436,0.034189,0.038121,0.045984,0.061679,0.093025,0.155710", \ "0.031356,0.034120,0.038076,0.045963,0.061687,0.093023,0.155712", \ "0.033573,0.036197,0.039831,0.047113,0.062060,0.092969,0.155689", \ "0.039612,0.042345,0.046264,0.053929,0.068708,0.097356,0.156494", \ "0.050168,0.052909,0.056959,0.064707,0.079816,0.108783,0.165349", \ "0.066553,0.069508,0.073782,0.081739,0.096595,0.125406,0.181761"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.138596,0.147046,0.159066,0.182852,0.229505,0.320644,0.498491", \ "0.138618,0.147042,0.159078,0.182842,0.229533,0.320643,0.498503", \ "0.138662,0.147043,0.159068,0.182850,0.229489,0.320641,0.498496", \ "0.138626,0.146998,0.159070,0.182813,0.229469,0.320682,0.498502", \ "0.144021,0.151333,0.162075,0.183909,0.229377,0.320602,0.498497", \ "0.171738,0.176951,0.185106,0.202705,0.240194,0.321847,0.498488", \ "0.212162,0.218197,0.226648,0.243277,0.274322,0.342417,0.499936"); } } timing () { related_pin : "C1"; when : "!A1 & A2 & B1 & !B2 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.054295,0.057764,0.062623,0.072188,0.090972,0.127945,0.201142", \ "0.059947,0.063450,0.068344,0.077965,0.096814,0.133856,0.207104", \ "0.074809,0.078341,0.083266,0.092962,0.111932,0.149111,0.222475", \ "0.096340,0.100237,0.105492,0.115804,0.135312,0.172605,0.246134", \ "0.119610,0.124253,0.130467,0.142310,0.164560,0.205434,0.280454", \ "0.140923,0.146855,0.154624,0.169276,0.195984,0.242703,0.325257", \ "0.156113,0.163900,0.173933,0.192692,0.225844,0.281396,0.374269"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.143877,0.152969,0.165834,0.191198,0.241176,0.339752,0.534580", \ "0.145952,0.155100,0.168002,0.193420,0.243508,0.342208,0.537055", \ "0.158972,0.168174,0.181160,0.206766,0.257043,0.356018,0.551194", \ "0.190099,0.199161,0.211760,0.237097,0.287124,0.385895,0.580874", \ "0.243396,0.251989,0.264217,0.288740,0.337902,0.435506,0.629505", \ "0.309291,0.320133,0.334891,0.362651,0.413166,0.508651,0.700604", \ "0.379598,0.392501,0.410166,0.443373,0.503724,0.608352,0.797414"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.026099,0.028837,0.032747,0.040562,0.056190,0.087413,0.149931", \ "0.026111,0.028842,0.032748,0.040571,0.056178,0.087430,0.149942", \ "0.026000,0.028758,0.032689,0.040528,0.056183,0.087423,0.149915", \ "0.029039,0.031663,0.035384,0.042601,0.057104,0.087388,0.149900", \ "0.035609,0.038312,0.042174,0.049724,0.064356,0.092895,0.151097", \ "0.047368,0.050108,0.054042,0.061569,0.076150,0.104699,0.160880", \ "0.065040,0.068034,0.072309,0.080076,0.094363,0.122171,0.177663"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.105804,0.114489,0.126755,0.151060,0.198609,0.290680,0.469133", \ "0.105815,0.114434,0.126770,0.151021,0.198621,0.290700,0.469140", \ "0.105770,0.114419,0.126701,0.151043,0.198584,0.290686,0.469130", \ "0.105769,0.114450,0.126660,0.150972,0.198552,0.290717,0.469142", \ "0.111422,0.118991,0.129899,0.152148,0.198443,0.290638,0.469138", \ "0.138416,0.145161,0.154327,0.171614,0.209657,0.291968,0.469137", \ "0.172262,0.179837,0.190260,0.209673,0.244719,0.312876,0.470653"); } } timing () { related_pin : "C1"; when : "!A1 & A2 & B1 & B2 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.046318,0.049199,0.053234,0.061164,0.076705,0.107245,0.167630", \ "0.052001,0.054911,0.058973,0.066945,0.082545,0.113134,0.173549", \ "0.068190,0.071108,0.075185,0.083209,0.098896,0.129600,0.190122", \ "0.091649,0.095026,0.099563,0.108352,0.124949,0.155876,0.216543", \ "0.116493,0.120745,0.126417,0.137043,0.156761,0.192138,0.255563", \ "0.138379,0.144069,0.151542,0.165355,0.190149,0.232384,0.304496", \ "0.153393,0.160981,0.170855,0.188999,0.220946,0.273205,0.357303"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.150092,0.159241,0.172109,0.197565,0.247633,0.346269,0.541258", \ "0.152226,0.161420,0.174365,0.199895,0.250041,0.348817,0.543885", \ "0.165144,0.174354,0.187351,0.213016,0.263499,0.362619,0.557947", \ "0.196227,0.205304,0.217961,0.243358,0.293464,0.392272,0.587522", \ "0.249275,0.257945,0.270306,0.294946,0.344243,0.441969,0.636125", \ "0.316981,0.327638,0.342169,0.369539,0.419388,0.515157,0.707272", \ "0.389023,0.401720,0.419051,0.451854,0.511398,0.615071,0.804186"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.021661,0.023941,0.027197,0.033683,0.046649,0.072534,0.124345", \ "0.021666,0.023951,0.027201,0.033688,0.046646,0.072529,0.124345", \ "0.021563,0.023851,0.027115,0.033632,0.046625,0.072532,0.124335", \ "0.025321,0.027484,0.030556,0.036579,0.048254,0.072788,0.124319", \ "0.033048,0.035297,0.038486,0.044744,0.056723,0.080062,0.126725", \ "0.046187,0.048601,0.051993,0.058416,0.070408,0.093583,0.139176", \ "0.064595,0.067408,0.071305,0.078472,0.090906,0.113709,0.158381"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.108707,0.117358,0.129598,0.154023,0.201506,0.293548,0.472019", \ "0.108690,0.117351,0.129602,0.154003,0.201440,0.293542,0.472020", \ "0.108715,0.117398,0.129574,0.153955,0.201528,0.293577,0.472021", \ "0.108743,0.117396,0.129530,0.153903,0.201488,0.293549,0.472025", \ "0.113206,0.120908,0.131871,0.154761,0.201399,0.293477,0.472013", \ "0.139017,0.145714,0.154623,0.172516,0.211181,0.294449,0.472009", \ "0.172536,0.180147,0.190519,0.210027,0.244947,0.314104,0.473189"); } } timing () { related_pin : "C1"; when : "A1 & !A2 & !B1 & B2 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.054295,0.057764,0.062623,0.072188,0.090972,0.127945,0.201142", \ "0.059947,0.063450,0.068344,0.077965,0.096814,0.133856,0.207104", \ "0.074809,0.078341,0.083266,0.092962,0.111932,0.149111,0.222475", \ "0.096340,0.100237,0.105492,0.115804,0.135312,0.172605,0.246134", \ "0.119610,0.124253,0.130467,0.142310,0.164560,0.205434,0.280454", \ "0.140923,0.146855,0.154624,0.169276,0.195984,0.242703,0.325257", \ "0.156113,0.163900,0.173933,0.192692,0.225844,0.281396,0.374269"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.143877,0.152969,0.165834,0.191198,0.241176,0.339752,0.534580", \ "0.145952,0.155100,0.168002,0.193420,0.243508,0.342208,0.537055", \ "0.158972,0.168174,0.181160,0.206766,0.257043,0.356018,0.551194", \ "0.190099,0.199161,0.211760,0.237097,0.287124,0.385895,0.580874", \ "0.243396,0.251989,0.264217,0.288740,0.337902,0.435506,0.629505", \ "0.309291,0.320133,0.334891,0.362651,0.413166,0.508651,0.700604", \ "0.379598,0.392501,0.410166,0.443373,0.503724,0.608352,0.797414"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.026099,0.028837,0.032747,0.040562,0.056190,0.087413,0.149931", \ "0.026111,0.028842,0.032748,0.040571,0.056178,0.087430,0.149942", \ "0.026000,0.028758,0.032689,0.040528,0.056183,0.087423,0.149915", \ "0.029039,0.031663,0.035384,0.042601,0.057104,0.087388,0.149900", \ "0.035609,0.038312,0.042174,0.049724,0.064356,0.092895,0.151097", \ "0.047368,0.050108,0.054042,0.061569,0.076150,0.104699,0.160880", \ "0.065040,0.068034,0.072309,0.080076,0.094363,0.122171,0.177663"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.105804,0.114489,0.126755,0.151060,0.198609,0.290680,0.469133", \ "0.105815,0.114434,0.126770,0.151021,0.198621,0.290700,0.469140", \ "0.105770,0.114419,0.126701,0.151043,0.198584,0.290686,0.469130", \ "0.105769,0.114450,0.126660,0.150972,0.198552,0.290717,0.469142", \ "0.111422,0.118991,0.129899,0.152148,0.198443,0.290638,0.469138", \ "0.138416,0.145161,0.154327,0.171614,0.209657,0.291968,0.469137", \ "0.172262,0.179837,0.190260,0.209673,0.244719,0.312876,0.470653"); } } timing () { related_pin : "C1"; when : "A1 & !A2 & B1 & !B2 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.046326,0.049544,0.054116,0.063238,0.081421,0.117708,0.190211", \ "0.051871,0.055148,0.059775,0.068969,0.087241,0.123607,0.196162", \ "0.066386,0.069755,0.074485,0.083815,0.102268,0.138829,0.211538", \ "0.086110,0.090021,0.095312,0.105681,0.125317,0.162255,0.235154", \ "0.106191,0.111157,0.117626,0.129902,0.152788,0.194193,0.269438", \ "0.122060,0.128737,0.137475,0.153440,0.181626,0.229783,0.313345", \ "0.129608,0.138693,0.150368,0.171437,0.207688,0.266042,0.360965"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.140949,0.150072,0.162907,0.188258,0.238211,0.336614,0.531086", \ "0.143020,0.152179,0.165063,0.190491,0.240526,0.339037,0.533587", \ "0.156046,0.165260,0.178180,0.203791,0.254074,0.352864,0.547688", \ "0.187196,0.196281,0.208830,0.234193,0.284171,0.382669,0.577384", \ "0.240495,0.249251,0.261402,0.285917,0.334988,0.432393,0.625986", \ "0.305603,0.316564,0.331439,0.359398,0.410274,0.505608,0.697095", \ "0.375019,0.388083,0.405844,0.439305,0.500056,0.605155,0.793991"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.020307,0.023028,0.026956,0.034791,0.050439,0.081692,0.144083", \ "0.020305,0.023025,0.026948,0.034791,0.050450,0.081678,0.144077", \ "0.020371,0.023073,0.026965,0.034801,0.050436,0.081677,0.144074", \ "0.024188,0.026801,0.030544,0.037902,0.052160,0.081905,0.144075", \ "0.031691,0.034296,0.038071,0.045506,0.060011,0.088435,0.145798", \ "0.044937,0.047586,0.051423,0.058773,0.072804,0.100751,0.156441", \ "0.064003,0.067042,0.071260,0.078938,0.092698,0.119272,0.173704"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.087729,0.095775,0.107253,0.129828,0.174651,0.263643,0.440350", \ "0.087727,0.095775,0.107255,0.129834,0.174658,0.263642,0.440357", \ "0.087730,0.095773,0.107239,0.129802,0.174649,0.263645,0.440322", \ "0.087730,0.095779,0.107214,0.129801,0.174642,0.263614,0.440335", \ "0.093872,0.100699,0.110649,0.131082,0.174471,0.263589,0.440325", \ "0.117324,0.124279,0.133783,0.150964,0.186025,0.265008,0.440317", \ "0.143990,0.152213,0.163483,0.183866,0.219937,0.286240,0.441941"); } } timing () { related_pin : "C1"; when : "A1 & !A2 & B1 & B2 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.040143,0.042808,0.046585,0.054108,0.069101,0.099019,0.158775", \ "0.045752,0.048450,0.052271,0.059856,0.074920,0.104897,0.164700", \ "0.061593,0.064383,0.068294,0.075993,0.091204,0.121329,0.181260", \ "0.082973,0.086426,0.091032,0.099962,0.116681,0.147547,0.207644", \ "0.104137,0.108795,0.114884,0.126070,0.146566,0.182658,0.246595", \ "0.120124,0.126658,0.135129,0.150378,0.177007,0.221027,0.294335", \ "0.127426,0.136329,0.147856,0.168357,0.203454,0.259069,0.345569"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.147168,0.156303,0.169153,0.194587,0.244612,0.343126,0.537763", \ "0.149287,0.158503,0.171399,0.196900,0.247046,0.345691,0.540377", \ "0.162180,0.171410,0.184372,0.210069,0.260440,0.359392,0.554463", \ "0.193293,0.202382,0.215023,0.240399,0.290457,0.389138,0.584017", \ "0.246533,0.255160,0.267473,0.292081,0.341279,0.438846,0.632614", \ "0.313362,0.324129,0.338761,0.366341,0.416491,0.512110,0.703764", \ "0.384521,0.397359,0.414852,0.447822,0.507755,0.611899,0.800760"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.016836,0.019096,0.022347,0.028849,0.041834,0.067750,0.119504", \ "0.016843,0.019097,0.022349,0.028846,0.041832,0.067749,0.119507", \ "0.017030,0.019231,0.022423,0.028876,0.041842,0.067746,0.119518", \ "0.021506,0.023644,0.026716,0.032748,0.044420,0.068418,0.119502", \ "0.030135,0.032334,0.035483,0.041631,0.053451,0.076586,0.122616", \ "0.044322,0.046771,0.050210,0.056603,0.068254,0.090788,0.135823", \ "0.063929,0.066799,0.070745,0.077896,0.090143,0.112083,0.155628"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.090331,0.098387,0.109864,0.132519,0.177359,0.266380,0.443193", \ "0.090335,0.098393,0.109859,0.132486,0.177331,0.266420,0.443162", \ "0.090324,0.098387,0.109865,0.132512,0.177346,0.266407,0.443194", \ "0.090330,0.098398,0.109836,0.132430,0.177331,0.266406,0.443164", \ "0.095217,0.102225,0.112397,0.133385,0.177216,0.266332,0.443162", \ "0.118082,0.125012,0.134457,0.151614,0.187352,0.267396,0.443153", \ "0.144698,0.152868,0.164025,0.184422,0.220375,0.287351,0.444432"); } } timing () { related_pin : "C1"; when : "A1 & A2 & !B1 & B2 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.049071,0.052067,0.056271,0.064533,0.080746,0.112619,0.175661", \ "0.054715,0.057743,0.061975,0.070287,0.086554,0.118483,0.181567", \ "0.069859,0.072894,0.077151,0.085518,0.101885,0.133937,0.197133", \ "0.092243,0.095710,0.100399,0.109503,0.126701,0.158910,0.222254", \ "0.116551,0.120845,0.126595,0.137448,0.157668,0.194134,0.259868", \ "0.138415,0.144073,0.151597,0.165516,0.190565,0.233642,0.307794", \ "0.153564,0.161126,0.171010,0.189297,0.221337,0.274090,0.359783"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.154345,0.163320,0.176059,0.201201,0.250907,0.349033,0.543455", \ "0.156434,0.165478,0.178234,0.203501,0.253262,0.351527,0.546036", \ "0.169525,0.178594,0.191438,0.216815,0.266815,0.365395,0.560243", \ "0.200621,0.209575,0.222076,0.247197,0.296902,0.395220,0.589885", \ "0.253424,0.262097,0.274367,0.298824,0.347708,0.444927,0.638526", \ "0.321771,0.332198,0.346485,0.373452,0.422698,0.518134,0.709688", \ "0.394390,0.406856,0.423920,0.456174,0.515097,0.617949,0.806624"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.023302,0.025638,0.028969,0.035607,0.048854,0.075358,0.128358", \ "0.023312,0.025643,0.028969,0.035608,0.048864,0.075352,0.128359", \ "0.023183,0.025540,0.028881,0.035564,0.048853,0.075358,0.128361", \ "0.026826,0.029042,0.032173,0.038311,0.050289,0.075527,0.128345", \ "0.034450,0.036724,0.039963,0.046328,0.058596,0.082468,0.130449", \ "0.047644,0.050016,0.053356,0.059748,0.071920,0.095695,0.142415", \ "0.066581,0.069252,0.072997,0.079848,0.092152,0.115328,0.161169"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.110405,0.119190,0.131546,0.156043,0.203930,0.296224,0.474941", \ "0.110400,0.119155,0.131540,0.156098,0.203933,0.296238,0.474943", \ "0.110404,0.119153,0.131495,0.156105,0.203860,0.296257,0.474964", \ "0.110389,0.119173,0.131504,0.156059,0.203847,0.296236,0.474941", \ "0.114916,0.122759,0.133918,0.156958,0.203818,0.296198,0.474941", \ "0.140781,0.147509,0.156323,0.174558,0.213553,0.297171,0.474938", \ "0.174948,0.182544,0.192928,0.212415,0.247189,0.316738,0.476116"); } } timing () { related_pin : "C1"; when : "A1 & A2 & B1 & !B2 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.042517,0.045291,0.049227,0.057075,0.072725,0.103958,0.166349", \ "0.048081,0.050897,0.054879,0.062793,0.078519,0.109811,0.172256", \ "0.062890,0.065792,0.069867,0.077892,0.093781,0.125237,0.187816", \ "0.083291,0.086808,0.091549,0.100753,0.118053,0.150164,0.212912", \ "0.104091,0.108755,0.114875,0.126232,0.147152,0.184270,0.250458", \ "0.120180,0.126654,0.135111,0.150432,0.177226,0.221946,0.297265", \ "0.127732,0.136563,0.147998,0.168611,0.203824,0.259748,0.347734"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.151450,0.160458,0.173157,0.198306,0.247924,0.345899,0.539943", \ "0.153541,0.162591,0.175314,0.200539,0.250290,0.348404,0.542549", \ "0.166641,0.175718,0.188493,0.213881,0.263819,0.362259,0.556708", \ "0.197764,0.206726,0.219148,0.244291,0.293935,0.392043,0.586381", \ "0.250682,0.259304,0.271566,0.295957,0.344755,0.441826,0.635027", \ "0.318235,0.328771,0.343155,0.370285,0.419825,0.515061,0.706210", \ "0.389997,0.402571,0.419755,0.452228,0.511479,0.614796,0.803180"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.018271,0.020614,0.023958,0.030638,0.043930,0.070467,0.123396", \ "0.018268,0.020612,0.023957,0.030634,0.043951,0.070463,0.123401", \ "0.018384,0.020685,0.023992,0.030633,0.043934,0.070456,0.123399", \ "0.022755,0.024977,0.028151,0.034333,0.046275,0.070992,0.123388", \ "0.031295,0.033549,0.036761,0.043019,0.055139,0.078856,0.126162", \ "0.045788,0.048177,0.051476,0.057847,0.069614,0.092725,0.138913", \ "0.066131,0.068829,0.072539,0.079345,0.091281,0.113510,0.158234"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.092212,0.100342,0.111911,0.134643,0.179773,0.269061,0.446113", \ "0.092211,0.100355,0.111910,0.134669,0.179804,0.269103,0.446139", \ "0.092219,0.100351,0.111909,0.134711,0.179743,0.269127,0.446119", \ "0.092229,0.100347,0.111898,0.134634,0.179746,0.269082,0.446141", \ "0.097132,0.104213,0.114475,0.135701,0.179700,0.269082,0.446140", \ "0.120318,0.127166,0.136592,0.153689,0.189720,0.270095,0.446145", \ "0.147707,0.155772,0.166839,0.187038,0.222806,0.289972,0.447387"); } } timing () { related_pin : "C1"; when : "A1 & A2 & B1 & B2 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.036113,0.038329,0.041467,0.047719,0.060178,0.085027,0.134662", \ "0.041728,0.043972,0.047144,0.053448,0.065965,0.090867,0.140539", \ "0.057972,0.060305,0.063561,0.069957,0.082600,0.107629,0.157411", \ "0.080096,0.083191,0.087310,0.095180,0.109714,0.136026,0.185973", \ "0.101699,0.106112,0.111905,0.122399,0.141273,0.173533,0.228873", \ "0.117567,0.123910,0.132146,0.146927,0.172500,0.213814,0.279900", \ "0.124573,0.133237,0.144514,0.164558,0.198940,0.252714,0.333834"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.158279,0.167279,0.179969,0.205154,0.254793,0.352843,0.546944", \ "0.160440,0.169514,0.182267,0.207529,0.257310,0.355507,0.549750", \ "0.173359,0.182457,0.195279,0.220694,0.270706,0.369211,0.563812", \ "0.204443,0.213417,0.225917,0.251020,0.300731,0.398919,0.593375", \ "0.257204,0.265922,0.278233,0.302663,0.351576,0.448680,0.641998", \ "0.326420,0.336759,0.350892,0.377554,0.426576,0.521989,0.713225", \ "0.399959,0.412297,0.429176,0.461099,0.519630,0.621873,0.810314"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.014602,0.016473,0.019156,0.024500,0.035149,0.056372,0.098697", \ "0.014607,0.016475,0.019159,0.024495,0.035146,0.056360,0.098691", \ "0.014968,0.016720,0.019284,0.024528,0.035145,0.056366,0.098691", \ "0.020164,0.021943,0.024465,0.029361,0.038786,0.057627,0.098690", \ "0.030050,0.031934,0.034600,0.039716,0.049261,0.067666,0.103695", \ "0.045424,0.047611,0.050630,0.056232,0.066025,0.084167,0.119641", \ "0.066332,0.068859,0.072311,0.078661,0.089410,0.107956,0.142571"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.094962,0.103114,0.114672,0.137499,0.182664,0.272056,0.449233", \ "0.094966,0.103112,0.114706,0.137502,0.182676,0.272077,0.449246", \ "0.094965,0.103111,0.114667,0.137484,0.182668,0.272081,0.449231", \ "0.094962,0.103115,0.114659,0.137463,0.182630,0.272079,0.449251", \ "0.098803,0.106057,0.116532,0.138177,0.182617,0.272029,0.449233", \ "0.121226,0.128057,0.137349,0.154614,0.191390,0.272784,0.449228", \ "0.148627,0.156632,0.167582,0.187727,0.223477,0.291393,0.450186"); } } timing () { related_pin : "C2"; when : "!A1 & A2 & !B1 & B2 & !C1"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.072621,0.076274,0.081334,0.091281,0.110684,0.148537,0.222772", \ "0.077643,0.081302,0.086371,0.096311,0.115727,0.153586,0.227826", \ "0.092399,0.096049,0.101132,0.111102,0.130535,0.168428,0.242713", \ "0.115687,0.119453,0.124572,0.134681,0.154124,0.192023,0.266374", \ "0.143314,0.147602,0.153470,0.164690,0.186049,0.226035,0.300857", \ "0.171709,0.176819,0.183564,0.196709,0.221569,0.266287,0.347128", \ "0.197384,0.203697,0.211984,0.227868,0.257207,0.309022,0.398695"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.160232,0.169321,0.182240,0.207544,0.257595,0.356320,0.551447", \ "0.164528,0.173631,0.186565,0.211904,0.261939,0.360724,0.555869", \ "0.182121,0.191261,0.204204,0.229677,0.279800,0.378715,0.574078", \ "0.212088,0.221217,0.234041,0.259481,0.309672,0.408626,0.604075", \ "0.257104,0.266188,0.279073,0.304326,0.354389,0.453261,0.648749", \ "0.313567,0.323919,0.338388,0.366114,0.417834,0.516452,0.711796", \ "0.377001,0.388726,0.405007,0.436098,0.493931,0.599614,0.795078"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.036456,0.039231,0.043218,0.051182,0.067016,0.098548,0.161433", \ "0.036453,0.039225,0.043218,0.051172,0.067017,0.098557,0.161433", \ "0.036409,0.039202,0.043201,0.051168,0.067020,0.098549,0.161441", \ "0.038027,0.040663,0.044442,0.051993,0.067297,0.098534,0.161444", \ "0.043908,0.046671,0.050645,0.058357,0.073238,0.102265,0.162077", \ "0.053548,0.056410,0.060558,0.068474,0.083900,0.113256,0.170101", \ "0.068868,0.071870,0.076203,0.084356,0.099663,0.129253,0.186214"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.138672,0.147038,0.159105,0.182860,0.229509,0.320667,0.498494", \ "0.138628,0.146990,0.159077,0.182845,0.229519,0.320666,0.498495", \ "0.138624,0.146990,0.159071,0.182839,0.229516,0.320645,0.498503", \ "0.138610,0.147047,0.159063,0.182832,0.229483,0.320643,0.498493", \ "0.141313,0.149199,0.160513,0.183336,0.229430,0.320616,0.498498", \ "0.158386,0.164956,0.174432,0.194548,0.235947,0.321606,0.498489", \ "0.184357,0.191095,0.200752,0.220019,0.257280,0.334395,0.499968"); } } timing () { related_pin : "C2"; when : "!A1 & A2 & B1 & !B2 & !C1"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.062945,0.066504,0.071502,0.081283,0.100423,0.137874,0.211610", \ "0.067970,0.071529,0.076530,0.086320,0.105464,0.142923,0.216659", \ "0.082686,0.086264,0.091268,0.101084,0.120268,0.157767,0.231548", \ "0.105236,0.109057,0.114224,0.124413,0.143819,0.181361,0.255219", \ "0.130927,0.135373,0.141350,0.152858,0.174560,0.214815,0.289684", \ "0.156123,0.161542,0.168709,0.182519,0.208127,0.253727,0.335306", \ "0.176851,0.183820,0.192891,0.210035,0.241084,0.294549,0.385657"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.157313,0.166408,0.179255,0.204610,0.254580,0.353250,0.547992", \ "0.161610,0.170731,0.183616,0.208973,0.258999,0.357669,0.552399", \ "0.179195,0.188352,0.201260,0.226696,0.276851,0.375617,0.570553", \ "0.209148,0.218292,0.231090,0.256480,0.306654,0.405550,0.600600", \ "0.254217,0.263312,0.276245,0.301443,0.351437,0.450130,0.645266", \ "0.310133,0.320559,0.335030,0.362899,0.414842,0.513351,0.708331", \ "0.373034,0.384851,0.401174,0.432409,0.490512,0.596354,0.791620"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.031422,0.034172,0.038112,0.045966,0.061663,0.093003,0.155665", \ "0.031414,0.034174,0.038109,0.045965,0.061656,0.093005,0.155657", \ "0.031368,0.034133,0.038072,0.045958,0.061666,0.093016,0.155660", \ "0.033786,0.036404,0.040114,0.047432,0.062353,0.093039,0.155664", \ "0.039909,0.042643,0.046573,0.054214,0.068957,0.097632,0.156648", \ "0.050521,0.053302,0.057346,0.065102,0.080146,0.109063,0.165550", \ "0.066943,0.069919,0.074200,0.082182,0.097016,0.125781,0.182033"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.105803,0.114435,0.126746,0.151040,0.198585,0.290760,0.469143", \ "0.105765,0.114484,0.126651,0.151048,0.198639,0.290762,0.469130", \ "0.105766,0.114483,0.126773,0.151032,0.198625,0.290704,0.469139", \ "0.105807,0.114417,0.126642,0.150983,0.198570,0.290714,0.469134", \ "0.108581,0.116662,0.128243,0.151577,0.198498,0.290644,0.469131", \ "0.124963,0.132443,0.143019,0.163255,0.205245,0.291674,0.469130", \ "0.146817,0.154658,0.165565,0.186867,0.226800,0.304658,0.470656"); } } timing () { related_pin : "C2"; when : "!A1 & A2 & B1 & B2 & !C1"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.053153,0.056116,0.060270,0.068405,0.084270,0.115242,0.176113", \ "0.058310,0.061286,0.065439,0.073580,0.089449,0.120429,0.181303", \ "0.074353,0.077331,0.081486,0.089637,0.105535,0.136551,0.197465", \ "0.099063,0.102338,0.106750,0.115429,0.131806,0.162893,0.223884", \ "0.126624,0.130631,0.135997,0.146223,0.165361,0.200014,0.262979", \ "0.152859,0.158023,0.164805,0.177637,0.201059,0.242045,0.312985", \ "0.173582,0.180351,0.189207,0.205746,0.235410,0.285149,0.367268"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.163533,0.172663,0.185545,0.210969,0.261047,0.359677,0.554646", \ "0.167966,0.177107,0.190013,0.215480,0.265540,0.364263,0.559244", \ "0.185410,0.194598,0.207548,0.233097,0.283292,0.382215,0.577343", \ "0.215250,0.224429,0.237292,0.262778,0.313067,0.411947,0.607288", \ "0.260337,0.269435,0.282393,0.307651,0.357723,0.456596,0.651893", \ "0.317296,0.327623,0.341936,0.369568,0.421195,0.519741,0.714900", \ "0.381391,0.393066,0.409200,0.440070,0.497752,0.603064,0.798234"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.026152,0.028442,0.031708,0.038231,0.051218,0.077175,0.129089", \ "0.026147,0.028434,0.031705,0.038228,0.051218,0.077179,0.129097", \ "0.026057,0.028360,0.031640,0.038191,0.051211,0.077182,0.129111", \ "0.029174,0.031346,0.034423,0.040430,0.052419,0.077355,0.129097", \ "0.036364,0.038650,0.041903,0.048228,0.060349,0.083779,0.131121", \ "0.048557,0.050968,0.054400,0.060907,0.073247,0.096894,0.142837", \ "0.066062,0.068857,0.072735,0.079906,0.092612,0.116138,0.161644"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.108698,0.117401,0.129598,0.153996,0.201485,0.293547,0.472032", \ "0.108710,0.117399,0.129571,0.153992,0.201529,0.293572,0.472014", \ "0.108699,0.117399,0.129621,0.153993,0.201441,0.293554,0.472021", \ "0.108703,0.117401,0.129546,0.153956,0.201513,0.293541,0.472031", \ "0.110924,0.119106,0.130691,0.154182,0.201380,0.293518,0.472015", \ "0.126610,0.134042,0.144578,0.164978,0.207399,0.294293,0.472016", \ "0.148184,0.156012,0.166919,0.188274,0.228336,0.306622,0.473319"); } } timing () { related_pin : "C2"; when : "A1 & !A2 & !B1 & B2 & !C1"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.062945,0.066504,0.071502,0.081283,0.100423,0.137874,0.211610", \ "0.067970,0.071529,0.076530,0.086320,0.105464,0.142923,0.216659", \ "0.082686,0.086264,0.091268,0.101084,0.120268,0.157767,0.231548", \ "0.105236,0.109057,0.114224,0.124413,0.143819,0.181361,0.255219", \ "0.130927,0.135373,0.141350,0.152858,0.174560,0.214815,0.289684", \ "0.156123,0.161542,0.168709,0.182519,0.208127,0.253727,0.335306", \ "0.176851,0.183820,0.192891,0.210035,0.241084,0.294549,0.385657"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.157313,0.166408,0.179255,0.204610,0.254580,0.353250,0.547992", \ "0.161610,0.170731,0.183616,0.208973,0.258999,0.357669,0.552399", \ "0.179195,0.188352,0.201260,0.226696,0.276851,0.375617,0.570553", \ "0.209148,0.218292,0.231090,0.256480,0.306654,0.405550,0.600600", \ "0.254217,0.263312,0.276245,0.301443,0.351437,0.450130,0.645266", \ "0.310133,0.320559,0.335030,0.362899,0.414842,0.513351,0.708331", \ "0.373034,0.384851,0.401174,0.432409,0.490512,0.596354,0.791620"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.031422,0.034172,0.038112,0.045966,0.061663,0.093003,0.155665", \ "0.031414,0.034174,0.038109,0.045965,0.061656,0.093005,0.155657", \ "0.031368,0.034133,0.038072,0.045958,0.061666,0.093016,0.155660", \ "0.033786,0.036404,0.040114,0.047432,0.062353,0.093039,0.155664", \ "0.039909,0.042643,0.046573,0.054214,0.068957,0.097632,0.156648", \ "0.050521,0.053302,0.057346,0.065102,0.080146,0.109063,0.165550", \ "0.066943,0.069919,0.074200,0.082182,0.097016,0.125781,0.182033"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.105803,0.114435,0.126746,0.151040,0.198585,0.290760,0.469143", \ "0.105765,0.114484,0.126651,0.151048,0.198639,0.290762,0.469130", \ "0.105766,0.114483,0.126773,0.151032,0.198625,0.290704,0.469139", \ "0.105807,0.114417,0.126642,0.150983,0.198570,0.290714,0.469134", \ "0.108581,0.116662,0.128243,0.151577,0.198498,0.290644,0.469131", \ "0.124963,0.132443,0.143019,0.163255,0.205245,0.291674,0.469130", \ "0.146817,0.154658,0.165565,0.186867,0.226800,0.304658,0.470656"); } } timing () { related_pin : "C2"; when : "A1 & !A2 & B1 & !B2 & !C1"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.053873,0.057325,0.062172,0.071718,0.090477,0.127426,0.200621", \ "0.058890,0.062346,0.067199,0.076751,0.095519,0.132479,0.205667", \ "0.073551,0.077026,0.081898,0.091486,0.110306,0.147318,0.220550", \ "0.094912,0.098767,0.103999,0.114248,0.133711,0.170883,0.244189", \ "0.118144,0.122791,0.128972,0.140811,0.162970,0.203657,0.278638", \ "0.139212,0.145150,0.152943,0.167664,0.194330,0.241044,0.323448", \ "0.153811,0.161683,0.171840,0.190781,0.224088,0.279694,0.372522"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.154400,0.163513,0.176302,0.201693,0.251624,0.349997,0.544468", \ "0.158693,0.167825,0.180656,0.206050,0.255994,0.354405,0.548896", \ "0.176269,0.185437,0.198302,0.223773,0.273849,0.372439,0.567079", \ "0.206216,0.215380,0.228120,0.253571,0.303697,0.402328,0.597082", \ "0.251325,0.260453,0.273360,0.298546,0.348453,0.447017,0.641775", \ "0.306722,0.317218,0.331711,0.359620,0.411838,0.510266,0.704808", \ "0.369078,0.380992,0.397399,0.428716,0.487047,0.593101,0.788170"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.026111,0.028852,0.032766,0.040575,0.056189,0.087407,0.149941", \ "0.026101,0.028842,0.032749,0.040577,0.056183,0.087418,0.149939", \ "0.026114,0.028843,0.032754,0.040565,0.056193,0.087415,0.149924", \ "0.029294,0.031910,0.035624,0.042900,0.057439,0.087577,0.149875", \ "0.035972,0.038662,0.042523,0.050051,0.064644,0.093158,0.151327", \ "0.047807,0.050549,0.054493,0.062007,0.076541,0.105022,0.161097", \ "0.065454,0.068477,0.072715,0.080532,0.094851,0.122600,0.177981"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.087729,0.095776,0.107255,0.129822,0.174659,0.263649,0.440325", \ "0.087734,0.095776,0.107242,0.129815,0.174650,0.263638,0.440323", \ "0.087735,0.095776,0.107259,0.129822,0.174635,0.263642,0.440356", \ "0.087716,0.095771,0.107225,0.129784,0.174621,0.263621,0.440323", \ "0.090759,0.098207,0.108890,0.130426,0.174544,0.263615,0.440341", \ "0.104772,0.112149,0.122574,0.142248,0.181440,0.264677,0.440319", \ "0.121982,0.129917,0.141145,0.162277,0.201916,0.277789,0.441902"); } } timing () { related_pin : "C2"; when : "A1 & !A2 & B1 & B2 & !C1"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.045975,0.048849,0.052870,0.060782,0.076303,0.106822,0.167185", \ "0.051139,0.054011,0.058038,0.065955,0.081484,0.112007,0.172369", \ "0.067130,0.070019,0.074054,0.081995,0.097562,0.128130,0.188546", \ "0.090399,0.093751,0.098250,0.107026,0.123519,0.154447,0.214944", \ "0.115139,0.119401,0.125059,0.135698,0.155366,0.190600,0.253987", \ "0.136678,0.142444,0.149920,0.163817,0.188626,0.230905,0.302928", \ "0.151063,0.158749,0.168764,0.187083,0.219220,0.271614,0.355727"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.160595,0.169741,0.182579,0.208000,0.258019,0.356538,0.551149", \ "0.165038,0.174194,0.187043,0.212490,0.262564,0.361093,0.555734", \ "0.182462,0.191661,0.204577,0.230099,0.280305,0.379013,0.573861", \ "0.212314,0.221507,0.234311,0.259806,0.310006,0.408815,0.603798", \ "0.257452,0.266555,0.279477,0.304737,0.354759,0.453454,0.648378", \ "0.313915,0.324308,0.338654,0.366384,0.418212,0.516647,0.711391", \ "0.377475,0.389240,0.405411,0.436407,0.494316,0.599825,0.794797"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.021681,0.023964,0.027216,0.033704,0.046651,0.072525,0.124330", \ "0.021666,0.023946,0.027198,0.033696,0.046642,0.072529,0.124329", \ "0.021740,0.023988,0.027209,0.033690,0.046638,0.072528,0.124350", \ "0.025594,0.027754,0.030828,0.036822,0.048571,0.072997,0.124321", \ "0.033449,0.035693,0.038882,0.045115,0.057056,0.080336,0.126963", \ "0.046669,0.049081,0.052484,0.058899,0.070885,0.093979,0.139451", \ "0.065044,0.067874,0.071798,0.079001,0.091450,0.114249,0.158782"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.090330,0.098388,0.109878,0.132534,0.177352,0.266390,0.443159", \ "0.090333,0.098387,0.109886,0.132485,0.177389,0.266418,0.443168", \ "0.090331,0.098390,0.109864,0.132476,0.177337,0.266374,0.443196", \ "0.090333,0.098387,0.109879,0.132466,0.177329,0.266422,0.443195", \ "0.092766,0.100316,0.111065,0.132827,0.177289,0.266352,0.443160", \ "0.106353,0.113713,0.124110,0.143780,0.183435,0.267205,0.443171", \ "0.123427,0.131358,0.142535,0.163764,0.203426,0.279672,0.444529"); } } timing () { related_pin : "C2"; when : "A1 & A2 & !B1 & B2 & !C1"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.056260,0.059352,0.063678,0.072150,0.088688,0.121008,0.184559", \ "0.061354,0.064444,0.068771,0.077250,0.093796,0.126124,0.189681", \ "0.076352,0.079441,0.083775,0.092272,0.108851,0.141211,0.204806", \ "0.099911,0.103294,0.107888,0.116859,0.133869,0.166273,0.229937", \ "0.126863,0.130936,0.136409,0.146888,0.166512,0.202267,0.267651", \ "0.152870,0.158106,0.164939,0.177900,0.201690,0.243545,0.316582", \ "0.173777,0.180533,0.189366,0.206075,0.235898,0.286227,0.370015"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.167795,0.176784,0.189498,0.214631,0.264306,0.362445,0.556857", \ "0.172153,0.181163,0.193908,0.219093,0.268770,0.366964,0.561402", \ "0.189744,0.198788,0.211573,0.236851,0.286648,0.385005,0.579653", \ "0.219694,0.228729,0.241412,0.266584,0.316424,0.414854,0.609620", \ "0.264729,0.273725,0.286482,0.311506,0.361274,0.459524,0.654280", \ "0.322103,0.332233,0.346349,0.373599,0.424647,0.522729,0.717288", \ "0.386608,0.398079,0.413947,0.444440,0.501506,0.606098,0.800671"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.027918,0.030265,0.033589,0.040263,0.053554,0.080109,0.133236", \ "0.027914,0.030256,0.033589,0.040257,0.053547,0.080111,0.133234", \ "0.027838,0.030189,0.033541,0.040239,0.053547,0.080110,0.133235", \ "0.030830,0.033038,0.036154,0.042277,0.054618,0.080236,0.133245", \ "0.037927,0.040228,0.043533,0.049991,0.062351,0.086311,0.135005", \ "0.050060,0.052432,0.055847,0.062402,0.074932,0.099168,0.146199", \ "0.067902,0.070579,0.074363,0.081302,0.093964,0.117891,0.164583"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.110405,0.119155,0.131504,0.156106,0.203868,0.296236,0.474940", \ "0.110369,0.119191,0.131522,0.156076,0.203872,0.296220,0.474948", \ "0.110366,0.119192,0.131545,0.156066,0.203864,0.296231,0.474968", \ "0.110405,0.119146,0.131480,0.156058,0.203851,0.296235,0.474942", \ "0.112623,0.120917,0.132578,0.156317,0.203867,0.296201,0.474938", \ "0.128218,0.135783,0.146309,0.167057,0.209782,0.296989,0.474943", \ "0.150172,0.158039,0.169062,0.190488,0.230641,0.309289,0.476228"); } } timing () { related_pin : "C2"; when : "A1 & A2 & B1 & !B2 & !C1"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.048692,0.051678,0.055865,0.064109,0.080296,0.112142,0.175161", \ "0.053781,0.056774,0.060963,0.069212,0.085406,0.117259,0.180284", \ "0.068724,0.071725,0.075935,0.084215,0.100451,0.132355,0.195411", \ "0.090935,0.094373,0.099018,0.108099,0.125206,0.157382,0.220544", \ "0.115164,0.119462,0.125215,0.136061,0.156198,0.192518,0.258218", \ "0.136721,0.142422,0.149954,0.163933,0.189027,0.232092,0.306144", \ "0.151232,0.158904,0.168849,0.187356,0.219616,0.272471,0.358165"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.164925,0.173926,0.186563,0.211709,0.261323,0.359325,0.553367", \ "0.169289,0.178297,0.190973,0.216173,0.265813,0.363825,0.557917", \ "0.186865,0.195913,0.208648,0.233885,0.283668,0.381878,0.576118", \ "0.216797,0.225847,0.238476,0.263669,0.313440,0.411701,0.606122", \ "0.261889,0.270875,0.283653,0.308624,0.358216,0.456388,0.650744", \ "0.318790,0.328979,0.343130,0.370446,0.421693,0.519637,0.713791", \ "0.382783,0.394325,0.410254,0.440814,0.498097,0.602868,0.797212"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.023313,0.025646,0.028973,0.035600,0.048864,0.075335,0.128345", \ "0.023300,0.025636,0.028958,0.035598,0.048850,0.075331,0.128344", \ "0.023332,0.025646,0.028963,0.035594,0.048865,0.075341,0.128332", \ "0.027088,0.029302,0.032437,0.038548,0.050601,0.075722,0.128322", \ "0.034830,0.037103,0.040350,0.046685,0.058906,0.082721,0.130657", \ "0.048124,0.050498,0.053860,0.060238,0.072389,0.096066,0.142669", \ "0.067051,0.069728,0.073498,0.080368,0.092671,0.115825,0.161534"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.092216,0.100348,0.111921,0.134734,0.179744,0.269106,0.446144", \ "0.092227,0.100342,0.111919,0.134647,0.179757,0.269056,0.446138", \ "0.092227,0.100343,0.111913,0.134671,0.179752,0.269123,0.446119", \ "0.092210,0.100353,0.111917,0.134691,0.179740,0.269062,0.446146", \ "0.094658,0.102274,0.113116,0.135028,0.179709,0.269038,0.446127", \ "0.108412,0.115781,0.126186,0.145826,0.185804,0.269918,0.446144", \ "0.125881,0.133799,0.145002,0.166215,0.205851,0.282314,0.447465"); } } timing () { related_pin : "C2"; when : "A1 & A2 & B1 & B2 & !C1"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.040601,0.043002,0.046362,0.052967,0.065908,0.091314,0.141504", \ "0.045840,0.048241,0.051603,0.058211,0.071157,0.096568,0.146760", \ "0.062241,0.064650,0.068018,0.074641,0.087616,0.113065,0.163296", \ "0.086511,0.089480,0.093479,0.101129,0.115396,0.141537,0.191871", \ "0.112001,0.116014,0.121323,0.131116,0.149103,0.180371,0.235072", \ "0.133550,0.139139,0.146459,0.159809,0.183485,0.222821,0.287362", \ "0.147512,0.155062,0.164905,0.182853,0.214185,0.264647,0.343056"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.171691,0.180729,0.193412,0.218597,0.268219,0.366258,0.560355", \ "0.176223,0.185255,0.197986,0.223164,0.272860,0.370961,0.565105", \ "0.193666,0.202729,0.215515,0.240784,0.290608,0.388897,0.583306", \ "0.223527,0.232570,0.245218,0.270465,0.320250,0.418607,0.613152", \ "0.268568,0.277561,0.290323,0.315386,0.365026,0.463261,0.657703", \ "0.326508,0.336580,0.350548,0.377609,0.428517,0.526502,0.720689", \ "0.391696,0.403073,0.418817,0.449054,0.505817,0.609965,0.804148"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.018652,0.020535,0.023206,0.028539,0.039131,0.060296,0.102646", \ "0.018627,0.020510,0.023185,0.028518,0.039124,0.060294,0.102635", \ "0.018782,0.020603,0.023224,0.028519,0.039130,0.060304,0.102644", \ "0.023520,0.025284,0.027772,0.032616,0.041957,0.061225,0.102649", \ "0.032778,0.034636,0.037262,0.042354,0.052001,0.070533,0.106974", \ "0.047334,0.049453,0.052404,0.057935,0.067844,0.086417,0.122341", \ "0.066934,0.069444,0.072906,0.079297,0.090223,0.109277,0.144729"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.094966,0.103121,0.114667,0.137513,0.182650,0.272076,0.449232", \ "0.094963,0.103118,0.114681,0.137530,0.182659,0.272058,0.449235", \ "0.094965,0.103115,0.114696,0.137500,0.182646,0.272083,0.449249", \ "0.094963,0.103110,0.114667,0.137497,0.182654,0.272072,0.449248", \ "0.096886,0.104578,0.115566,0.137675,0.182630,0.272086,0.449235", \ "0.110177,0.117558,0.127871,0.147648,0.188054,0.272736,0.449240", \ "0.127530,0.135451,0.146593,0.167816,0.207569,0.284452,0.450352"); } } internal_power () { related_pin : "A1"; when : "!A2 & !B1 & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("2.445703,2.461413,2.494946,2.529463,2.573610,2.640491,2.709510", \ "2.466792,2.490474,2.515537,2.557281,2.605975,2.683990,2.768516", \ "2.452440,2.463119,2.502904,2.547364,2.596639,2.688697,2.776384", \ "2.464684,2.481191,2.486291,2.525631,2.591568,2.685422,2.770055", \ "2.688457,2.686392,2.672965,2.657592,2.662561,2.719795,2.798862", \ "3.035319,3.020449,3.013660,2.981264,2.936606,2.876358,2.890662", \ "3.546713,3.528382,3.503575,3.444791,3.373795,3.244222,3.100718"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("6.366029,6.381722,6.397253,6.391285,6.409146,6.415858,6.391005", \ "6.263242,6.289343,6.316791,6.329333,6.387689,6.417977,6.469557", \ "6.144441,6.167434,6.191485,6.213280,6.244992,6.350320,6.373455", \ "6.222327,6.224632,6.215122,6.202886,6.211393,6.239221,6.317068", \ "6.503173,6.488176,6.456510,6.414081,6.375050,6.354521,6.332550", \ "6.962692,6.940370,6.887181,6.830124,6.723447,6.586460,6.497773", \ "7.565953,7.554575,7.545551,7.458964,7.276105,7.105391,6.877406"); } } internal_power () { related_pin : "A1"; when : "!A2 & !B1 & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("1.734476,1.752007,1.755603,1.779568,1.810589,1.855665,1.892729", \ "1.747179,1.759347,1.776282,1.816231,1.845122,1.898848,1.941053", \ "1.709578,1.736386,1.759156,1.776626,1.842296,1.899886,1.964254", \ "1.753773,1.744136,1.753356,1.771129,1.819307,1.891989,1.951719", \ "1.994667,1.983956,1.967294,1.933965,1.902851,1.934782,1.976293", \ "2.369704,2.344964,2.317337,2.262799,2.193680,2.102563,2.070835", \ "2.910163,2.874582,2.839686,2.758853,2.650903,2.485094,2.292929"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("5.432441,5.445624,5.446353,5.451238,5.447020,5.503057,5.467632", \ "5.327778,5.351235,5.381964,5.385622,5.440131,5.503687,5.487293", \ "5.215725,5.232135,5.250245,5.252506,5.334002,5.379752,5.450256", \ "5.292179,5.287355,5.280877,5.279492,5.300245,5.324334,5.393757", \ "5.571453,5.550847,5.521476,5.470654,5.466985,5.384031,5.409932", \ "6.039638,6.014407,5.967467,5.897329,5.820105,5.673255,5.576607", \ "6.534121,6.518186,6.514492,6.506301,6.372527,6.136618,5.956576"); } } internal_power () { related_pin : "A1"; when : "!A2 & !B1 & B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("1.710013,1.725567,1.749563,1.753655,1.803086,1.838829,1.887326", \ "1.721176,1.740588,1.768471,1.777115,1.822992,1.882019,1.930320", \ "1.702522,1.721694,1.743109,1.784396,1.821520,1.887270,1.945602", \ "1.774224,1.768531,1.759461,1.775001,1.806950,1.879129,1.937112", \ "2.017738,2.004209,1.991235,1.964605,1.934993,1.930080,1.962949", \ "2.394679,2.372910,2.342376,2.305649,2.234530,2.141789,2.072018", \ "2.940491,2.911189,2.875897,2.804374,2.690744,2.523782,2.337532"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("5.424360,5.433219,5.442144,5.439620,5.439479,5.494504,5.459664", \ "5.309635,5.327496,5.355410,5.390788,5.422491,5.487132,5.471636", \ "5.192999,5.212257,5.219031,5.250457,5.313176,5.358046,5.429480", \ "5.287415,5.284939,5.282621,5.272086,5.286592,5.306881,5.371594", \ "5.574216,5.558462,5.537120,5.480830,5.464744,5.375668,5.394130", \ "6.050548,6.021687,5.979261,5.900261,5.822789,5.676154,5.571372", \ "6.553609,6.546798,6.539521,6.524462,6.404907,6.150478,5.964232"); } } internal_power () { related_pin : "A1"; when : "!A2 & B1 & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("1.734476,1.752007,1.755603,1.779568,1.810589,1.855665,1.892729", \ "1.747179,1.759347,1.776282,1.816231,1.845122,1.898848,1.941053", \ "1.709578,1.736386,1.759156,1.776626,1.842296,1.899886,1.964254", \ "1.753773,1.744136,1.753356,1.771129,1.819307,1.891989,1.951719", \ "1.994667,1.983956,1.967294,1.933965,1.902851,1.934782,1.976293", \ "2.369704,2.344964,2.317337,2.262799,2.193680,2.102563,2.070835", \ "2.910163,2.874582,2.839686,2.758853,2.650903,2.485094,2.292929"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("5.432441,5.445624,5.446353,5.451238,5.447020,5.503057,5.467632", \ "5.327778,5.351235,5.381964,5.385622,5.440131,5.503687,5.487293", \ "5.215725,5.232135,5.250245,5.252506,5.334002,5.379752,5.450256", \ "5.292179,5.287355,5.280877,5.279492,5.300245,5.324334,5.393757", \ "5.571453,5.550847,5.521476,5.470654,5.466985,5.384031,5.409932", \ "6.039638,6.014407,5.967467,5.897329,5.820105,5.673255,5.576607", \ "6.534121,6.518186,6.514492,6.506301,6.372527,6.136618,5.956576"); } } internal_power () { related_pin : "A1"; when : "!A2 & B1 & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("1.053154,1.060821,1.063442,1.066428,1.064549,1.075891,1.076472", \ "1.053414,1.057847,1.071709,1.086676,1.095723,1.115590,1.125210", \ "0.987392,1.001208,1.026750,1.053562,1.077271,1.115544,1.141405", \ "1.063339,1.047311,1.025753,1.031307,1.062298,1.098677,1.131733", \ "1.319930,1.290498,1.269546,1.220781,1.154707,1.140460,1.155500", \ "1.726394,1.688424,1.639890,1.576394,1.467469,1.326998,1.254259", \ "2.304847,2.264620,2.204851,2.100248,1.934446,1.725511,1.488154"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("4.504063,4.517436,4.526881,4.537176,4.559472,4.543138,4.554207", \ "4.397447,4.423541,4.439003,4.467736,4.480262,4.545987,4.573046", \ "4.287465,4.304276,4.321267,4.326030,4.390885,4.478964,4.536801", \ "4.366478,4.366474,4.358537,4.366633,4.371910,4.362937,4.480835", \ "4.648926,4.632057,4.602357,4.548992,4.524950,4.483356,4.497225", \ "4.955375,4.973272,5.018586,4.984366,4.873178,4.773617,4.663858", \ "5.479572,5.475753,5.485016,5.479347,5.432747,5.234612,4.981851"); } } internal_power () { related_pin : "A1"; when : "!A2 & B1 & !B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("1.056076,1.060915,1.055432,1.071389,1.076002,1.070693,1.078106", \ "1.054997,1.066004,1.063146,1.089314,1.103515,1.119588,1.131380", \ "0.997259,1.011525,1.027636,1.049411,1.082703,1.122569,1.145884", \ "1.098525,1.084425,1.069297,1.042826,1.064844,1.101311,1.137027", \ "1.349034,1.325593,1.288926,1.260181,1.200132,1.145171,1.159343", \ "1.752353,1.721690,1.677195,1.610965,1.498831,1.375815,1.270195", \ "2.338005,2.293332,2.234318,2.138705,1.977750,1.777583,1.542691"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("4.495954,4.510002,4.512570,4.533660,4.551609,4.553194,4.546269", \ "4.379643,4.402678,4.422663,4.442437,4.463302,4.532878,4.557585", \ "4.264554,4.280150,4.299017,4.335370,4.382266,4.457365,4.515997", \ "4.361966,4.355913,4.351708,4.343351,4.345375,4.345512,4.395406", \ "4.651918,4.633676,4.604020,4.572798,4.522535,4.475031,4.418173", \ "4.966032,4.990042,5.024407,5.000716,4.880336,4.776613,4.659042", \ "5.506787,5.502592,5.498630,5.507660,5.449352,5.248401,4.989813"); } } internal_power () { related_pin : "A1"; when : "!A2 & B1 & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("1.710013,1.725567,1.749563,1.753655,1.803086,1.838829,1.887326", \ "1.721176,1.740588,1.768471,1.777115,1.822992,1.882019,1.930320", \ "1.702522,1.721694,1.743109,1.784396,1.821520,1.887270,1.945602", \ "1.774224,1.768531,1.759461,1.775001,1.806950,1.879129,1.937112", \ "2.017738,2.004209,1.991235,1.964605,1.934993,1.930080,1.962949", \ "2.394679,2.372910,2.342376,2.305649,2.234530,2.141789,2.072018", \ "2.940491,2.911189,2.875897,2.804374,2.690744,2.523782,2.337532"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("5.424360,5.433219,5.442144,5.439620,5.439479,5.494504,5.459664", \ "5.309635,5.327496,5.355410,5.390788,5.422491,5.487132,5.471636", \ "5.192999,5.212257,5.219031,5.250457,5.313176,5.358046,5.429480", \ "5.287415,5.284939,5.282621,5.272086,5.286592,5.306881,5.371594", \ "5.574216,5.558462,5.537120,5.480830,5.464744,5.375668,5.394130", \ "6.050548,6.021687,5.979261,5.900261,5.822789,5.676154,5.571372", \ "6.553609,6.546798,6.539521,6.524462,6.404907,6.150478,5.964232"); } } internal_power () { related_pin : "A1"; when : "!A2 & B1 & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("1.056076,1.060915,1.055432,1.071389,1.076002,1.070693,1.078106", \ "1.054997,1.066004,1.063146,1.089314,1.103515,1.119588,1.131380", \ "0.997259,1.011525,1.027636,1.049411,1.082703,1.122569,1.145884", \ "1.098525,1.084425,1.069297,1.042826,1.064844,1.101311,1.137027", \ "1.349034,1.325593,1.288926,1.260181,1.200132,1.145171,1.159343", \ "1.752353,1.721690,1.677195,1.610965,1.498831,1.375815,1.270195", \ "2.338005,2.293332,2.234318,2.138705,1.977750,1.777583,1.542691"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("4.495954,4.510002,4.512570,4.533660,4.551609,4.553194,4.546269", \ "4.379643,4.402678,4.422663,4.442437,4.463302,4.532878,4.557585", \ "4.264554,4.280150,4.299017,4.335370,4.382266,4.457365,4.515997", \ "4.361966,4.355913,4.351708,4.343351,4.345375,4.345512,4.395406", \ "4.651918,4.633676,4.604020,4.572798,4.522535,4.475031,4.418173", \ "4.966032,4.990042,5.024407,5.000716,4.880336,4.776613,4.659042", \ "5.506787,5.502592,5.498630,5.507660,5.449352,5.248401,4.989813"); } } internal_power () { related_pin : "A1"; when : "!A2 & B1 & B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("1.065219,1.065599,1.062791,1.065967,1.071518,1.068249,1.082732", \ "1.062242,1.061285,1.072810,1.082584,1.100362,1.120218,1.129595", \ "1.008992,1.010392,1.034976,1.046029,1.092064,1.123149,1.141964", \ "1.122798,1.116316,1.104522,1.084745,1.066635,1.109707,1.141746", \ "1.371191,1.350746,1.332788,1.292308,1.238335,1.165013,1.160633", \ "1.783090,1.752132,1.708217,1.648043,1.542980,1.421052,1.287766", \ "2.364971,2.323674,2.274666,2.170035,2.025718,1.829065,1.599028"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("4.494852,4.508923,4.513190,4.530595,4.551098,4.534875,4.544885", \ "4.358372,4.380962,4.401072,4.452619,4.451840,4.517017,4.564041", \ "4.249029,4.263450,4.269493,4.279703,4.347903,4.414211,4.493521", \ "4.357307,4.349919,4.348215,4.346876,4.330657,4.325532,4.371136", \ "4.655807,4.637031,4.611892,4.578215,4.520663,4.467536,4.401361", \ "4.986596,5.001025,5.046208,5.018131,4.888829,4.780446,4.654980", \ "5.535127,5.531136,5.530012,5.516193,5.466944,5.263628,4.999142"); } } internal_power () { related_pin : "A2"; when : "!A1 & !B1 & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("3.122764,3.142738,3.160242,3.186775,3.262690,3.356227,3.450502", \ "3.043728,3.071476,3.098923,3.118485,3.195868,3.290481,3.386436", \ "2.969900,2.999701,3.032232,3.040725,3.145433,3.241273,3.339693", \ "2.987765,3.005138,3.019006,3.063224,3.139130,3.222740,3.330195", \ "3.198706,3.192411,3.176539,3.172566,3.206142,3.268475,3.371298", \ "3.561418,3.555782,3.536261,3.518075,3.479867,3.437335,3.480456", \ "4.081397,4.062480,4.039836,4.005248,3.935960,3.832096,3.710496"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("7.515477,7.529477,7.529065,7.530132,7.553704,7.558880,7.533774", \ "7.458531,7.477987,7.485710,7.520495,7.532733,7.552806,7.597621", \ "7.324632,7.337426,7.356085,7.379714,7.412316,7.508300,7.522724", \ "7.254750,7.266199,7.268919,7.275604,7.316602,7.359326,7.443776", \ "7.301104,7.301521,7.302753,7.282243,7.279495,7.297926,7.370108", \ "7.469947,7.462060,7.439822,7.436414,7.410925,7.389406,7.367246", \ "7.728524,7.739565,7.738891,7.718558,7.671457,7.591537,7.495804"); } } internal_power () { related_pin : "A2"; when : "!A1 & !B1 & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("2.387286,2.403038,2.412719,2.459659,2.503689,2.566194,2.638375", \ "2.316185,2.337644,2.348452,2.391725,2.441049,2.500817,2.567169", \ "2.238422,2.256727,2.289176,2.327291,2.375823,2.450245,2.519898", \ "2.247655,2.259452,2.287832,2.321111,2.369007,2.437330,2.509243", \ "2.503037,2.489614,2.477492,2.464656,2.425507,2.482001,2.539236", \ "2.884264,2.866909,2.848852,2.816410,2.752632,2.663684,2.665516", \ "3.429488,3.403621,3.376185,3.322249,3.222198,3.076594,2.897033"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("6.583044,6.588924,6.591643,6.585545,6.592974,6.589414,6.611063", \ "6.524410,6.543307,6.545017,6.573075,6.622786,6.638253,6.613895", \ "6.390767,6.405013,6.427377,6.462349,6.500963,6.538582,6.599038", \ "6.322724,6.331638,6.342427,6.346970,6.405774,6.444622,6.520742", \ "6.371011,6.375623,6.363718,6.329779,6.368285,6.383206,6.448288", \ "6.540037,6.533758,6.508720,6.497948,6.449796,6.419145,6.444075", \ "6.692730,6.701469,6.719075,6.756933,6.709332,6.620911,6.573611"); } } internal_power () { related_pin : "A2"; when : "!A1 & !B1 & B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("2.353861,2.364187,2.384785,2.427873,2.479884,2.524475,2.603894", \ "2.276831,2.291540,2.324948,2.358105,2.399141,2.461646,2.539712", \ "2.202973,2.226857,2.252212,2.294714,2.349851,2.405116,2.500635", \ "2.240425,2.244489,2.248337,2.271165,2.346699,2.418120,2.491410", \ "2.518018,2.509288,2.492830,2.467700,2.444494,2.469891,2.532283", \ "2.898290,2.889588,2.871415,2.823574,2.770495,2.694273,2.649216", \ "3.449431,3.424180,3.403652,3.351172,3.250214,3.112104,2.926449"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("6.574637,6.581928,6.581694,6.587656,6.587100,6.580663,6.604636", \ "6.500487,6.523603,6.532598,6.552917,6.554430,6.622697,6.598646", \ "6.370683,6.389247,6.406825,6.430934,6.482760,6.519883,6.580456", \ "6.316291,6.329315,6.335011,6.350461,6.393216,6.428714,6.501293", \ "6.367893,6.362967,6.360187,6.355570,6.361485,6.371390,6.431153", \ "6.539884,6.531165,6.522075,6.482751,6.446211,6.411842,6.432255", \ "6.697762,6.704666,6.722805,6.759777,6.710389,6.619548,6.593226"); } } internal_power () { related_pin : "A2"; when : "!A1 & B1 & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("2.387286,2.403038,2.412719,2.459659,2.503689,2.566194,2.638375", \ "2.316185,2.337644,2.348452,2.391725,2.441049,2.500817,2.567169", \ "2.238422,2.256727,2.289176,2.327291,2.375823,2.450245,2.519898", \ "2.247655,2.259452,2.287832,2.321111,2.369007,2.437330,2.509243", \ "2.503037,2.489614,2.477492,2.464656,2.425507,2.482001,2.539236", \ "2.884264,2.866909,2.848852,2.816410,2.752632,2.663684,2.665516", \ "3.429488,3.403621,3.376185,3.322249,3.222198,3.076594,2.897033"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("6.583044,6.588924,6.591643,6.585545,6.592974,6.589414,6.611063", \ "6.524410,6.543307,6.545017,6.573075,6.622786,6.638253,6.613895", \ "6.390767,6.405013,6.427377,6.462349,6.500963,6.538582,6.599038", \ "6.322724,6.331638,6.342427,6.346970,6.405774,6.444622,6.520742", \ "6.371011,6.375623,6.363718,6.329779,6.368285,6.383206,6.448288", \ "6.540037,6.533758,6.508720,6.497948,6.449796,6.419145,6.444075", \ "6.692730,6.701469,6.719075,6.756933,6.709332,6.620911,6.573611"); } } internal_power () { related_pin : "A2"; when : "!A1 & B1 & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("1.677901,1.688025,1.697113,1.701109,1.738643,1.780303,1.815559", \ "1.604221,1.614875,1.629768,1.651401,1.682586,1.715056,1.753728", \ "1.519095,1.530866,1.561187,1.572286,1.614221,1.662467,1.701880", \ "1.552845,1.535118,1.543101,1.565956,1.607415,1.642579,1.690100", \ "1.826850,1.808667,1.785221,1.754167,1.690965,1.705159,1.723265", \ "2.227003,2.205396,2.170683,2.119158,2.028751,1.897077,1.840614", \ "2.801090,2.769300,2.724207,2.647607,2.510701,2.319061,2.089173"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("5.654818,5.665929,5.657958,5.676091,5.649231,5.689037,5.698077", \ "5.595942,5.607474,5.629588,5.641705,5.681770,5.678126,5.699953", \ "5.461759,5.471791,5.484284,5.524569,5.558293,5.637446,5.685500", \ "5.393902,5.405547,5.406319,5.392203,5.464659,5.505759,5.544336", \ "5.446256,5.445877,5.425765,5.424795,5.426086,5.483534,5.534768", \ "5.440511,5.486190,5.551710,5.575886,5.502643,5.517440,5.531855", \ "5.639503,5.649589,5.680008,5.729358,5.828914,5.717303,5.661070"); } } internal_power () { related_pin : "A2"; when : "!A1 & B1 & !B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("1.651373,1.665617,1.686010,1.704008,1.725259,1.763126,1.803887", \ "1.587413,1.590274,1.616437,1.638423,1.656044,1.702939,1.739118", \ "1.505745,1.512202,1.537595,1.555264,1.595806,1.649298,1.689326", \ "1.576896,1.564083,1.549227,1.556769,1.598397,1.636508,1.676610", \ "1.844307,1.826157,1.808533,1.776686,1.724210,1.687226,1.718639", \ "2.249810,2.228195,2.192776,2.142040,2.058637,1.934799,1.845808", \ "2.827096,2.792577,2.744428,2.675847,2.551134,2.360940,2.131940"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("5.647182,5.657385,5.659972,5.650324,5.641923,5.680832,5.690845", \ "5.579598,5.587920,5.608142,5.611732,5.666384,5.663227,5.684995", \ "5.441593,5.457397,5.476312,5.483562,5.540936,5.557956,5.667242", \ "5.388835,5.399602,5.392482,5.391202,5.452194,5.468024,5.525231", \ "5.443422,5.440933,5.434589,5.417362,5.419399,5.410692,5.454181", \ "5.440271,5.481389,5.536308,5.574559,5.499236,5.510453,5.519498", \ "5.644860,5.662999,5.677067,5.732404,5.815941,5.716098,5.655100"); } } internal_power () { related_pin : "A2"; when : "!A1 & B1 & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("2.353861,2.364187,2.384785,2.427873,2.479884,2.524475,2.603894", \ "2.276831,2.291540,2.324948,2.358105,2.399141,2.461646,2.539712", \ "2.202973,2.226857,2.252212,2.294714,2.349851,2.405116,2.500635", \ "2.240425,2.244489,2.248337,2.271165,2.346699,2.418120,2.491410", \ "2.518018,2.509288,2.492830,2.467700,2.444494,2.469891,2.532283", \ "2.898290,2.889588,2.871415,2.823574,2.770495,2.694273,2.649216", \ "3.449431,3.424180,3.403652,3.351172,3.250214,3.112104,2.926449"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("6.574637,6.581928,6.581694,6.587656,6.587100,6.580663,6.604636", \ "6.500487,6.523603,6.532598,6.552917,6.554430,6.622697,6.598646", \ "6.370683,6.389247,6.406825,6.430934,6.482760,6.519883,6.580456", \ "6.316291,6.329315,6.335011,6.350461,6.393216,6.428714,6.501293", \ "6.367893,6.362967,6.360187,6.355570,6.361485,6.371390,6.431153", \ "6.539884,6.531165,6.522075,6.482751,6.446211,6.411842,6.432255", \ "6.697762,6.704666,6.722805,6.759777,6.710389,6.619548,6.593226"); } } internal_power () { related_pin : "A2"; when : "!A1 & B1 & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("1.651373,1.665617,1.686010,1.704008,1.725259,1.763126,1.803887", \ "1.587413,1.590274,1.616437,1.638423,1.656044,1.702939,1.739118", \ "1.505745,1.512202,1.537595,1.555264,1.595806,1.649298,1.689326", \ "1.576896,1.564083,1.549227,1.556769,1.598397,1.636508,1.676610", \ "1.844307,1.826157,1.808533,1.776686,1.724210,1.687226,1.718639", \ "2.249810,2.228195,2.192776,2.142040,2.058637,1.934799,1.845808", \ "2.827096,2.792577,2.744428,2.675847,2.551134,2.360940,2.131940"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("5.647182,5.657385,5.659972,5.650324,5.641923,5.680832,5.690845", \ "5.579598,5.587920,5.608142,5.611732,5.666384,5.663227,5.684995", \ "5.441593,5.457397,5.476312,5.483562,5.540936,5.557956,5.667242", \ "5.388835,5.399602,5.392482,5.391202,5.452194,5.468024,5.525231", \ "5.443422,5.440933,5.434589,5.417362,5.419399,5.410692,5.454181", \ "5.440271,5.481389,5.536308,5.574559,5.499236,5.510453,5.519498", \ "5.644860,5.662999,5.677067,5.732404,5.815941,5.716098,5.655100"); } } internal_power () { related_pin : "A2"; when : "!A1 & B1 & B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("1.613159,1.633717,1.645452,1.663147,1.708186,1.740452,1.792384", \ "1.547375,1.552261,1.576938,1.599918,1.636005,1.673451,1.726845", \ "1.476635,1.478624,1.508596,1.536974,1.575360,1.623996,1.673912", \ "1.596751,1.586196,1.576356,1.555322,1.579550,1.617776,1.666645", \ "1.858048,1.842254,1.822647,1.791553,1.746221,1.680894,1.709682", \ "2.274530,2.245362,2.210350,2.167746,2.079390,1.968529,1.840714", \ "2.846387,2.823588,2.774219,2.702678,2.583279,2.399823,2.181782"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("5.646229,5.656435,5.656566,5.664678,5.640887,5.693750,5.688957", \ "5.559964,5.578695,5.596448,5.599816,5.656179,5.653006,5.674953", \ "5.429163,5.444027,5.458091,5.481679,5.522012,5.588282,5.583148", \ "5.383512,5.392507,5.386686,5.400165,5.439212,5.449673,5.536517", \ "5.440362,5.437429,5.429124,5.408090,5.411493,5.399211,5.435710", \ "5.439955,5.483235,5.533865,5.569700,5.495538,5.503784,5.507300", \ "5.659202,5.668090,5.693171,5.735691,5.817407,5.714696,5.649260"); } } internal_power () { related_pin : "B1"; when : "!A1 & A2 & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("2.463376,2.478612,2.490056,2.530201,2.579853,2.629301,2.716948", \ "2.479232,2.497743,2.508080,2.546126,2.615696,2.688160,2.761964", \ "2.441546,2.462936,2.491780,2.517494,2.604830,2.687844,2.783066", \ "2.375020,2.389414,2.422677,2.484015,2.550324,2.629429,2.749791", \ "2.446828,2.449489,2.458157,2.468413,2.501698,2.608189,2.715058", \ "2.611758,2.612755,2.607464,2.608175,2.617011,2.629125,2.714987", \ "2.897333,2.884697,2.871169,2.855863,2.836884,2.821067,2.790946"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("8.546775,8.550712,8.571689,8.570210,8.582225,8.661472,8.674189", \ "8.475221,8.484235,8.507596,8.530764,8.549438,8.639759,8.661623", \ "8.324043,8.342645,8.374326,8.388916,8.463416,8.522211,8.622433", \ "8.351325,8.355326,8.364138,8.365327,8.424904,8.479732,8.579053", \ "8.579309,8.572653,8.563730,8.558916,8.524647,8.537148,8.605813", \ "8.999671,8.983553,8.961750,8.913253,8.856372,8.819054,8.777930", \ "9.617428,9.595533,9.562911,9.499910,9.384653,9.273707,9.160450"); } } internal_power () { related_pin : "B1"; when : "!A1 & A2 & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("1.739653,1.751475,1.767546,1.791798,1.821121,1.854725,1.897024", \ "1.759613,1.774338,1.785916,1.811306,1.852159,1.902856,1.945232", \ "1.691284,1.711448,1.742220,1.762512,1.827928,1.898489,1.956968", \ "1.619064,1.638446,1.666661,1.710398,1.770456,1.841138,1.928357", \ "1.706888,1.710857,1.710998,1.717142,1.718488,1.803978,1.891293", \ "1.881219,1.878618,1.864106,1.853205,1.855287,1.834364,1.889803", \ "2.185558,2.174546,2.156255,2.121540,2.080671,2.028551,1.966491"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("7.574902,7.589537,7.598029,7.622880,7.654921,7.677897,7.742052", \ "7.502451,7.513249,7.530518,7.544919,7.622594,7.660379,7.730198", \ "7.358380,7.371070,7.405873,7.428938,7.506696,7.595310,7.690276", \ "7.378380,7.393743,7.396115,7.426297,7.444578,7.551136,7.648807", \ "7.608539,7.604243,7.608331,7.592690,7.596144,7.611192,7.676255", \ "8.031780,8.015460,7.997272,7.978710,7.929653,7.881760,7.846240", \ "8.554220,8.560664,8.586732,8.551347,8.458512,8.348203,8.170175"); } } internal_power () { related_pin : "B1"; when : "!A1 & A2 & !B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("1.722766,1.725521,1.747141,1.763924,1.801449,1.842044,1.887191", \ "1.726835,1.746344,1.771243,1.796667,1.833367,1.886173,1.932188", \ "1.667728,1.691965,1.712004,1.753619,1.815434,1.878662,1.943243", \ "1.634107,1.638173,1.650230,1.693861,1.749330,1.829810,1.915423", \ "1.731048,1.729919,1.728073,1.744402,1.751668,1.788835,1.879530", \ "1.904827,1.898009,1.886462,1.877671,1.885166,1.870416,1.883584", \ "2.204917,2.196854,2.178516,2.148831,2.107025,2.067949,2.009780"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("7.564159,7.578642,7.595511,7.610645,7.642899,7.669126,7.731452", \ "7.464808,7.484341,7.495705,7.533791,7.592730,7.629867,7.701554", \ "7.325659,7.346204,7.364000,7.391465,7.454931,7.506377,7.657999", \ "7.368732,7.383085,7.382832,7.413730,7.426154,7.473143,7.557920", \ "7.609019,7.604822,7.605257,7.602146,7.590975,7.607884,7.655957", \ "8.041977,8.034014,8.005891,7.991549,7.940798,7.860135,7.840484", \ "8.574273,8.589562,8.599939,8.585435,8.475593,8.361629,8.176196"); } } internal_power () { related_pin : "B1"; when : "A1 & !A2 & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("1.739653,1.751475,1.767546,1.791798,1.821121,1.854725,1.897024", \ "1.759613,1.774338,1.785916,1.811306,1.852159,1.902856,1.945232", \ "1.691284,1.711448,1.742220,1.762512,1.827928,1.898489,1.956968", \ "1.619064,1.638446,1.666661,1.710398,1.770456,1.841138,1.928357", \ "1.706888,1.710857,1.710998,1.717142,1.718488,1.803978,1.891293", \ "1.881219,1.878618,1.864106,1.853205,1.855287,1.834364,1.889803", \ "2.185558,2.174546,2.156255,2.121540,2.080671,2.028551,1.966491"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("7.574902,7.589537,7.598029,7.622880,7.654921,7.677897,7.742052", \ "7.502451,7.513249,7.530518,7.544919,7.622594,7.660379,7.730198", \ "7.358380,7.371070,7.405873,7.428938,7.506696,7.595310,7.690276", \ "7.378380,7.393743,7.396115,7.426297,7.444578,7.551136,7.648807", \ "7.608539,7.604243,7.608331,7.592690,7.596144,7.611192,7.676255", \ "8.031780,8.015460,7.997272,7.978710,7.929653,7.881760,7.846240", \ "8.554220,8.560664,8.586732,8.551347,8.458512,8.348203,8.170175"); } } internal_power () { related_pin : "B1"; when : "A1 & !A2 & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("1.062709,1.062866,1.059212,1.071604,1.071707,1.066658,1.079808", \ "1.068482,1.074660,1.076669,1.095303,1.104883,1.121382,1.131188", \ "0.962371,0.978035,0.996426,1.036451,1.070795,1.112491,1.138779", \ "0.884327,0.886668,0.902217,0.932684,0.993763,1.044626,1.107728", \ "0.973978,0.967684,0.966892,0.965673,0.954715,0.995098,1.068872", \ "1.165110,1.150716,1.130019,1.107954,1.085258,1.046787,1.065991", \ "1.496441,1.468970,1.446010,1.390722,1.322754,1.244216,1.145962"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("6.585355,6.601516,6.616468,6.637011,6.683496,6.761286,6.818116", \ "6.512218,6.533500,6.545379,6.584121,6.651720,6.679685,6.806798", \ "6.367845,6.390860,6.412861,6.460218,6.510217,6.621580,6.704252", \ "6.388657,6.404996,6.402716,6.439522,6.475317,6.575269,6.661804", \ "6.622452,6.617286,6.618056,6.612937,6.629954,6.653256,6.689180", \ "7.004721,7.040863,7.024033,6.990797,6.964302,6.918799,6.886967", \ "7.448302,7.475222,7.500013,7.541693,7.494179,7.373079,7.248087"); } } internal_power () { related_pin : "B1"; when : "A1 & !A2 & !B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("1.061350,1.065240,1.059707,1.064407,1.068635,1.072929,1.075658", \ "1.066300,1.075324,1.073592,1.086647,1.109628,1.123750,1.123079", \ "0.965157,0.981617,0.994237,1.033036,1.072581,1.114364,1.144039", \ "0.923053,0.928403,0.927696,0.940625,0.998476,1.045408,1.104270", \ "1.001455,0.999453,1.001664,0.991551,0.998515,0.999803,1.075559", \ "1.190583,1.178230,1.158236,1.148315,1.118405,1.087568,1.076998", \ "1.519001,1.501287,1.472788,1.427955,1.365914,1.285919,1.201169"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("6.575058,6.591303,6.603864,6.621224,6.673801,6.689928,6.808457", \ "6.474630,6.495739,6.517148,6.542691,6.624334,6.652908,6.764542", \ "6.336130,6.357264,6.376831,6.426232,6.482254,6.590102,6.672541", \ "6.379617,6.394967,6.396708,6.433830,6.458985,6.552694,6.634272", \ "6.624110,6.627671,6.628425,6.628125,6.625195,6.622855,6.671176", \ "7.025233,7.050771,7.036407,7.008794,6.970490,6.921662,6.855054", \ "7.476883,7.494506,7.527257,7.560224,7.510847,7.386628,7.255561"); } } internal_power () { related_pin : "B1"; when : "A1 & A2 & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("1.741952,1.751609,1.759698,1.777367,1.816645,1.847874,1.886304", \ "1.753080,1.765240,1.782225,1.808645,1.852757,1.893760,1.938830", \ "1.694189,1.714472,1.731351,1.767109,1.831167,1.889520,1.950549", \ "1.620449,1.637524,1.664376,1.684882,1.762207,1.832368,1.920551", \ "1.714168,1.715243,1.720378,1.718094,1.726160,1.793489,1.885807", \ "1.895908,1.892485,1.881064,1.868627,1.861313,1.851454,1.887632", \ "2.209372,2.189521,2.178465,2.136951,2.101368,2.048392,1.986599"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("8.068623,8.074973,8.093819,8.105300,8.123916,8.146739,8.208612", \ "7.992166,8.011362,8.024658,8.060741,8.098517,8.136838,8.207814", \ "7.845283,7.866439,7.884429,7.909707,7.953712,8.070198,8.165519", \ "7.869885,7.876650,7.879626,7.912462,7.915080,8.018639,8.116011", \ "8.085420,8.082485,8.089216,8.082845,8.065660,8.076636,8.140523", \ "8.501030,8.495333,8.479617,8.432295,8.400248,8.362901,8.314336", \ "9.038561,9.048972,9.062974,9.028706,8.924491,8.819861,8.702602"); } } internal_power () { related_pin : "B1"; when : "A1 & A2 & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("1.065718,1.067684,1.069954,1.074361,1.076679,1.075532,1.076609", \ "1.064989,1.071926,1.085479,1.092023,1.105635,1.117124,1.132762", \ "0.956777,0.977045,0.995767,1.036316,1.066054,1.105898,1.142267", \ "0.891456,0.889994,0.906281,0.937312,0.988174,1.049579,1.105809", \ "0.978698,0.974408,0.980345,0.968012,0.969322,0.995742,1.068117", \ "1.173536,1.158059,1.149301,1.126859,1.093648,1.066629,1.065631", \ "1.513724,1.490675,1.463258,1.417199,1.347886,1.265035,1.173702"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("7.078986,7.094910,7.113793,7.109924,7.171203,7.228958,7.283734", \ "7.003570,7.021298,7.039676,7.063552,7.126487,7.216001,7.283309", \ "6.853612,6.875670,6.908346,6.939922,7.039546,7.090847,7.241580", \ "6.879940,6.886495,6.888861,6.928783,6.942519,7.038638,7.191956", \ "7.096435,7.104450,7.091493,7.111408,7.096543,7.160626,7.217107", \ "7.506963,7.513055,7.498250,7.453273,7.452231,7.416109,7.391323", \ "7.938699,7.961821,7.988903,8.037093,7.957009,7.864582,7.720040"); } } internal_power () { related_pin : "B1"; when : "A1 & A2 & !B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("1.066606,1.065681,1.065815,1.061776,1.069215,1.070578,1.082805", \ "1.069545,1.071418,1.084997,1.091010,1.097974,1.122139,1.129601", \ "0.958702,0.980649,1.007234,1.032001,1.077946,1.115492,1.140154", \ "0.932764,0.935804,0.938579,0.945152,0.994838,1.060881,1.110903", \ "1.006514,1.011637,1.010575,1.011598,1.010527,1.016454,1.077031", \ "1.198105,1.191582,1.171857,1.153792,1.139107,1.107534,1.084951", \ "1.543400,1.516965,1.488358,1.448988,1.385868,1.307291,1.226712"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("7.068712,7.074982,7.099986,7.104788,7.155994,7.217953,7.274239", \ "6.971714,6.992615,7.016271,7.054237,7.099490,7.188416,7.256684", \ "6.828653,6.849920,6.869979,6.895379,6.951514,7.056821,7.208889", \ "6.860723,6.875773,6.880872,6.895360,6.923442,7.016483,7.099638", \ "7.097600,7.105050,7.109253,7.105082,7.092518,7.148838,7.198507", \ "7.527743,7.532764,7.514816,7.485473,7.467332,7.390211,7.385872", \ "7.967714,7.991056,8.020999,8.053460,7.974451,7.869257,7.727560"); } } internal_power () { related_pin : "B2"; when : "!A1 & A2 & !B1 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("3.119013,3.145462,3.161126,3.201887,3.253659,3.342040,3.459047", \ "3.056505,3.070391,3.095101,3.138784,3.207493,3.274967,3.396999", \ "2.988594,3.005861,3.024326,3.066062,3.148346,3.219999,3.340831", \ "2.931794,2.966436,3.003898,3.030338,3.089848,3.194897,3.317687", \ "3.013822,3.019579,3.026627,3.039490,3.102136,3.185011,3.302686", \ "3.206176,3.207022,3.191803,3.207101,3.209927,3.227446,3.329905", \ "3.481508,3.482836,3.472475,3.458729,3.458119,3.435166,3.420535"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("9.675008,9.679682,9.695097,9.706409,9.723960,9.756530,9.813741", \ "9.631645,9.639046,9.657163,9.672619,9.682497,9.770676,9.785469", \ "9.511127,9.522100,9.544592,9.566277,9.628499,9.677505,9.767470", \ "9.436689,9.447524,9.463496,9.492749,9.547934,9.606667,9.709016", \ "9.453304,9.460628,9.476838,9.462258,9.511143,9.557243,9.655110", \ "9.584784,9.589291,9.592206,9.588761,9.583775,9.647494,9.663581", \ "9.849483,9.877961,9.878745,9.854658,9.814860,9.835640,9.791979"); } } internal_power () { related_pin : "B2"; when : "!A1 & A2 & !B1 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("2.392453,2.406055,2.429518,2.461721,2.510138,2.564125,2.639268", \ "2.327150,2.342016,2.362837,2.396434,2.442884,2.493885,2.572624", \ "2.243846,2.260600,2.275054,2.326520,2.379127,2.432937,2.521123", \ "2.199020,2.216633,2.247842,2.290042,2.330530,2.406756,2.483741", \ "2.290356,2.296834,2.287633,2.293466,2.310636,2.389109,2.480963", \ "2.477758,2.474920,2.462116,2.455433,2.449368,2.435046,2.505587", \ "2.765752,2.758587,2.742601,2.726284,2.701573,2.654005,2.585282"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("8.703315,8.709263,8.726166,8.728382,8.793010,8.819959,8.882204", \ "8.659472,8.667754,8.684808,8.692381,8.752503,8.784996,8.852127", \ "8.537878,8.557996,8.580970,8.614303,8.648743,8.750383,8.836703", \ "8.471771,8.486855,8.497632,8.507025,8.567487,8.622013,8.716221", \ "8.488794,8.494061,8.504753,8.500831,8.530395,8.632282,8.723906", \ "8.608491,8.617144,8.627429,8.625411,8.655795,8.665213,8.731996", \ "8.783149,8.810673,8.857194,8.873635,8.885333,8.852162,8.861380"); } } internal_power () { related_pin : "B2"; when : "!A1 & A2 & !B1 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("2.349639,2.368561,2.390645,2.411573,2.478117,2.531732,2.606599", \ "2.279693,2.299290,2.317486,2.347687,2.400445,2.468979,2.544476", \ "2.205148,2.226231,2.243430,2.283271,2.329317,2.416369,2.492465", \ "2.159919,2.181005,2.203962,2.257021,2.298882,2.371895,2.465594", \ "2.294477,2.305584,2.295489,2.313155,2.301772,2.359076,2.450768", \ "2.485138,2.486228,2.475392,2.465735,2.470184,2.458643,2.490003", \ "2.780488,2.775155,2.759705,2.734251,2.715270,2.682266,2.618369"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("8.692355,8.700287,8.713481,8.740266,8.728208,8.809003,8.871576", \ "8.625246,8.640713,8.655378,8.692951,8.725945,8.756606,8.838592", \ "8.516527,8.527202,8.557032,8.561491,8.620283,8.664111,8.745028", \ "8.454144,8.472132,8.486697,8.516639,8.550905,8.600053,8.690591", \ "8.475453,8.488635,8.502266,8.502447,8.522854,8.616148,8.703500", \ "8.606580,8.614870,8.619413,8.643310,8.650453,8.657566,8.718022", \ "8.786760,8.814210,8.852232,8.892901,8.885188,8.849202,8.853565"); } } internal_power () { related_pin : "B2"; when : "A1 & !A2 & !B1 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("2.392453,2.406055,2.429518,2.461721,2.510138,2.564125,2.639268", \ "2.327150,2.342016,2.362837,2.396434,2.442884,2.493885,2.572624", \ "2.243846,2.260600,2.275054,2.326520,2.379127,2.432937,2.521123", \ "2.199020,2.216633,2.247842,2.290042,2.330530,2.406756,2.483741", \ "2.290356,2.296834,2.287633,2.293466,2.310636,2.389109,2.480963", \ "2.477758,2.474920,2.462116,2.455433,2.449368,2.435046,2.505587", \ "2.765752,2.758587,2.742601,2.726284,2.701573,2.654005,2.585282"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("8.703315,8.709263,8.726166,8.728382,8.793010,8.819959,8.882204", \ "8.659472,8.667754,8.684808,8.692381,8.752503,8.784996,8.852127", \ "8.537878,8.557996,8.580970,8.614303,8.648743,8.750383,8.836703", \ "8.471771,8.486855,8.497632,8.507025,8.567487,8.622013,8.716221", \ "8.488794,8.494061,8.504753,8.500831,8.530395,8.632282,8.723906", \ "8.608491,8.617144,8.627429,8.625411,8.655795,8.665213,8.731996", \ "8.783149,8.810673,8.857194,8.873635,8.885333,8.852162,8.861380"); } } internal_power () { related_pin : "B2"; when : "A1 & !A2 & !B1 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("1.682880,1.692099,1.707615,1.723205,1.750909,1.771427,1.820144", \ "1.616141,1.626146,1.637266,1.654584,1.681606,1.717939,1.755567", \ "1.524142,1.537592,1.557866,1.576611,1.617115,1.659329,1.703196", \ "1.464512,1.480435,1.494628,1.517324,1.571171,1.621627,1.665200", \ "1.579577,1.571831,1.568790,1.561022,1.551114,1.602201,1.660775", \ "1.759599,1.754593,1.740678,1.728139,1.701697,1.656852,1.673974", \ "2.064238,2.048420,2.026861,1.994595,1.943174,1.876622,1.771540"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("7.714406,7.730140,7.749965,7.777542,7.823386,7.840473,7.895225", \ "7.669735,7.688579,7.705647,7.736893,7.785474,7.867193,7.928709", \ "7.547422,7.569214,7.590455,7.607292,7.675101,7.774651,7.850439", \ "7.472248,7.492674,7.508311,7.524053,7.600020,7.705252,7.791980", \ "7.489845,7.505890,7.522942,7.526461,7.560862,7.656548,7.737297", \ "7.575388,7.634292,7.643026,7.665583,7.688363,7.687290,7.808142", \ "7.680735,7.719424,7.770213,7.853516,7.918014,7.873633,7.939577"); } } internal_power () { related_pin : "B2"; when : "A1 & !A2 & !B1 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("1.651371,1.665476,1.685998,1.698802,1.731864,1.768298,1.807578", \ "1.580317,1.595337,1.600840,1.633245,1.658583,1.699336,1.742047", \ "1.496323,1.514068,1.538449,1.561307,1.601569,1.640132,1.689190", \ "1.473010,1.469226,1.475846,1.499104,1.557883,1.608803,1.662711", \ "1.590803,1.593981,1.592439,1.587072,1.564555,1.594447,1.648111", \ "1.778200,1.768518,1.760941,1.749222,1.724857,1.683011,1.677427", \ "2.081848,2.064224,2.052136,2.014841,1.974438,1.904385,1.811241"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("7.703902,7.720179,7.726620,7.758271,7.813166,7.830691,7.885257", \ "7.634022,7.652560,7.665500,7.682372,7.758389,7.780057,7.902319", \ "7.518094,7.538283,7.558102,7.599557,7.650124,7.746717,7.821063", \ "7.463601,7.483012,7.504556,7.542223,7.583322,7.683872,7.766450", \ "7.485153,7.500798,7.526720,7.535620,7.562116,7.640301,7.717856", \ "7.573699,7.632314,7.641064,7.672452,7.683133,7.680747,7.794964", \ "7.684420,7.722715,7.775867,7.855895,7.918951,7.908637,7.932350"); } } internal_power () { related_pin : "B2"; when : "A1 & A2 & !B1 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("2.386393,2.388617,2.412690,2.425100,2.476883,2.546401,2.622220", \ "2.309552,2.323883,2.331812,2.380399,2.425371,2.483706,2.556604", \ "2.238715,2.255145,2.277306,2.309732,2.356320,2.425014,2.506758", \ "2.189021,2.204561,2.230147,2.254098,2.313792,2.395017,2.470988", \ "2.301510,2.297045,2.292774,2.301905,2.306467,2.387368,2.457762", \ "2.494141,2.490106,2.475414,2.476338,2.462818,2.444762,2.484404", \ "2.790323,2.780147,2.774170,2.739247,2.713341,2.665999,2.598184"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("9.190039,9.203924,9.212824,9.236708,9.262386,9.289099,9.366279", \ "9.151016,9.168332,9.187665,9.196991,9.232250,9.323579,9.345308", \ "9.024525,9.044907,9.060314,9.090193,9.118068,9.225533,9.312384", \ "8.955390,8.965286,8.988768,9.015795,9.035363,9.148178,9.246523", \ "8.967466,8.973486,8.998702,8.980149,9.050351,9.094555,9.186059", \ "9.092753,9.092649,9.108346,9.113143,9.120086,9.126913,9.192411", \ "9.273039,9.302175,9.349027,9.381349,9.347901,9.312641,9.320999"); } } internal_power () { related_pin : "B2"; when : "A1 & A2 & !B1 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("1.681922,1.681006,1.688720,1.717196,1.732090,1.775925,1.808227", \ "1.604605,1.615169,1.623110,1.644158,1.673131,1.712549,1.743151", \ "1.524239,1.537393,1.551804,1.558842,1.595832,1.652210,1.688664", \ "1.459752,1.474542,1.495746,1.526741,1.560252,1.614347,1.655356", \ "1.584223,1.580649,1.575704,1.572120,1.549450,1.598096,1.651121", \ "1.771583,1.764661,1.757872,1.741180,1.712105,1.667457,1.678788", \ "2.080945,2.065813,2.041792,2.010705,1.961301,1.888321,1.790233"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("8.199993,8.215001,8.240203,8.231718,8.292772,8.367148,8.425010", \ "8.159858,8.178477,8.192822,8.228017,8.275538,8.344648,8.406683", \ "8.033023,8.054095,8.075925,8.129460,8.203879,8.244804,8.389484", \ "7.964200,7.984102,8.002206,8.042618,8.063611,8.167612,8.259512", \ "7.978009,7.993755,8.011726,8.036519,8.082153,8.116749,8.262727", \ "8.083749,8.112728,8.120106,8.129284,8.152826,8.209729,8.268126", \ "8.173627,8.215042,8.259056,8.353839,8.378799,8.393644,8.399356"); } } internal_power () { related_pin : "B2"; when : "A1 & A2 & !B1 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("1.645160,1.660065,1.666547,1.682702,1.712402,1.756193,1.795607", \ "1.573320,1.588603,1.596122,1.625720,1.650164,1.690240,1.729132", \ "1.486432,1.505444,1.519573,1.553908,1.587235,1.635266,1.672277", \ "1.472039,1.478415,1.474917,1.496106,1.541263,1.587696,1.652096", \ "1.600683,1.600818,1.598430,1.586309,1.574088,1.585836,1.640964", \ "1.788827,1.783543,1.775324,1.760113,1.736215,1.701529,1.669438", \ "2.100309,2.088251,2.069503,2.032255,1.984576,1.924522,1.834429"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("8.189650,8.204858,8.226559,8.234836,8.282676,8.357731,8.415174", \ "8.133344,8.151795,8.176428,8.190925,8.234692,8.317010,8.380247", \ "8.011757,8.031949,8.058039,8.095137,8.119725,8.217241,8.319081", \ "7.954779,7.973590,7.979288,8.025535,8.047118,8.146573,8.233148", \ "7.973104,7.988390,8.005082,8.007985,8.072991,8.102100,8.243120", \ "8.081896,8.110605,8.128146,8.152609,8.144668,8.202906,8.254474", \ "8.186888,8.218219,8.263663,8.355609,8.379398,8.391600,8.392559"); } } internal_power () { related_pin : "C1"; when : "!A1 & A2 & !B1 & B2 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("2.455597,2.471317,2.493675,2.528377,2.574640,2.628183,2.710354", \ "2.478243,2.496133,2.516064,2.563300,2.615747,2.683974,2.765996", \ "2.434335,2.455418,2.483926,2.536088,2.590062,2.690635,2.781370", \ "2.364671,2.384965,2.399156,2.461700,2.537929,2.639862,2.743321", \ "2.411068,2.414335,2.431578,2.456115,2.476029,2.584026,2.700634", \ "2.514007,2.513357,2.521997,2.546558,2.570325,2.590993,2.682861", \ "2.700903,2.701517,2.700349,2.712205,2.722375,2.731607,2.727064"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("10.330510,10.340430,10.359360,10.393050,10.424150,10.542970,10.663690", \ "10.241660,10.261860,10.285360,10.322240,10.364900,10.433590,10.555000", \ "10.122470,10.144470,10.181030,10.223910,10.296000,10.379300,10.513450", \ "10.147440,10.157540,10.168770,10.186540,10.272900,10.355620,10.485380", \ "10.337350,10.350080,10.359980,10.357050,10.367910,10.417010,10.524920", \ "10.742220,10.736820,10.738440,10.730470,10.695950,10.699270,10.703070", \ "11.345850,11.335720,11.318100,11.265760,11.244900,11.149520,11.089390"); } } internal_power () { related_pin : "C1"; when : "!A1 & A2 & B1 & !B2 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("1.743955,1.755572,1.767176,1.793330,1.816809,1.850055,1.894657", \ "1.759295,1.773460,1.792818,1.820589,1.854386,1.897437,1.945359", \ "1.694691,1.714514,1.740365,1.770870,1.822883,1.895533,1.959968", \ "1.597821,1.617592,1.643626,1.686575,1.754575,1.843536,1.922288", \ "1.660335,1.661904,1.676203,1.683494,1.698061,1.778769,1.873059", \ "1.758975,1.761851,1.772826,1.779652,1.793196,1.790778,1.848010", \ "1.958526,1.958876,1.956007,1.952365,1.944277,1.936390,1.902294"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("9.317659,9.339528,9.362283,9.405950,9.476732,9.544688,9.663277", \ "9.228055,9.251965,9.285236,9.331083,9.365064,9.492972,9.612692", \ "9.116569,9.133419,9.168967,9.221724,9.296376,9.438212,9.572981", \ "9.133128,9.154969,9.166451,9.195026,9.273372,9.412058,9.543787", \ "9.332336,9.340013,9.355359,9.363807,9.368036,9.476573,9.585232", \ "9.731176,9.727646,9.734495,9.718219,9.704608,9.702103,9.776400", \ "10.311690,10.327700,10.322370,10.293250,10.265320,10.154820,10.088670"); } } internal_power () { related_pin : "C1"; when : "!A1 & A2 & B1 & B2 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("1.724266,1.738829,1.751993,1.761787,1.790804,1.838483,1.875195", \ "1.738607,1.756871,1.767764,1.806483,1.842166,1.885441,1.933934", \ "1.671113,1.694637,1.724790,1.746683,1.815603,1.878569,1.945065", \ "1.601488,1.613293,1.632668,1.667157,1.730922,1.820907,1.906367", \ "1.662981,1.668334,1.681482,1.699298,1.711525,1.764445,1.862364", \ "1.761627,1.764297,1.778060,1.783296,1.800896,1.806310,1.841366", \ "1.968820,1.966781,1.955785,1.958136,1.950180,1.939761,1.925063"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("9.661524,9.683926,9.703401,9.760316,9.791585,9.916719,10.039240", \ "9.583808,9.599386,9.632163,9.675968,9.736452,9.867264,9.995650", \ "9.457729,9.475188,9.507255,9.572674,9.665257,9.754325,9.947047", \ "9.473129,9.486914,9.513757,9.539431,9.638866,9.721812,9.858109", \ "9.672128,9.680764,9.696263,9.702635,9.735756,9.787599,9.959244", \ "10.068420,10.066180,10.079580,10.079090,10.064440,10.076250,10.140690", \ "10.651390,10.675410,10.662400,10.633040,10.581900,10.529870,10.488730"); } } internal_power () { related_pin : "C1"; when : "A1 & !A2 & !B1 & B2 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("1.743955,1.755572,1.767176,1.793330,1.816809,1.850055,1.894657", \ "1.759295,1.773460,1.792818,1.820589,1.854386,1.897437,1.945359", \ "1.694691,1.714514,1.740365,1.770870,1.822883,1.895533,1.959968", \ "1.597821,1.617592,1.643626,1.686575,1.754575,1.843536,1.922288", \ "1.660335,1.661904,1.676203,1.683494,1.698061,1.778769,1.873059", \ "1.758975,1.761851,1.772826,1.779652,1.793196,1.790778,1.848010", \ "1.958526,1.958876,1.956007,1.952365,1.944277,1.936390,1.902294"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("9.317659,9.339528,9.362283,9.405950,9.476732,9.544688,9.663277", \ "9.228055,9.251965,9.285236,9.331083,9.365064,9.492972,9.612692", \ "9.116569,9.133419,9.168967,9.221724,9.296376,9.438212,9.572981", \ "9.133128,9.154969,9.166451,9.195026,9.273372,9.412058,9.543787", \ "9.332336,9.340013,9.355359,9.363807,9.368036,9.476573,9.585232", \ "9.731176,9.727646,9.734495,9.718219,9.704608,9.702103,9.776400", \ "10.311690,10.327700,10.322370,10.293250,10.265320,10.154820,10.088670"); } } internal_power () { related_pin : "C1"; when : "A1 & !A2 & B1 & !B2 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("1.065895,1.065857,1.063904,1.072831,1.068428,1.064155,1.077665", \ "1.067808,1.073925,1.078146,1.096356,1.098502,1.117239,1.124974", \ "0.962347,0.979881,0.999117,1.036952,1.066847,1.110370,1.138438", \ "0.860205,0.864290,0.880933,0.906036,0.978193,1.050424,1.102622", \ "0.913763,0.916242,0.921178,0.923418,0.921626,0.978668,1.050828", \ "1.020351,1.013587,1.018010,1.016570,1.010634,1.000996,1.020389", \ "1.228629,1.217918,1.207076,1.197789,1.165831,1.137825,1.083152"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("8.268178,8.296068,8.322263,8.373687,8.487145,8.582363,8.729872", \ "8.177741,8.210075,8.241511,8.296192,8.371882,8.499844,8.681033", \ "8.056180,8.087707,8.141186,8.209871,8.306063,8.446999,8.576173", \ "8.082261,8.110305,8.121066,8.195073,8.282963,8.418140,8.548307", \ "8.274373,8.295629,8.307085,8.323893,8.375359,8.484853,8.587572", \ "8.685901,8.695363,8.700774,8.706410,8.702457,8.770615,8.766718", \ "9.154171,9.188938,9.228271,9.272202,9.219703,9.221429,9.156705"); } } internal_power () { related_pin : "C1"; when : "A1 & !A2 & B1 & B2 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("1.060178,1.063380,1.068551,1.069967,1.064975,1.077300,1.077225", \ "1.062293,1.075337,1.072039,1.091368,1.099393,1.110812,1.129446", \ "0.953482,0.974281,0.991330,1.036932,1.077316,1.115567,1.141364", \ "0.876897,0.880666,0.890753,0.926937,0.980005,1.046953,1.104570", \ "0.922556,0.926209,0.933370,0.942031,0.950151,0.984112,1.053852", \ "1.024944,1.019448,1.021064,1.030331,1.027128,1.025473,1.020929", \ "1.242872,1.230429,1.218769,1.199895,1.179293,1.150798,1.113625"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("8.608025,8.636537,8.666483,8.713437,8.796693,8.958155,9.106271", \ "8.520481,8.551065,8.592049,8.658766,8.743517,8.876682,8.998382", \ "8.393390,8.425553,8.472001,8.545997,8.613797,8.757671,8.956220", \ "8.410006,8.437124,8.473569,8.533551,8.587892,8.742323,8.923517", \ "8.609859,8.632399,8.654915,8.685044,8.741315,8.854924,8.962711", \ "9.020596,9.029807,9.035967,9.045731,9.070359,9.083820,9.144207", \ "9.502465,9.531363,9.582617,9.637701,9.587931,9.536952,9.539494"); } } internal_power () { related_pin : "C1"; when : "A1 & A2 & !B1 & B2 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("1.733953,1.744232,1.760722,1.781562,1.814972,1.850279,1.884443", \ "1.747189,1.762843,1.785586,1.807688,1.850155,1.889692,1.940179", \ "1.692394,1.712039,1.734139,1.753499,1.830345,1.891748,1.949559", \ "1.604508,1.622724,1.636757,1.686472,1.741492,1.828352,1.918474", \ "1.662078,1.670510,1.679033,1.698481,1.705800,1.772427,1.868911", \ "1.767232,1.769388,1.770072,1.781801,1.798359,1.807004,1.847050", \ "1.971258,1.961618,1.958806,1.958403,1.948549,1.944337,1.922073"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("9.877834,9.889882,9.908369,9.937227,9.998568,10.116660,10.232750", \ "9.789337,9.806120,9.836651,9.886633,9.942017,10.008140,10.186160", \ "9.671128,9.695771,9.728877,9.768343,9.819554,9.955696,10.087150", \ "9.687857,9.708792,9.725955,9.756750,9.796983,9.927765,10.065480", \ "9.884787,9.892391,9.906606,9.919529,9.949001,9.995961,10.129710", \ "10.282850,10.280540,10.280490,10.272370,10.275810,10.284290,10.302330", \ "10.871210,10.876340,10.865740,10.846010,10.789680,10.734840,10.673890"); } } internal_power () { related_pin : "C1"; when : "A1 & A2 & B1 & !B2 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("1.068791,1.067369,1.059888,1.068657,1.072579,1.074819,1.073752", \ "1.062636,1.070158,1.076706,1.093895,1.097883,1.112901,1.128597", \ "0.955760,0.975054,1.000789,1.037516,1.065468,1.105603,1.143655", \ "0.871018,0.873237,0.886848,0.919875,0.986417,1.046913,1.103485", \ "0.919292,0.922303,0.923025,0.937090,0.942903,0.979028,1.055361", \ "1.018771,1.019635,1.014454,1.012527,1.022186,1.017819,1.025081", \ "1.232486,1.228014,1.212826,1.195408,1.175145,1.151920,1.105841"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("8.822676,8.848612,8.890574,8.943664,9.006099,9.124440,9.299083", \ "8.733578,8.771391,8.799989,8.855641,8.950963,9.075682,9.190112", \ "8.623618,8.653096,8.697379,8.759719,8.824949,8.961980,9.151562", \ "8.639953,8.666052,8.687683,8.724903,8.803080,8.932837,9.123152", \ "8.838140,8.859285,8.865835,8.886392,8.969832,9.064202,9.163635", \ "9.238735,9.248596,9.253608,9.255399,9.284720,9.292100,9.346631", \ "9.724052,9.753650,9.804629,9.834288,9.796334,9.743064,9.741876"); } } internal_power () { related_pin : "C1"; when : "A1 & A2 & B1 & B2 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("1.060625,1.069216,1.066906,1.064608,1.072200,1.079717,1.075360", \ "1.063754,1.073126,1.080131,1.093930,1.112530,1.121457,1.129387", \ "0.962543,0.983875,0.996447,1.037110,1.072705,1.108610,1.137895", \ "0.891712,0.898098,0.906517,0.922408,0.981776,1.051360,1.104653", \ "0.928085,0.933235,0.941374,0.953728,0.969448,0.986328,1.055920", \ "1.027242,1.028344,1.032606,1.034785,1.036399,1.040295,1.032512", \ "1.244169,1.237989,1.227347,1.212281,1.187975,1.168138,1.137229"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("9.192898,9.219307,9.252415,9.289438,9.390775,9.514225,9.626491", \ "9.106708,9.137115,9.177962,9.224465,9.341217,9.464184,9.588642", \ "8.981100,9.010825,9.061041,9.129040,9.210001,9.350575,9.546622", \ "8.996899,9.023122,9.052454,9.110927,9.185691,9.318811,9.513628", \ "9.194896,9.216914,9.243260,9.255086,9.342008,9.388228,9.553891", \ "9.592434,9.603465,9.612961,9.624899,9.638804,9.683025,9.739612", \ "10.093920,10.127540,10.169660,10.218130,10.183100,10.137410,10.076880"); } } internal_power () { related_pin : "C2"; when : "!A1 & A2 & !B1 & B2 & !C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("3.126438,3.140950,3.165559,3.208277,3.272568,3.338177,3.453131", \ "3.054845,3.071153,3.101045,3.133819,3.198785,3.291849,3.393592", \ "2.981553,3.000501,3.032210,3.067781,3.143696,3.216229,3.337608", \ "2.940117,2.959351,2.978789,3.023890,3.076858,3.185919,3.314271", \ "2.998176,3.005061,3.025415,3.033105,3.083319,3.185733,3.288024", \ "3.125674,3.127984,3.138143,3.159450,3.184753,3.195245,3.288079", \ "3.317955,3.315861,3.321259,3.321896,3.350102,3.373686,3.345228"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("11.445200,11.463660,11.484730,11.514570,11.558630,11.624470,11.741020", \ "11.382380,11.393770,11.426000,11.440680,11.488270,11.610760,11.732540", \ "11.306620,11.320350,11.349380,11.384810,11.454280,11.528740,11.656540", \ "11.263380,11.275300,11.291910,11.308260,11.408020,11.513310,11.616920", \ "11.276450,11.286630,11.309500,11.335180,11.385340,11.454230,11.583710", \ "11.384630,11.400230,11.410730,11.434320,11.451450,11.552080,11.664010", \ "11.661250,11.667370,11.667220,11.669320,11.666480,11.726420,11.791390"); } } internal_power () { related_pin : "C2"; when : "!A1 & A2 & B1 & !B2 & !C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("2.397410,2.411300,2.430231,2.457811,2.506328,2.563533,2.634737", \ "2.326629,2.341393,2.361341,2.392508,2.435900,2.503024,2.568918", \ "2.249539,2.265716,2.282901,2.324054,2.375487,2.428497,2.519030", \ "2.186542,2.204500,2.224833,2.249923,2.307489,2.394515,2.490889", \ "2.262964,2.270154,2.273598,2.287084,2.299821,2.366633,2.466195", \ "2.385258,2.391122,2.395336,2.400864,2.418707,2.402895,2.473685", \ "2.576598,2.575215,2.568552,2.577249,2.569889,2.574560,2.528389"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("10.441060,10.454280,10.484520,10.526140,10.557610,10.685310,10.816550", \ "10.369470,10.392290,10.422550,10.448290,10.518110,10.616510,10.731810", \ "10.292860,10.317260,10.350930,10.378890,10.471690,10.531140,10.714480", \ "10.248680,10.272880,10.286070,10.312300,10.408190,10.488410,10.677440", \ "10.261250,10.283890,10.305870,10.340200,10.385080,10.512570,10.642710", \ "10.375930,10.385720,10.406530,10.459080,10.504480,10.554590,10.663850", \ "10.590930,10.622760,10.671640,10.663040,10.718310,10.755980,10.792070"); } } internal_power () { related_pin : "C2"; when : "!A1 & A2 & B1 & B2 & !C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("2.354194,2.372709,2.396008,2.429143,2.476148,2.544089,2.611702", \ "2.280627,2.299986,2.320631,2.363790,2.409980,2.466832,2.544213", \ "2.213296,2.233884,2.253096,2.293660,2.347221,2.421711,2.499370", \ "2.156834,2.179371,2.205032,2.226920,2.300043,2.382894,2.470491", \ "2.259339,2.259310,2.275503,2.286469,2.290842,2.356778,2.447089", \ "2.380141,2.384642,2.383102,2.390318,2.412467,2.415518,2.448122", \ "2.578177,2.576162,2.578252,2.571964,2.577810,2.573126,2.543922"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("10.784660,10.798500,10.831450,10.870250,10.926510,11.053270,11.177050", \ "10.718030,10.741030,10.760620,10.816780,10.862680,10.990130,11.113920", \ "10.635700,10.660600,10.691850,10.719290,10.769720,10.905100,11.033090", \ "10.587700,10.612420,10.636410,10.677390,10.770260,10.856190,10.990710", \ "10.599430,10.612990,10.640020,10.670220,10.746750,10.824290,11.016440", \ "10.712630,10.722330,10.743410,10.789680,10.811660,10.919890,11.035240", \ "10.934290,10.977880,11.000230,11.027360,11.026750,11.094520,11.163030"); } } internal_power () { related_pin : "C2"; when : "A1 & !A2 & !B1 & B2 & !C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("2.397410,2.411300,2.430231,2.457811,2.506328,2.563533,2.634737", \ "2.326629,2.341393,2.361341,2.392508,2.435900,2.503024,2.568918", \ "2.249539,2.265716,2.282901,2.324054,2.375487,2.428497,2.519030", \ "2.186542,2.204500,2.224833,2.249923,2.307489,2.394515,2.490889", \ "2.262964,2.270154,2.273598,2.287084,2.299821,2.366633,2.466195", \ "2.385258,2.391122,2.395336,2.400864,2.418707,2.402895,2.473685", \ "2.576598,2.575215,2.568552,2.577249,2.569889,2.574560,2.528389"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("10.441060,10.454280,10.484520,10.526140,10.557610,10.685310,10.816550", \ "10.369470,10.392290,10.422550,10.448290,10.518110,10.616510,10.731810", \ "10.292860,10.317260,10.350930,10.378890,10.471690,10.531140,10.714480", \ "10.248680,10.272880,10.286070,10.312300,10.408190,10.488410,10.677440", \ "10.261250,10.283890,10.305870,10.340200,10.385080,10.512570,10.642710", \ "10.375930,10.385720,10.406530,10.459080,10.504480,10.554590,10.663850", \ "10.590930,10.622760,10.671640,10.663040,10.718310,10.755980,10.792070"); } } internal_power () { related_pin : "C2"; when : "A1 & !A2 & B1 & !B2 & !C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("1.687379,1.686768,1.696484,1.726182,1.750232,1.779576,1.819929", \ "1.615860,1.625789,1.639669,1.642400,1.678037,1.718521,1.754646", \ "1.529743,1.542405,1.558553,1.581679,1.613968,1.646055,1.701893", \ "1.448074,1.465699,1.485370,1.513681,1.550235,1.607711,1.671605", \ "1.544375,1.541819,1.539275,1.542312,1.524071,1.574204,1.646352", \ "1.654135,1.653358,1.651626,1.647971,1.643988,1.618994,1.642155", \ "1.840289,1.839112,1.830180,1.823309,1.806802,1.779656,1.713648"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("9.382526,9.410423,9.450451,9.501004,9.573241,9.689716,9.867444", \ "9.319896,9.348415,9.385698,9.418175,9.494985,9.619747,9.798132", \ "9.242556,9.272655,9.299449,9.372856,9.464330,9.597473,9.719546", \ "9.187739,9.227711,9.245900,9.318269,9.417748,9.553342,9.680668", \ "9.201486,9.228947,9.277812,9.308861,9.392294,9.521352,9.709529", \ "9.322489,9.346538,9.381862,9.409150,9.513713,9.623509,9.729189", \ "9.434469,9.483022,9.556903,9.675885,9.729070,9.736619,9.860467"); } } internal_power () { related_pin : "C2"; when : "A1 & !A2 & B1 & B2 & !C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("1.667534,1.668318,1.689638,1.709835,1.732498,1.765817,1.800557", \ "1.593522,1.606898,1.621659,1.637875,1.670467,1.706425,1.745882", \ "1.503521,1.520039,1.541634,1.554799,1.601331,1.645723,1.692150", \ "1.447036,1.445659,1.471714,1.504164,1.544992,1.600898,1.655760", \ "1.537697,1.542649,1.550528,1.552012,1.534835,1.572935,1.631463", \ "1.655277,1.654245,1.652115,1.649203,1.650876,1.633999,1.635534", \ "1.847971,1.844266,1.840366,1.828458,1.811896,1.790046,1.736655"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("9.722146,9.750695,9.784413,9.830540,9.933501,10.061490,10.179850", \ "9.664353,9.693573,9.725948,9.772052,9.892112,9.997179,10.116320", \ "9.571502,9.612260,9.644733,9.709713,9.774726,9.909816,10.099370", \ "9.522711,9.553460,9.601456,9.632720,9.727610,9.862561,10.057610", \ "9.534832,9.563223,9.602474,9.661044,9.755003,9.890170,10.019360", \ "9.655446,9.679719,9.707096,9.766017,9.817018,9.927202,10.100750", \ "9.780503,9.831548,9.889268,10.012570,10.032060,10.102770,10.232740"); } } internal_power () { related_pin : "C2"; when : "A1 & A2 & !B1 & B2 & !C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("2.377910,2.393305,2.412704,2.437370,2.489285,2.540661,2.618934", \ "2.306324,2.321704,2.347047,2.358841,2.419070,2.481215,2.551970", \ "2.238810,2.254694,2.276758,2.308198,2.356650,2.417306,2.501846", \ "2.183251,2.201537,2.220215,2.238543,2.310669,2.384033,2.470261", \ "2.267590,2.274404,2.279263,2.291225,2.292931,2.364516,2.451116", \ "2.390055,2.393308,2.394427,2.393816,2.411727,2.412974,2.448453", \ "2.583552,2.580197,2.576159,2.574233,2.578038,2.570027,2.541268"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("10.992990,11.014320,11.042270,11.069800,11.133330,11.195350,11.370160", \ "10.932870,10.945490,10.971930,11.001210,11.066140,11.188680,11.305180", \ "10.847650,10.870560,10.902570,10.951290,10.979920,11.107150,11.231240", \ "10.802370,10.825820,10.852190,10.898640,10.930040,11.061060,11.204360", \ "10.813130,10.835190,10.863740,10.888420,10.962880,11.030120,11.216400", \ "10.925900,10.944670,10.961870,10.983520,11.023860,11.127200,11.255660", \ "11.154400,11.198500,11.220070,11.239400,11.237870,11.302900,11.387310"); } } internal_power () { related_pin : "C2"; when : "A1 & A2 & B1 & !B2 & !C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("1.675507,1.685036,1.689583,1.713479,1.730055,1.759579,1.808702", \ "1.603251,1.613433,1.631530,1.645822,1.665492,1.708584,1.739643", \ "1.526219,1.537666,1.540280,1.576598,1.596788,1.653125,1.688572", \ "1.448672,1.466108,1.484688,1.511547,1.539292,1.609979,1.655459", \ "1.542168,1.545271,1.549329,1.549987,1.536912,1.577234,1.637057", \ "1.660143,1.658769,1.657472,1.649250,1.647971,1.626965,1.635180", \ "1.848715,1.844367,1.835525,1.826778,1.809595,1.785863,1.728672"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("9.947337,9.973497,10.006360,10.048600,10.141640,10.263140,10.374220", \ "9.877513,9.904027,9.948502,9.984095,10.075550,10.196520,10.308900", \ "9.800294,9.828353,9.866514,9.922652,9.986414,10.114670,10.295840", \ "9.756008,9.783839,9.812451,9.883060,9.935987,10.067250,10.256010", \ "9.765079,9.794534,9.826958,9.873136,9.968344,10.096770,10.218880", \ "9.882139,9.904827,9.920369,9.985767,10.031050,10.135900,10.301470", \ "10.004670,10.055240,10.126270,10.216240,10.244630,10.310470,10.369820"); } } internal_power () { related_pin : "C2"; when : "A1 & A2 & B1 & B2 & !C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("1.645148,1.658952,1.673315,1.690914,1.723696,1.747023,1.790291", \ "1.583457,1.597483,1.600143,1.631311,1.653337,1.690099,1.731428", \ "1.501508,1.505564,1.531591,1.556802,1.591811,1.633690,1.682169", \ "1.454544,1.452861,1.462644,1.477455,1.534621,1.590308,1.648779", \ "1.545235,1.546861,1.552065,1.552445,1.556164,1.558620,1.626260", \ "1.662912,1.659975,1.665654,1.663193,1.649784,1.641257,1.628385", \ "1.858423,1.849211,1.848276,1.834283,1.824268,1.799001,1.748770"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("10.306440,10.333670,10.375560,10.417240,10.469130,10.589060,10.764690", \ "10.252690,10.279840,10.307260,10.349990,10.467050,10.591540,10.707420", \ "10.159600,10.198230,10.239190,10.286280,10.373810,10.505100,10.693200", \ "10.109920,10.148210,10.182090,10.226860,10.318100,10.453610,10.648010", \ "10.119010,10.145970,10.183310,10.237510,10.292140,10.420780,10.607290", \ "10.235560,10.258250,10.290190,10.330020,10.410300,10.519430,10.623210", \ "10.371630,10.424340,10.490980,10.593050,10.623050,10.692890,10.756080"); } } } } /****************************************************************************************** Module : OAI222_X4 Cell Description : Combinational cell (OAI222_X4) with drive strength X4 *******************************************************************************************/ cell (OAI222_X4) { drive_strength : 4; area : 3.724000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 86.588115; leakage_power () { when : "!A1 & !A2 & !B1 & !B2 & !C1 & !C2"; value : 70.930610; } leakage_power () { when : "!A1 & !A2 & !B1 & !B2 & !C1 & C2"; value : 78.028611; } leakage_power () { when : "!A1 & !A2 & !B1 & !B2 & C1 & !C2"; value : 78.028611; } leakage_power () { when : "!A1 & !A2 & !B1 & !B2 & C1 & C2"; value : 83.099065; } leakage_power () { when : "!A1 & !A2 & !B1 & B2 & !C1 & !C2"; value : 73.509993; } leakage_power () { when : "!A1 & !A2 & !B1 & B2 & !C1 & C2"; value : 101.419159; } leakage_power () { when : "!A1 & !A2 & !B1 & B2 & C1 & !C2"; value : 101.419159; } leakage_power () { when : "!A1 & !A2 & !B1 & B2 & C1 & C2"; value : 106.501146; } leakage_power () { when : "!A1 & !A2 & B1 & !B2 & !C1 & !C2"; value : 73.509993; } leakage_power () { when : "!A1 & !A2 & B1 & !B2 & !C1 & C2"; value : 101.419159; } leakage_power () { when : "!A1 & !A2 & B1 & !B2 & C1 & !C2"; value : 101.419254; } leakage_power () { when : "!A1 & !A2 & B1 & !B2 & C1 & C2"; value : 106.501241; } leakage_power () { when : "!A1 & !A2 & B1 & B2 & !C1 & !C2"; value : 73.921647; } leakage_power () { when : "!A1 & !A2 & B1 & B2 & !C1 & C2"; value : 106.492530; } leakage_power () { when : "!A1 & !A2 & B1 & B2 & C1 & !C2"; value : 106.492625; } leakage_power () { when : "!A1 & !A2 & B1 & B2 & C1 & C2"; value : 111.577538; } leakage_power () { when : "!A1 & A2 & !B1 & !B2 & !C1 & !C2"; value : 72.302968; } leakage_power () { when : "!A1 & A2 & !B1 & !B2 & !C1 & C2"; value : 87.430524; } leakage_power () { when : "!A1 & A2 & !B1 & !B2 & C1 & !C2"; value : 87.430524; } leakage_power () { when : "!A1 & A2 & !B1 & !B2 & C1 & C2"; value : 92.504010; } leakage_power () { when : "!A1 & A2 & !B1 & B2 & !C1 & !C2"; value : 81.818759; } leakage_power () { when : "!A1 & A2 & !B1 & B2 & !C1 & C2"; value : 80.924163; } leakage_power () { when : "!A1 & A2 & !B1 & B2 & C1 & !C2"; value : 82.292344; } leakage_power () { when : "!A1 & A2 & !B1 & B2 & C1 & C2"; value : 84.400261; } leakage_power () { when : "!A1 & A2 & B1 & !B2 & !C1 & !C2"; value : 81.818852; } leakage_power () { when : "!A1 & A2 & B1 & !B2 & !C1 & C2"; value : 82.292334; } leakage_power () { when : "!A1 & A2 & B1 & !B2 & C1 & !C2"; value : 83.660430; } leakage_power () { when : "!A1 & A2 & B1 & !B2 & C1 & C2"; value : 85.768327; } leakage_power () { when : "!A1 & A2 & B1 & B2 & !C1 & !C2"; value : 81.853661; } leakage_power () { when : "!A1 & A2 & B1 & B2 & !C1 & C2"; value : 84.402313; } leakage_power () { when : "!A1 & A2 & B1 & B2 & C1 & !C2"; value : 85.770189; } leakage_power () { when : "!A1 & A2 & B1 & B2 & C1 & C2"; value : 87.878486; } leakage_power () { when : "A1 & !A2 & !B1 & !B2 & !C1 & !C2"; value : 72.302968; } leakage_power () { when : "A1 & !A2 & !B1 & !B2 & !C1 & C2"; value : 87.430524; } leakage_power () { when : "A1 & !A2 & !B1 & !B2 & C1 & !C2"; value : 87.430524; } leakage_power () { when : "A1 & !A2 & !B1 & !B2 & C1 & C2"; value : 92.504010; } leakage_power () { when : "A1 & !A2 & !B1 & B2 & !C1 & !C2"; value : 81.818854; } leakage_power () { when : "A1 & !A2 & !B1 & B2 & !C1 & C2"; value : 82.292344; } leakage_power () { when : "A1 & !A2 & !B1 & B2 & C1 & !C2"; value : 83.660534; } leakage_power () { when : "A1 & !A2 & !B1 & B2 & C1 & C2"; value : 85.768327; } leakage_power () { when : "A1 & !A2 & B1 & !B2 & !C1 & !C2"; value : 81.818852; } leakage_power () { when : "A1 & !A2 & B1 & !B2 & !C1 & C2"; value : 83.660524; } leakage_power () { when : "A1 & !A2 & B1 & !B2 & C1 & !C2"; value : 85.028515; } leakage_power () { when : "A1 & !A2 & B1 & !B2 & C1 & C2"; value : 87.136280; } leakage_power () { when : "A1 & !A2 & B1 & B2 & !C1 & !C2"; value : 81.853661; } leakage_power () { when : "A1 & !A2 & B1 & B2 & !C1 & C2"; value : 85.770190; } leakage_power () { when : "A1 & !A2 & B1 & B2 & C1 & !C2"; value : 87.137962; } leakage_power () { when : "A1 & !A2 & B1 & B2 & C1 & C2"; value : 89.246258; } leakage_power () { when : "A1 & A2 & !B1 & !B2 & !C1 & !C2"; value : 71.402786; } leakage_power () { when : "A1 & A2 & !B1 & !B2 & !C1 & C2"; value : 87.117300; } leakage_power () { when : "A1 & A2 & !B1 & !B2 & C1 & !C2"; value : 87.117300; } leakage_power () { when : "A1 & A2 & !B1 & !B2 & C1 & C2"; value : 92.191081; } leakage_power () { when : "A1 & A2 & !B1 & B2 & !C1 & !C2"; value : 81.100372; } leakage_power () { when : "A1 & A2 & !B1 & B2 & !C1 & C2"; value : 84.405040; } leakage_power () { when : "A1 & A2 & !B1 & B2 & C1 & !C2"; value : 85.772726; } leakage_power () { when : "A1 & A2 & !B1 & B2 & C1 & C2"; value : 87.881317; } leakage_power () { when : "A1 & A2 & B1 & !B2 & !C1 & !C2"; value : 81.100370; } leakage_power () { when : "A1 & A2 & B1 & !B2 & !C1 & C2"; value : 85.772726; } leakage_power () { when : "A1 & A2 & B1 & !B2 & C1 & !C2"; value : 87.140403; } leakage_power () { when : "A1 & A2 & B1 & !B2 & C1 & C2"; value : 89.248919; } leakage_power () { when : "A1 & A2 & B1 & B2 & !C1 & !C2"; value : 81.217570; } leakage_power () { when : "A1 & A2 & B1 & B2 & !C1 & C2"; value : 87.882894; } leakage_power () { when : "A1 & A2 & B1 & B2 & C1 & !C2"; value : 89.250286; } leakage_power () { when : "A1 & A2 & B1 & B2 & C1 & C2"; value : 91.359467; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.539228; fall_capacitance : 1.366528; rise_capacitance : 1.539228; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.536722; fall_capacitance : 1.514867; rise_capacitance : 1.536722; } pin (B1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.584710; fall_capacitance : 1.399949; rise_capacitance : 1.584710; } pin (B2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.584769; fall_capacitance : 1.539450; rise_capacitance : 1.584769; } pin (C1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.590669; fall_capacitance : 1.387907; rise_capacitance : 1.590669; } pin (C2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.588021; fall_capacitance : 1.521093; rise_capacitance : 1.588021; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 242.248800; function : "!(!(!(((A1 | A2) & (B1 | B2)) & (C1 | C2))))"; timing () { related_pin : "A1"; when : "!A2 & !B1 & B2 & !C1 & C2"; sdf_cond : "(A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.165319,0.176104,0.184228,0.197211,0.218613,0.256289,0.327574", \ "0.169948,0.180735,0.188853,0.201842,0.223243,0.260920,0.332213", \ "0.185086,0.195868,0.203993,0.216982,0.238374,0.276050,0.347347", \ "0.214738,0.225521,0.233597,0.246392,0.267790,0.305476,0.376757", \ "0.255824,0.266682,0.274795,0.287463,0.308857,0.346577,0.417836", \ "0.295799,0.306744,0.314957,0.327845,0.349366,0.387119,0.458410", \ "0.331664,0.343029,0.351410,0.364573,0.386264,0.424120,0.495418"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.241690,0.261260,0.278021,0.310117,0.374159,0.502205,0.758004", \ "0.244597,0.264173,0.280940,0.313006,0.377070,0.505112,0.760919", \ "0.257475,0.277048,0.293812,0.325892,0.389956,0.517985,0.773806", \ "0.288307,0.307887,0.324619,0.356544,0.420562,0.548623,0.804413", \ "0.340886,0.360472,0.377205,0.409056,0.473100,0.601132,0.856915", \ "0.411548,0.431623,0.448470,0.480361,0.544222,0.672189,0.927964", \ "0.488591,0.509892,0.527145,0.559115,0.622781,0.750639,1.006300"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013903,0.017763,0.021425,0.028468,0.042536,0.071730,0.132975", \ "0.013903,0.017764,0.021425,0.028467,0.042536,0.071729,0.132978", \ "0.013903,0.017762,0.021424,0.028468,0.042535,0.071730,0.132976", \ "0.013891,0.017754,0.021417,0.028462,0.042531,0.071728,0.132973", \ "0.014141,0.017951,0.021581,0.028585,0.042609,0.071763,0.132987", \ "0.014624,0.018366,0.021951,0.028893,0.042833,0.071898,0.133052", \ "0.015562,0.019155,0.022639,0.029442,0.043215,0.072108,0.133106"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.020051,0.030466,0.043309,0.072343,0.133018,0.255258,0.500095", \ "0.020052,0.030466,0.043310,0.072347,0.133019,0.255259,0.500093", \ "0.020056,0.030467,0.043308,0.072341,0.133032,0.255254,0.500081", \ "0.020056,0.030468,0.043309,0.072338,0.133026,0.255255,0.500085", \ "0.020093,0.030497,0.043327,0.072347,0.133031,0.255263,0.500085", \ "0.021158,0.031283,0.043735,0.072474,0.133064,0.255264,0.500101", \ "0.023360,0.033297,0.045024,0.072960,0.133233,0.255333,0.500124"); } } timing () { related_pin : "A1"; when : "!A2 & !B1 & B2 & C1 & !C2"; sdf_cond : "(A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.153322,0.164043,0.172130,0.185073,0.206438,0.244080,0.315366", \ "0.157917,0.168640,0.176727,0.189671,0.211028,0.248675,0.319961", \ "0.173067,0.183783,0.191876,0.204810,0.226164,0.263828,0.335108", \ "0.202530,0.213282,0.221315,0.234130,0.255499,0.293120,0.364379", \ "0.240429,0.251203,0.259273,0.271913,0.293291,0.330981,0.402272", \ "0.276090,0.287049,0.295251,0.308142,0.329644,0.367379,0.438666", \ "0.307400,0.318825,0.327227,0.340399,0.362123,0.399979,0.471276"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.234935,0.253640,0.270164,0.302241,0.366394,0.494519,0.750356", \ "0.237818,0.256536,0.273066,0.305135,0.369290,0.497403,0.753233", \ "0.250694,0.269406,0.285922,0.318019,0.382157,0.510273,0.766121", \ "0.281575,0.300290,0.316786,0.348712,0.412835,0.540931,0.796778", \ "0.334233,0.352957,0.369440,0.401304,0.465441,0.593525,0.849350", \ "0.404406,0.423617,0.440216,0.472022,0.536012,0.664050,0.919878", \ "0.480496,0.500828,0.517669,0.549440,0.613237,0.741190,0.996948"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013716,0.017600,0.021275,0.028339,0.042439,0.071664,0.132945", \ "0.013721,0.017600,0.021276,0.028339,0.042437,0.071664,0.132942", \ "0.013717,0.017597,0.021274,0.028339,0.042437,0.071666,0.132947", \ "0.013723,0.017601,0.021277,0.028339,0.042437,0.071666,0.132946", \ "0.014031,0.017881,0.021526,0.028541,0.042570,0.071733,0.132966", \ "0.014610,0.018346,0.021928,0.028866,0.042807,0.071871,0.133037", \ "0.015694,0.019258,0.022725,0.029501,0.043251,0.072116,0.133099"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.018611,0.029199,0.042531,0.072002,0.132856,0.255161,0.500032", \ "0.018612,0.029193,0.042530,0.072006,0.132861,0.255161,0.500033", \ "0.018613,0.029194,0.042531,0.072008,0.132854,0.255153,0.500043", \ "0.018617,0.029196,0.042530,0.072006,0.132862,0.255154,0.500028", \ "0.018666,0.029230,0.042547,0.072015,0.132859,0.255160,0.500045", \ "0.019794,0.029977,0.042912,0.072112,0.132908,0.255174,0.500034", \ "0.021876,0.031666,0.043884,0.072452,0.133008,0.255212,0.500055"); } } timing () { related_pin : "A1"; when : "!A2 & !B1 & B2 & C1 & C2"; sdf_cond : "(A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.137526,0.148082,0.156074,0.168902,0.190152,0.227721,0.298968", \ "0.142315,0.152870,0.160865,0.173687,0.194939,0.232507,0.303754", \ "0.158318,0.168868,0.176854,0.189678,0.210928,0.248504,0.319755", \ "0.188793,0.199372,0.207325,0.220007,0.241265,0.278810,0.350006", \ "0.225104,0.235711,0.243702,0.256198,0.277457,0.315098,0.386342", \ "0.258894,0.269664,0.277784,0.290545,0.311923,0.349580,0.420808", \ "0.288076,0.299306,0.307603,0.320660,0.342251,0.380022,0.451273"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.234777,0.253491,0.270020,0.302088,0.366240,0.494367,0.750226", \ "0.237426,0.256135,0.272666,0.304736,0.368887,0.497001,0.752832", \ "0.250236,0.268953,0.285470,0.317563,0.381704,0.509820,0.765671", \ "0.281359,0.300076,0.316568,0.348557,0.412675,0.540800,0.796604", \ "0.334256,0.352980,0.369493,0.401336,0.465470,0.593559,0.849379", \ "0.404678,0.423894,0.440465,0.472347,0.536311,0.664353,0.920168", \ "0.481131,0.501456,0.518287,0.550120,0.613870,0.741829,0.997591"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013252,0.017179,0.020895,0.028015,0.042187,0.071507,0.132879", \ "0.013252,0.017179,0.020896,0.028014,0.042189,0.071508,0.132880", \ "0.013252,0.017179,0.020894,0.028014,0.042187,0.071507,0.132883", \ "0.013269,0.017191,0.020904,0.028020,0.042191,0.071507,0.132876", \ "0.013590,0.017467,0.021150,0.028227,0.042337,0.071582,0.132902", \ "0.014166,0.017938,0.021550,0.028537,0.042548,0.071701,0.132955", \ "0.015241,0.018835,0.022329,0.029157,0.042975,0.071932,0.133016"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.018613,0.029194,0.042531,0.072002,0.132857,0.255162,0.500039", \ "0.018613,0.029193,0.042528,0.072008,0.132857,0.255161,0.500033", \ "0.018614,0.029194,0.042530,0.072006,0.132860,0.255158,0.500045", \ "0.018618,0.029198,0.042530,0.072005,0.132863,0.255160,0.500028", \ "0.018667,0.029230,0.042546,0.072015,0.132860,0.255166,0.500039", \ "0.019787,0.029969,0.042909,0.072111,0.132902,0.255165,0.500046", \ "0.021853,0.031650,0.043873,0.072448,0.133018,0.255212,0.500057"); } } timing () { related_pin : "A1"; when : "!A2 & B1 & !B2 & !C1 & C2"; sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.153322,0.164043,0.172130,0.185073,0.206438,0.244080,0.315366", \ "0.157917,0.168640,0.176727,0.189671,0.211028,0.248675,0.319961", \ "0.173067,0.183783,0.191876,0.204810,0.226164,0.263828,0.335108", \ "0.202530,0.213282,0.221315,0.234130,0.255499,0.293120,0.364379", \ "0.240429,0.251203,0.259273,0.271913,0.293291,0.330981,0.402272", \ "0.276090,0.287049,0.295251,0.308142,0.329644,0.367379,0.438666", \ "0.307400,0.318825,0.327227,0.340399,0.362123,0.399979,0.471276"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.234935,0.253640,0.270164,0.302241,0.366394,0.494519,0.750356", \ "0.237818,0.256536,0.273066,0.305135,0.369290,0.497403,0.753233", \ "0.250694,0.269406,0.285922,0.318019,0.382157,0.510273,0.766121", \ "0.281575,0.300290,0.316786,0.348712,0.412835,0.540931,0.796778", \ "0.334233,0.352957,0.369440,0.401304,0.465441,0.593525,0.849350", \ "0.404406,0.423617,0.440216,0.472022,0.536012,0.664050,0.919878", \ "0.480496,0.500828,0.517669,0.549440,0.613237,0.741190,0.996948"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013716,0.017600,0.021275,0.028339,0.042439,0.071664,0.132945", \ "0.013721,0.017600,0.021276,0.028339,0.042437,0.071664,0.132942", \ "0.013717,0.017597,0.021274,0.028339,0.042437,0.071666,0.132947", \ "0.013723,0.017601,0.021277,0.028339,0.042437,0.071666,0.132946", \ "0.014031,0.017881,0.021526,0.028541,0.042570,0.071733,0.132966", \ "0.014610,0.018346,0.021928,0.028866,0.042807,0.071871,0.133037", \ "0.015694,0.019258,0.022725,0.029501,0.043251,0.072116,0.133099"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.018611,0.029199,0.042531,0.072002,0.132856,0.255161,0.500032", \ "0.018612,0.029193,0.042530,0.072006,0.132861,0.255161,0.500033", \ "0.018613,0.029194,0.042531,0.072008,0.132854,0.255153,0.500043", \ "0.018617,0.029196,0.042530,0.072006,0.132862,0.255154,0.500028", \ "0.018666,0.029230,0.042547,0.072015,0.132859,0.255160,0.500045", \ "0.019794,0.029977,0.042912,0.072112,0.132908,0.255174,0.500034", \ "0.021876,0.031666,0.043884,0.072452,0.133008,0.255212,0.500055"); } } timing () { related_pin : "A1"; when : "!A2 & B1 & !B2 & C1 & !C2"; sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.141578,0.152243,0.160291,0.173183,0.194495,0.232106,0.303380", \ "0.146141,0.156791,0.164844,0.177735,0.199047,0.236665,0.307940", \ "0.161204,0.171856,0.179899,0.192790,0.214104,0.251727,0.322999", \ "0.190031,0.200732,0.208737,0.221521,0.242861,0.280462,0.351669", \ "0.223939,0.234656,0.242743,0.255338,0.276694,0.314378,0.385637", \ "0.255043,0.266032,0.274234,0.287117,0.308624,0.346351,0.417611", \ "0.281500,0.293016,0.301459,0.314667,0.336402,0.374281,0.445564"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.227864,0.245734,0.262138,0.294251,0.358463,0.486642,0.742508", \ "0.230727,0.248612,0.264986,0.297106,0.361328,0.489532,0.745374", \ "0.243606,0.261491,0.277841,0.310029,0.374210,0.502387,0.758261", \ "0.274529,0.292412,0.308742,0.340770,0.404976,0.533140,0.789017", \ "0.327285,0.345184,0.361504,0.393393,0.457576,0.585768,0.841624", \ "0.396895,0.415244,0.431594,0.463443,0.527539,0.655636,0.911506", \ "0.472056,0.491344,0.507821,0.539546,0.603453,0.731493,0.987334"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013521,0.017420,0.021115,0.028203,0.042332,0.071596,0.132916", \ "0.013519,0.017422,0.021114,0.028203,0.042331,0.071599,0.132917", \ "0.013518,0.017419,0.021114,0.028202,0.042333,0.071598,0.132913", \ "0.013564,0.017455,0.021143,0.028224,0.042346,0.071605,0.132912", \ "0.013966,0.017803,0.021456,0.028488,0.042540,0.071709,0.132944", \ "0.014647,0.018367,0.021940,0.028866,0.042798,0.071858,0.133019", \ "0.015929,0.019446,0.022879,0.029620,0.043323,0.072148,0.133101"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.017069,0.028036,0.041872,0.071728,0.132710,0.255048,0.499997", \ "0.017068,0.028035,0.041872,0.071728,0.132708,0.255063,0.499996", \ "0.017069,0.028035,0.041876,0.071728,0.132706,0.255057,0.500008", \ "0.017077,0.028036,0.041872,0.071732,0.132708,0.255063,0.499998", \ "0.017136,0.028068,0.041888,0.071738,0.132708,0.255052,0.500008", \ "0.018307,0.028720,0.042186,0.071814,0.132752,0.255061,0.500002", \ "0.020217,0.030043,0.042855,0.072030,0.132811,0.255104,0.500007"); } } timing () { related_pin : "A1"; when : "!A2 & B1 & !B2 & C1 & C2"; sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.127970,0.138469,0.146425,0.159214,0.180423,0.217972,0.289199", \ "0.132716,0.143216,0.151177,0.163960,0.185184,0.222718,0.293948", \ "0.148673,0.159173,0.167125,0.179907,0.201121,0.238679,0.309905", \ "0.177971,0.188526,0.196436,0.209089,0.230316,0.267839,0.339036", \ "0.210373,0.220934,0.228909,0.241384,0.262621,0.300216,0.371486", \ "0.239804,0.250601,0.258726,0.271485,0.292862,0.330514,0.401733", \ "0.264335,0.275662,0.283998,0.297089,0.318712,0.356499,0.427743"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.227710,0.245595,0.261967,0.294081,0.358312,0.486492,0.742351", \ "0.230341,0.248214,0.264589,0.296702,0.360927,0.489141,0.744973", \ "0.243151,0.261037,0.277397,0.309576,0.373762,0.501932,0.757809", \ "0.274313,0.292197,0.308529,0.340549,0.404756,0.532918,0.788804", \ "0.327304,0.345203,0.361533,0.393434,0.457606,0.585795,0.841654", \ "0.397176,0.415526,0.431885,0.463750,0.527830,0.655954,0.911825", \ "0.472704,0.491983,0.508475,0.540188,0.604102,0.732140,0.987987"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013079,0.017029,0.020759,0.027898,0.042099,0.071450,0.132853", \ "0.013080,0.017029,0.020759,0.027899,0.042100,0.071449,0.132857", \ "0.013081,0.017029,0.020759,0.027898,0.042101,0.071451,0.132857", \ "0.013146,0.017080,0.020801,0.027929,0.042118,0.071460,0.132860", \ "0.013538,0.017415,0.021097,0.028174,0.042303,0.071566,0.132889", \ "0.014216,0.017971,0.021572,0.028545,0.042546,0.071692,0.132945", \ "0.015480,0.019024,0.022488,0.029276,0.043050,0.071964,0.133015"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.017069,0.028035,0.041873,0.071726,0.132707,0.255062,0.500006", \ "0.017074,0.028033,0.041873,0.071731,0.132707,0.255051,0.499996", \ "0.017071,0.028034,0.041876,0.071730,0.132708,0.255060,0.500007", \ "0.017074,0.028036,0.041872,0.071732,0.132707,0.255054,0.500009", \ "0.017136,0.028068,0.041889,0.071735,0.132710,0.255058,0.500008", \ "0.018301,0.028716,0.042186,0.071812,0.132744,0.255061,0.500001", \ "0.020202,0.030034,0.042849,0.072024,0.132820,0.255095,0.500022"); } } timing () { related_pin : "A1"; when : "!A2 & B1 & B2 & !C1 & C2"; sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.137526,0.148082,0.156074,0.168902,0.190152,0.227721,0.298968", \ "0.142315,0.152870,0.160865,0.173687,0.194939,0.232507,0.303754", \ "0.158318,0.168868,0.176854,0.189678,0.210928,0.248504,0.319755", \ "0.188793,0.199372,0.207325,0.220007,0.241265,0.278810,0.350006", \ "0.225104,0.235711,0.243702,0.256198,0.277457,0.315098,0.386342", \ "0.258894,0.269664,0.277784,0.290545,0.311923,0.349580,0.420808", \ "0.288076,0.299306,0.307603,0.320660,0.342251,0.380022,0.451273"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.234777,0.253491,0.270020,0.302088,0.366240,0.494367,0.750226", \ "0.237426,0.256135,0.272666,0.304736,0.368887,0.497001,0.752832", \ "0.250236,0.268953,0.285470,0.317563,0.381704,0.509820,0.765671", \ "0.281359,0.300076,0.316568,0.348557,0.412675,0.540800,0.796604", \ "0.334256,0.352980,0.369493,0.401336,0.465470,0.593559,0.849379", \ "0.404678,0.423894,0.440465,0.472347,0.536311,0.664353,0.920168", \ "0.481131,0.501456,0.518287,0.550120,0.613870,0.741829,0.997591"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013252,0.017179,0.020895,0.028015,0.042187,0.071507,0.132879", \ "0.013252,0.017179,0.020896,0.028014,0.042189,0.071508,0.132880", \ "0.013252,0.017179,0.020894,0.028014,0.042187,0.071507,0.132883", \ "0.013269,0.017191,0.020904,0.028020,0.042191,0.071507,0.132876", \ "0.013590,0.017467,0.021150,0.028227,0.042337,0.071582,0.132902", \ "0.014166,0.017938,0.021550,0.028537,0.042548,0.071701,0.132955", \ "0.015241,0.018835,0.022329,0.029157,0.042975,0.071932,0.133016"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.018613,0.029194,0.042531,0.072002,0.132857,0.255162,0.500039", \ "0.018613,0.029193,0.042528,0.072008,0.132857,0.255161,0.500033", \ "0.018614,0.029194,0.042530,0.072006,0.132860,0.255158,0.500045", \ "0.018618,0.029198,0.042530,0.072005,0.132863,0.255160,0.500028", \ "0.018667,0.029230,0.042546,0.072015,0.132860,0.255166,0.500039", \ "0.019787,0.029969,0.042909,0.072111,0.132902,0.255165,0.500046", \ "0.021853,0.031650,0.043873,0.072448,0.133018,0.255212,0.500057"); } } timing () { related_pin : "A1"; when : "!A2 & B1 & B2 & C1 & !C2"; sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.127970,0.138469,0.146425,0.159214,0.180423,0.217972,0.289199", \ "0.132716,0.143216,0.151177,0.163960,0.185184,0.222718,0.293948", \ "0.148673,0.159173,0.167125,0.179907,0.201121,0.238679,0.309905", \ "0.177971,0.188526,0.196436,0.209089,0.230316,0.267839,0.339036", \ "0.210373,0.220934,0.228909,0.241384,0.262621,0.300216,0.371486", \ "0.239804,0.250601,0.258726,0.271485,0.292862,0.330514,0.401733", \ "0.264335,0.275662,0.283998,0.297089,0.318712,0.356499,0.427743"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.227710,0.245595,0.261967,0.294081,0.358312,0.486492,0.742351", \ "0.230341,0.248214,0.264589,0.296702,0.360927,0.489141,0.744973", \ "0.243151,0.261037,0.277397,0.309576,0.373762,0.501932,0.757809", \ "0.274313,0.292197,0.308529,0.340549,0.404756,0.532918,0.788804", \ "0.327304,0.345203,0.361533,0.393434,0.457606,0.585795,0.841654", \ "0.397176,0.415526,0.431885,0.463750,0.527830,0.655954,0.911825", \ "0.472704,0.491983,0.508475,0.540188,0.604102,0.732140,0.987987"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013079,0.017029,0.020759,0.027898,0.042099,0.071450,0.132853", \ "0.013080,0.017029,0.020759,0.027899,0.042100,0.071449,0.132857", \ "0.013081,0.017029,0.020759,0.027898,0.042101,0.071451,0.132857", \ "0.013146,0.017080,0.020801,0.027929,0.042118,0.071460,0.132860", \ "0.013538,0.017415,0.021097,0.028174,0.042303,0.071566,0.132889", \ "0.014216,0.017971,0.021572,0.028545,0.042546,0.071692,0.132945", \ "0.015480,0.019024,0.022488,0.029276,0.043050,0.071964,0.133015"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.017069,0.028035,0.041873,0.071726,0.132707,0.255062,0.500006", \ "0.017074,0.028033,0.041873,0.071731,0.132707,0.255051,0.499996", \ "0.017071,0.028034,0.041876,0.071730,0.132708,0.255060,0.500007", \ "0.017074,0.028036,0.041872,0.071732,0.132707,0.255054,0.500009", \ "0.017136,0.028068,0.041889,0.071735,0.132710,0.255058,0.500008", \ "0.018301,0.028716,0.042186,0.071812,0.132744,0.255061,0.500001", \ "0.020202,0.030034,0.042849,0.072024,0.132820,0.255095,0.500022"); } } timing () { related_pin : "A1"; when : "!A2 & B1 & B2 & C1 & C2"; sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.114878,0.125206,0.133064,0.145734,0.166841,0.204311,0.275494", \ "0.119753,0.130085,0.137941,0.150611,0.171717,0.209190,0.280374", \ "0.136622,0.146942,0.154792,0.167462,0.188578,0.226051,0.297234", \ "0.165836,0.176214,0.184017,0.196551,0.217671,0.255127,0.326306", \ "0.196461,0.206867,0.214760,0.227052,0.248183,0.285723,0.356969", \ "0.224048,0.234641,0.242644,0.255267,0.276545,0.314103,0.385302", \ "0.246474,0.257598,0.265822,0.278802,0.300275,0.337982,0.409195"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.227709,0.245597,0.261946,0.294078,0.358324,0.486514,0.742353", \ "0.230079,0.247948,0.264326,0.296431,0.360678,0.488845,0.744701", \ "0.242662,0.260546,0.276910,0.309057,0.373276,0.501444,0.757322", \ "0.274085,0.291970,0.308305,0.340276,0.404478,0.532646,0.788499", \ "0.327354,0.345252,0.361572,0.393468,0.457651,0.585846,0.841699", \ "0.397487,0.415829,0.432202,0.464037,0.528130,0.656236,0.912108", \ "0.473386,0.492657,0.509139,0.540937,0.604787,0.732830,0.988671"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.012583,0.016592,0.020367,0.027570,0.041855,0.071302,0.132801", \ "0.012585,0.016591,0.020366,0.027571,0.041855,0.071300,0.132800", \ "0.012586,0.016593,0.020369,0.027571,0.041856,0.071303,0.132797", \ "0.012680,0.016665,0.020426,0.027615,0.041880,0.071312,0.132800", \ "0.013062,0.016990,0.020709,0.027839,0.042044,0.071411,0.132831", \ "0.013747,0.017538,0.021175,0.028201,0.042275,0.071519,0.132867", \ "0.014991,0.018577,0.022071,0.028908,0.042760,0.071771,0.132934"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.017070,0.028034,0.041873,0.071730,0.132716,0.255048,0.499996", \ "0.017077,0.028035,0.041873,0.071730,0.132716,0.255066,0.499998", \ "0.017071,0.028036,0.041875,0.071728,0.132705,0.255059,0.500005", \ "0.017076,0.028035,0.041875,0.071731,0.132704,0.255061,0.500009", \ "0.017137,0.028068,0.041887,0.071732,0.132709,0.255052,0.500009", \ "0.018300,0.028713,0.042183,0.071817,0.132735,0.255072,0.500000", \ "0.020186,0.030018,0.042842,0.072021,0.132816,0.255102,0.500005"); } } timing () { related_pin : "A2"; when : "!A1 & !B1 & B2 & !C1 & C2"; sdf_cond : "(A1 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.176975,0.187813,0.195990,0.209008,0.230454,0.268157,0.339456", \ "0.180827,0.191666,0.199838,0.212861,0.234307,0.272020,0.343308", \ "0.195522,0.206355,0.214511,0.227542,0.248980,0.286693,0.357986", \ "0.225199,0.236009,0.244107,0.256945,0.278381,0.316104,0.387438", \ "0.268518,0.279387,0.287537,0.300205,0.321632,0.359359,0.430641", \ "0.312266,0.323250,0.331466,0.344371,0.365917,0.403693,0.474969", \ "0.352399,0.363729,0.372097,0.385268,0.406951,0.444819,0.516114"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.255409,0.274982,0.291750,0.323814,0.387889,0.515920,0.771729", \ "0.260742,0.280321,0.297088,0.329156,0.393231,0.521255,0.777054", \ "0.277545,0.297128,0.313900,0.345966,0.410020,0.538053,0.793879", \ "0.306635,0.326214,0.342902,0.374972,0.438993,0.567022,0.822830", \ "0.351065,0.370649,0.387427,0.419594,0.483676,0.611679,0.867453", \ "0.409875,0.429792,0.446628,0.478716,0.542599,0.670561,0.926349", \ "0.476805,0.497411,0.514457,0.546372,0.610235,0.738087,0.993811"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.014078,0.017920,0.021566,0.028590,0.042629,0.071789,0.133010", \ "0.014078,0.017920,0.021567,0.028590,0.042628,0.071789,0.133010", \ "0.014075,0.017919,0.021565,0.028588,0.042628,0.071789,0.133009", \ "0.014067,0.017912,0.021563,0.028588,0.042627,0.071789,0.133004", \ "0.014215,0.018030,0.021659,0.028660,0.042674,0.071808,0.133013", \ "0.014668,0.018416,0.022002,0.028942,0.042875,0.071932,0.133067", \ "0.015502,0.019114,0.022609,0.029424,0.043211,0.072111,0.133116"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.020049,0.030465,0.043310,0.072339,0.133019,0.255260,0.500082", \ "0.020052,0.030465,0.043309,0.072340,0.133017,0.255250,0.500095", \ "0.020055,0.030464,0.043309,0.072340,0.133019,0.255254,0.500082", \ "0.020052,0.030468,0.043308,0.072340,0.133019,0.255252,0.500079", \ "0.020077,0.030484,0.043320,0.072347,0.133025,0.255259,0.500085", \ "0.020768,0.031017,0.043605,0.072430,0.133052,0.255259,0.500086", \ "0.022068,0.032156,0.044318,0.072709,0.133158,0.255304,0.500121"); } } timing () { related_pin : "A2"; when : "!A1 & !B1 & B2 & C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.164840,0.175615,0.183748,0.196734,0.218139,0.255813,0.327105", \ "0.168685,0.179461,0.187595,0.200588,0.221986,0.259654,0.330947", \ "0.183404,0.194189,0.202317,0.215297,0.236693,0.274377,0.345660", \ "0.213058,0.223855,0.231922,0.244732,0.266142,0.303814,0.375085", \ "0.253876,0.264742,0.272868,0.285514,0.306890,0.344611,0.415892", \ "0.293714,0.304666,0.312881,0.325774,0.347288,0.385037,0.456346", \ "0.329512,0.340882,0.349265,0.362432,0.384130,0.421988,0.493283"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.248655,0.267370,0.283900,0.315974,0.380130,0.508240,0.764072", \ "0.253979,0.272692,0.289235,0.321287,0.385453,0.513554,0.769381", \ "0.270794,0.289500,0.306036,0.338084,0.402233,0.530343,0.786193", \ "0.299840,0.318552,0.335035,0.367106,0.431223,0.559331,0.815154", \ "0.344327,0.363049,0.379583,0.411691,0.475904,0.603968,0.859786", \ "0.402830,0.421875,0.438465,0.470483,0.534525,0.662584,0.918360", \ "0.469197,0.488875,0.505587,0.537439,0.601355,0.729358,0.985118"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013903,0.017762,0.021425,0.028467,0.042536,0.071728,0.132972", \ "0.013903,0.017763,0.021424,0.028467,0.042535,0.071730,0.132973", \ "0.013904,0.017763,0.021423,0.028466,0.042534,0.071727,0.132973", \ "0.013900,0.017762,0.021425,0.028467,0.042534,0.071728,0.132976", \ "0.014142,0.017960,0.021592,0.028597,0.042622,0.071771,0.132989", \ "0.014639,0.018378,0.021961,0.028899,0.042839,0.071898,0.133051", \ "0.015579,0.019165,0.022648,0.029449,0.043219,0.072107,0.133106"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.018613,0.029193,0.042529,0.072003,0.132867,0.255156,0.500031", \ "0.018612,0.029193,0.042529,0.072006,0.132872,0.255155,0.500032", \ "0.018613,0.029194,0.042527,0.072005,0.132872,0.255153,0.500044", \ "0.018617,0.029195,0.042528,0.072005,0.132865,0.255155,0.500039", \ "0.018640,0.029214,0.042537,0.072007,0.132862,0.255148,0.500039", \ "0.019381,0.029709,0.042787,0.072081,0.132887,0.255168,0.500038", \ "0.020605,0.030669,0.043325,0.072276,0.132956,0.255181,0.500043"); } } timing () { related_pin : "A2"; when : "!A1 & !B1 & B2 & C1 & C2"; sdf_cond : "(A1 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.146912,0.157523,0.165547,0.178412,0.199699,0.237288,0.308547", \ "0.151080,0.161694,0.169716,0.182581,0.203870,0.241458,0.312716", \ "0.166712,0.177329,0.185347,0.198206,0.219488,0.257093,0.328350", \ "0.197661,0.208307,0.216269,0.228943,0.250227,0.287808,0.359044", \ "0.237068,0.247723,0.255747,0.268268,0.289540,0.327176,0.398446", \ "0.274751,0.285513,0.293632,0.306415,0.327808,0.365475,0.436704", \ "0.308164,0.319342,0.327617,0.340647,0.362225,0.399991,0.471260"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.248501,0.267222,0.283752,0.315823,0.379976,0.508087,0.763922", \ "0.253619,0.272335,0.288875,0.320937,0.385091,0.513201,0.769024", \ "0.270413,0.289125,0.305665,0.337734,0.401841,0.529969,0.785799", \ "0.299625,0.318332,0.334812,0.366881,0.430994,0.559107,0.814928", \ "0.344223,0.362945,0.379480,0.411694,0.475769,0.603861,0.859678", \ "0.402809,0.421858,0.438447,0.470486,0.534471,0.662512,0.918311", \ "0.469360,0.489036,0.505748,0.537584,0.601518,0.729521,0.985281"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013405,0.017318,0.021021,0.028121,0.042269,0.071559,0.132908", \ "0.013406,0.017319,0.021020,0.028121,0.042268,0.071559,0.132909", \ "0.013405,0.017317,0.021019,0.028120,0.042268,0.071558,0.132908", \ "0.013410,0.017322,0.021022,0.028123,0.042269,0.071560,0.132907", \ "0.013663,0.017540,0.021218,0.028281,0.042375,0.071609,0.132919", \ "0.014172,0.017952,0.021568,0.028557,0.042570,0.071721,0.132970", \ "0.015109,0.018729,0.022243,0.029092,0.042938,0.071920,0.133019"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.018617,0.029193,0.042530,0.072004,0.132866,0.255161,0.500045", \ "0.018612,0.029193,0.042529,0.072007,0.132856,0.255159,0.500031", \ "0.018614,0.029198,0.042529,0.072004,0.132866,0.255154,0.500033", \ "0.018615,0.029197,0.042529,0.072006,0.132863,0.255159,0.500033", \ "0.018641,0.029214,0.042537,0.072006,0.132867,0.255151,0.500045", \ "0.019381,0.029710,0.042787,0.072080,0.132896,0.255171,0.500035", \ "0.020602,0.030667,0.043325,0.072277,0.132968,0.255181,0.500043"); } } timing () { related_pin : "A2"; when : "!A1 & B1 & !B2 & !C1 & C2"; sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.164840,0.175615,0.183748,0.196734,0.218139,0.255813,0.327105", \ "0.168685,0.179461,0.187595,0.200588,0.221986,0.259654,0.330947", \ "0.183404,0.194189,0.202317,0.215297,0.236693,0.274377,0.345660", \ "0.213058,0.223855,0.231922,0.244732,0.266142,0.303814,0.375085", \ "0.253876,0.264742,0.272868,0.285514,0.306890,0.344611,0.415892", \ "0.293714,0.304666,0.312881,0.325774,0.347288,0.385037,0.456346", \ "0.329512,0.340882,0.349265,0.362432,0.384130,0.421988,0.493283"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.248655,0.267370,0.283900,0.315974,0.380130,0.508240,0.764072", \ "0.253979,0.272692,0.289235,0.321287,0.385453,0.513554,0.769381", \ "0.270794,0.289500,0.306036,0.338084,0.402233,0.530343,0.786193", \ "0.299840,0.318552,0.335035,0.367106,0.431223,0.559331,0.815154", \ "0.344327,0.363049,0.379583,0.411691,0.475904,0.603968,0.859786", \ "0.402830,0.421875,0.438465,0.470483,0.534525,0.662584,0.918360", \ "0.469197,0.488875,0.505587,0.537439,0.601355,0.729358,0.985118"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013903,0.017762,0.021425,0.028467,0.042536,0.071728,0.132972", \ "0.013903,0.017763,0.021424,0.028467,0.042535,0.071730,0.132973", \ "0.013904,0.017763,0.021423,0.028466,0.042534,0.071727,0.132973", \ "0.013900,0.017762,0.021425,0.028467,0.042534,0.071728,0.132976", \ "0.014142,0.017960,0.021592,0.028597,0.042622,0.071771,0.132989", \ "0.014639,0.018378,0.021961,0.028899,0.042839,0.071898,0.133051", \ "0.015579,0.019165,0.022648,0.029449,0.043219,0.072107,0.133106"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.018613,0.029193,0.042529,0.072003,0.132867,0.255156,0.500031", \ "0.018612,0.029193,0.042529,0.072006,0.132872,0.255155,0.500032", \ "0.018613,0.029194,0.042527,0.072005,0.132872,0.255153,0.500044", \ "0.018617,0.029195,0.042528,0.072005,0.132865,0.255155,0.500039", \ "0.018640,0.029214,0.042537,0.072007,0.132862,0.255148,0.500039", \ "0.019381,0.029709,0.042787,0.072081,0.132887,0.255168,0.500038", \ "0.020605,0.030669,0.043325,0.072276,0.132956,0.255181,0.500043"); } } timing () { related_pin : "A2"; when : "!A1 & B1 & !B2 & C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.152882,0.163601,0.171688,0.184634,0.205993,0.243638,0.314929", \ "0.156716,0.167437,0.175530,0.188474,0.209831,0.247471,0.318762", \ "0.171433,0.182162,0.190244,0.203189,0.224538,0.262194,0.333481", \ "0.200908,0.211668,0.219696,0.232487,0.253853,0.291482,0.362731", \ "0.238484,0.249257,0.257361,0.269979,0.291349,0.329045,0.400360", \ "0.274052,0.285006,0.293213,0.306101,0.327618,0.365356,0.436649", \ "0.305278,0.316707,0.325115,0.338288,0.360006,0.397866,0.469159"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.241589,0.259475,0.275851,0.307955,0.372195,0.500372,0.756245", \ "0.246894,0.264780,0.281166,0.313262,0.377527,0.505675,0.761532", \ "0.263676,0.281554,0.297937,0.330073,0.394276,0.522455,0.778323", \ "0.292761,0.310644,0.326960,0.359063,0.423275,0.551428,0.807286", \ "0.337304,0.355194,0.371553,0.403715,0.467946,0.596109,0.851962", \ "0.395458,0.413639,0.430020,0.462063,0.526224,0.654347,0.910152", \ "0.461294,0.480007,0.496454,0.528269,0.592304,0.720355,0.976198"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013722,0.017600,0.021276,0.028339,0.042438,0.071665,0.132943", \ "0.013720,0.017600,0.021277,0.028340,0.042439,0.071667,0.132944", \ "0.013722,0.017599,0.021277,0.028341,0.042439,0.071667,0.132946", \ "0.013739,0.017614,0.021289,0.028350,0.042444,0.071668,0.132949", \ "0.014045,0.017887,0.021531,0.028549,0.042579,0.071741,0.132968", \ "0.014632,0.018362,0.021944,0.028876,0.042814,0.071875,0.133033", \ "0.015709,0.019270,0.022733,0.029509,0.043253,0.072117,0.133097"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.017072,0.028033,0.041875,0.071730,0.132717,0.255053,0.499997", \ "0.017069,0.028035,0.041872,0.071730,0.132713,0.255059,0.500005", \ "0.017077,0.028036,0.041873,0.071733,0.132716,0.255052,0.499998", \ "0.017079,0.028038,0.041874,0.071733,0.132705,0.255051,0.500007", \ "0.017103,0.028052,0.041882,0.071735,0.132725,0.255054,0.499998", \ "0.017878,0.028478,0.042079,0.071792,0.132727,0.255058,0.500008", \ "0.019010,0.029233,0.042457,0.071911,0.132789,0.255072,0.500006"); } } timing () { related_pin : "A2"; when : "!A1 & B1 & !B2 & C1 & C2"; sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.137155,0.147704,0.155699,0.168523,0.189775,0.227341,0.298589", \ "0.141306,0.151866,0.159855,0.172683,0.193933,0.231499,0.302751", \ "0.156963,0.167519,0.175506,0.188327,0.209577,0.247155,0.318404", \ "0.187308,0.197910,0.205848,0.218531,0.239784,0.277332,0.348545", \ "0.223330,0.233936,0.241942,0.254408,0.275663,0.313298,0.384559", \ "0.256940,0.267717,0.275828,0.288589,0.309970,0.347635,0.418858", \ "0.285989,0.297224,0.305522,0.318573,0.340163,0.377938,0.449195"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.241443,0.259324,0.275702,0.307807,0.372046,0.500260,0.756087", \ "0.246537,0.264424,0.280797,0.312906,0.377143,0.505319,0.761191", \ "0.263292,0.281171,0.297556,0.329693,0.393894,0.522072,0.777941", \ "0.292550,0.310428,0.326744,0.358864,0.423066,0.551228,0.807084", \ "0.337196,0.355086,0.371448,0.403635,0.467870,0.596025,0.851874", \ "0.395437,0.413621,0.430012,0.462053,0.526159,0.654258,0.910099", \ "0.461460,0.480171,0.496608,0.528435,0.592471,0.720529,0.976365"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013252,0.017180,0.020894,0.028015,0.042188,0.071506,0.132878", \ "0.013253,0.017179,0.020894,0.028015,0.042189,0.071505,0.132884", \ "0.013251,0.017179,0.020895,0.028015,0.042187,0.071507,0.132884", \ "0.013285,0.017206,0.020917,0.028030,0.042198,0.071512,0.132880", \ "0.013599,0.017475,0.021155,0.028230,0.042341,0.071586,0.132900", \ "0.014181,0.017951,0.021563,0.028545,0.042554,0.071703,0.132950", \ "0.015252,0.018843,0.022336,0.029160,0.042976,0.071932,0.133013"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.017077,0.028034,0.041874,0.071732,0.132715,0.255064,0.499997", \ "0.017071,0.028034,0.041872,0.071727,0.132715,0.255055,0.500005", \ "0.017071,0.028036,0.041872,0.071732,0.132714,0.255053,0.499999", \ "0.017077,0.028036,0.041875,0.071733,0.132707,0.255063,0.499996", \ "0.017105,0.028052,0.041881,0.071735,0.132722,0.255054,0.500003", \ "0.017877,0.028479,0.042080,0.071787,0.132736,0.255068,0.500006", \ "0.019006,0.029233,0.042455,0.071912,0.132790,0.255087,0.500012"); } } timing () { related_pin : "A2"; when : "!A1 & B1 & B2 & !C1 & C2"; sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.146912,0.157523,0.165547,0.178412,0.199699,0.237288,0.308547", \ "0.151080,0.161694,0.169716,0.182581,0.203870,0.241458,0.312716", \ "0.166712,0.177329,0.185347,0.198206,0.219488,0.257093,0.328350", \ "0.197661,0.208307,0.216269,0.228943,0.250227,0.287808,0.359044", \ "0.237068,0.247723,0.255747,0.268268,0.289540,0.327176,0.398446", \ "0.274751,0.285513,0.293632,0.306415,0.327808,0.365475,0.436704", \ "0.308164,0.319342,0.327617,0.340647,0.362225,0.399991,0.471260"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.248501,0.267222,0.283752,0.315823,0.379976,0.508087,0.763922", \ "0.253619,0.272335,0.288875,0.320937,0.385091,0.513201,0.769024", \ "0.270413,0.289125,0.305665,0.337734,0.401841,0.529969,0.785799", \ "0.299625,0.318332,0.334812,0.366881,0.430994,0.559107,0.814928", \ "0.344223,0.362945,0.379480,0.411694,0.475769,0.603861,0.859678", \ "0.402809,0.421858,0.438447,0.470486,0.534471,0.662512,0.918311", \ "0.469360,0.489036,0.505748,0.537584,0.601518,0.729521,0.985281"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013405,0.017318,0.021021,0.028121,0.042269,0.071559,0.132908", \ "0.013406,0.017319,0.021020,0.028121,0.042268,0.071559,0.132909", \ "0.013405,0.017317,0.021019,0.028120,0.042268,0.071558,0.132908", \ "0.013410,0.017322,0.021022,0.028123,0.042269,0.071560,0.132907", \ "0.013663,0.017540,0.021218,0.028281,0.042375,0.071609,0.132919", \ "0.014172,0.017952,0.021568,0.028557,0.042570,0.071721,0.132970", \ "0.015109,0.018729,0.022243,0.029092,0.042938,0.071920,0.133019"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.018617,0.029193,0.042530,0.072004,0.132866,0.255161,0.500045", \ "0.018612,0.029193,0.042529,0.072007,0.132856,0.255159,0.500031", \ "0.018614,0.029198,0.042529,0.072004,0.132866,0.255154,0.500033", \ "0.018615,0.029197,0.042529,0.072006,0.132863,0.255159,0.500033", \ "0.018641,0.029214,0.042537,0.072006,0.132867,0.255151,0.500045", \ "0.019381,0.029710,0.042787,0.072080,0.132896,0.255171,0.500035", \ "0.020602,0.030667,0.043325,0.072277,0.132968,0.255181,0.500043"); } } timing () { related_pin : "A2"; when : "!A1 & B1 & B2 & C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.137155,0.147704,0.155699,0.168523,0.189775,0.227341,0.298589", \ "0.141306,0.151866,0.159855,0.172683,0.193933,0.231499,0.302751", \ "0.156963,0.167519,0.175506,0.188327,0.209577,0.247155,0.318404", \ "0.187308,0.197910,0.205848,0.218531,0.239784,0.277332,0.348545", \ "0.223330,0.233936,0.241942,0.254408,0.275663,0.313298,0.384559", \ "0.256940,0.267717,0.275828,0.288589,0.309970,0.347635,0.418858", \ "0.285989,0.297224,0.305522,0.318573,0.340163,0.377938,0.449195"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.241443,0.259324,0.275702,0.307807,0.372046,0.500260,0.756087", \ "0.246537,0.264424,0.280797,0.312906,0.377143,0.505319,0.761191", \ "0.263292,0.281171,0.297556,0.329693,0.393894,0.522072,0.777941", \ "0.292550,0.310428,0.326744,0.358864,0.423066,0.551228,0.807084", \ "0.337196,0.355086,0.371448,0.403635,0.467870,0.596025,0.851874", \ "0.395437,0.413621,0.430012,0.462053,0.526159,0.654258,0.910099", \ "0.461460,0.480171,0.496608,0.528435,0.592471,0.720529,0.976365"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013252,0.017180,0.020894,0.028015,0.042188,0.071506,0.132878", \ "0.013253,0.017179,0.020894,0.028015,0.042189,0.071505,0.132884", \ "0.013251,0.017179,0.020895,0.028015,0.042187,0.071507,0.132884", \ "0.013285,0.017206,0.020917,0.028030,0.042198,0.071512,0.132880", \ "0.013599,0.017475,0.021155,0.028230,0.042341,0.071586,0.132900", \ "0.014181,0.017951,0.021563,0.028545,0.042554,0.071703,0.132950", \ "0.015252,0.018843,0.022336,0.029160,0.042976,0.071932,0.133013"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.017077,0.028034,0.041874,0.071732,0.132715,0.255064,0.499997", \ "0.017071,0.028034,0.041872,0.071727,0.132715,0.255055,0.500005", \ "0.017071,0.028036,0.041872,0.071732,0.132714,0.255053,0.499999", \ "0.017077,0.028036,0.041875,0.071733,0.132707,0.255063,0.499996", \ "0.017105,0.028052,0.041881,0.071735,0.132722,0.255054,0.500003", \ "0.017877,0.028479,0.042080,0.071787,0.132736,0.255068,0.500006", \ "0.019006,0.029233,0.042455,0.071912,0.132790,0.255087,0.500012"); } } timing () { related_pin : "A2"; when : "!A1 & B1 & B2 & C1 & C2"; sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.121948,0.132314,0.140201,0.152903,0.174039,0.211536,0.282734", \ "0.126357,0.136735,0.144620,0.157320,0.178458,0.215951,0.287147", \ "0.143008,0.153372,0.161255,0.173951,0.195092,0.232590,0.303785", \ "0.173741,0.184149,0.191965,0.204549,0.225700,0.263156,0.334353", \ "0.207774,0.218215,0.226100,0.238431,0.259564,0.297123,0.368355", \ "0.239259,0.249816,0.257824,0.270427,0.291705,0.329267,0.400470", \ "0.265906,0.276936,0.285122,0.298054,0.319500,0.357175,0.428389"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.241432,0.259315,0.275677,0.307832,0.372035,0.500214,0.756083", \ "0.246300,0.264188,0.280560,0.312672,0.376904,0.505084,0.760946", \ "0.262871,0.280754,0.297116,0.329254,0.393512,0.521660,0.777523", \ "0.292307,0.310191,0.326508,0.358605,0.422808,0.550967,0.806823", \ "0.337068,0.354960,0.371345,0.403506,0.467740,0.595906,0.851760", \ "0.395427,0.413609,0.429995,0.462039,0.526191,0.654319,0.910128", \ "0.461635,0.480345,0.496773,0.528617,0.592650,0.720706,0.976542"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.012720,0.016713,0.020476,0.027661,0.041923,0.071346,0.132821", \ "0.012720,0.016712,0.020475,0.027662,0.041923,0.071345,0.132820", \ "0.012720,0.016711,0.020474,0.027661,0.041923,0.071345,0.132820", \ "0.012777,0.016756,0.020511,0.027688,0.041939,0.071351,0.132826", \ "0.013104,0.017029,0.020746,0.027875,0.042076,0.071427,0.132841", \ "0.013696,0.017505,0.021153,0.028190,0.042275,0.071528,0.132876", \ "0.014760,0.018385,0.021909,0.028786,0.042681,0.071736,0.132930"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.017078,0.028036,0.041875,0.071733,0.132712,0.255064,0.499997", \ "0.017070,0.028033,0.041872,0.071729,0.132710,0.255049,0.500006", \ "0.017079,0.028037,0.041876,0.071727,0.132708,0.255047,0.499998", \ "0.017071,0.028037,0.041872,0.071732,0.132708,0.255060,0.500006", \ "0.017108,0.028051,0.041882,0.071728,0.132713,0.255057,0.499999", \ "0.017878,0.028479,0.042080,0.071792,0.132724,0.255059,0.500007", \ "0.019004,0.029231,0.042455,0.071912,0.132796,0.255087,0.500011"); } } timing () { related_pin : "B1"; when : "!A1 & A2 & !B2 & !C1 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.179130,0.189925,0.198050,0.211038,0.232441,0.270115,0.341405", \ "0.184428,0.195201,0.203334,0.216319,0.237718,0.275392,0.346689", \ "0.200156,0.210932,0.219071,0.232047,0.253455,0.291124,0.362420", \ "0.228177,0.238953,0.247034,0.259913,0.281303,0.319001,0.390287", \ "0.267878,0.278703,0.286777,0.299449,0.320871,0.358579,0.429881", \ "0.311280,0.322179,0.330345,0.342953,0.364420,0.402177,0.473502", \ "0.353322,0.364533,0.372836,0.385697,0.407328,0.445177,0.516508"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.283127,0.303012,0.319872,0.351922,0.415852,0.543906,0.799662", \ "0.285978,0.305855,0.322695,0.354691,0.418663,0.546689,0.802490", \ "0.298828,0.318708,0.335563,0.367583,0.431585,0.559574,0.815362", \ "0.329161,0.349056,0.365850,0.397795,0.461762,0.589714,0.845500", \ "0.381049,0.400931,0.417786,0.449636,0.513593,0.641570,0.897341", \ "0.455304,0.475293,0.492138,0.524028,0.587938,0.715914,0.971705", \ "0.540987,0.562026,0.579168,0.611070,0.674752,0.802623,1.058375"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013905,0.017764,0.021425,0.028467,0.042535,0.071727,0.132973", \ "0.013902,0.017764,0.021426,0.028468,0.042535,0.071730,0.132978", \ "0.013904,0.017763,0.021425,0.028468,0.042535,0.071730,0.132977", \ "0.013898,0.017758,0.021421,0.028464,0.042533,0.071728,0.132973", \ "0.014065,0.017892,0.021533,0.028548,0.042585,0.071753,0.132978", \ "0.014509,0.018276,0.021877,0.028836,0.042798,0.071881,0.133044", \ "0.015217,0.018885,0.022414,0.029274,0.043113,0.072061,0.133094"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.020700,0.030988,0.043612,0.072455,0.133070,0.255285,0.500092", \ "0.020705,0.030988,0.043610,0.072456,0.133065,0.255286,0.500091", \ "0.020703,0.030987,0.043611,0.072457,0.133064,0.255285,0.500097", \ "0.020707,0.030987,0.043612,0.072454,0.133081,0.255285,0.500092", \ "0.020710,0.030991,0.043615,0.072457,0.133079,0.255282,0.500093", \ "0.020998,0.031206,0.043729,0.072498,0.133074,0.255279,0.500109", \ "0.022960,0.032877,0.044743,0.072848,0.133200,0.255313,0.500109"); } } timing () { related_pin : "B1"; when : "!A1 & A2 & !B2 & C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.167141,0.177862,0.185956,0.198900,0.220256,0.257903,0.329202", \ "0.172394,0.183116,0.191212,0.204151,0.225511,0.263152,0.334442", \ "0.188050,0.198773,0.206866,0.219809,0.241169,0.278806,0.350101", \ "0.215750,0.226460,0.234462,0.247297,0.268656,0.306306,0.377591", \ "0.253523,0.264313,0.272378,0.284947,0.306364,0.344065,0.415318", \ "0.293427,0.304303,0.312471,0.325032,0.346455,0.384196,0.455507", \ "0.330945,0.342176,0.350479,0.363348,0.384965,0.422813,0.494133"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.276433,0.295436,0.312021,0.343983,0.408073,0.536184,0.792015", \ "0.279271,0.298265,0.314831,0.346814,0.410855,0.538993,0.794814", \ "0.292097,0.311112,0.327687,0.359686,0.423793,0.551849,0.807677", \ "0.322482,0.341480,0.357996,0.389892,0.453946,0.582014,0.837834", \ "0.374393,0.393410,0.409980,0.441810,0.505882,0.633909,0.889731", \ "0.448613,0.467733,0.484286,0.516163,0.580155,0.708183,0.964016", \ "0.533438,0.553537,0.570303,0.602084,0.665893,0.793856,1.049638"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013718,0.017601,0.021275,0.028339,0.042438,0.071665,0.132944", \ "0.013719,0.017599,0.021276,0.028339,0.042438,0.071666,0.132944", \ "0.013718,0.017598,0.021276,0.028339,0.042437,0.071666,0.132945", \ "0.013716,0.017598,0.021275,0.028339,0.042436,0.071665,0.132942", \ "0.013980,0.017815,0.021458,0.028479,0.042527,0.071708,0.132956", \ "0.014463,0.018228,0.021828,0.028791,0.042757,0.071845,0.133018", \ "0.015282,0.018928,0.022445,0.029293,0.043118,0.072056,0.133083"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.019277,0.029657,0.042772,0.072093,0.132907,0.255176,0.500046", \ "0.019278,0.029654,0.042771,0.072087,0.132905,0.255182,0.500052", \ "0.019274,0.029654,0.042771,0.072086,0.132897,0.255180,0.500053", \ "0.019275,0.029656,0.042771,0.072088,0.132899,0.255180,0.500053", \ "0.019280,0.029660,0.042773,0.072087,0.132899,0.255174,0.500053", \ "0.019625,0.029886,0.042883,0.072122,0.132913,0.255182,0.500042", \ "0.021511,0.031339,0.043685,0.072382,0.132996,0.255206,0.500048"); } } timing () { related_pin : "B1"; when : "!A1 & A2 & !B2 & C1 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.148164,0.158684,0.166660,0.179470,0.200699,0.238257,0.309505", \ "0.153674,0.164198,0.172177,0.184981,0.206212,0.243770,0.315021", \ "0.169713,0.180235,0.188209,0.201023,0.222253,0.259811,0.331047", \ "0.197586,0.208134,0.216054,0.228788,0.250026,0.287574,0.358801", \ "0.234015,0.244584,0.252530,0.264964,0.286286,0.323882,0.395133", \ "0.271868,0.282514,0.290543,0.302900,0.324201,0.361878,0.433115", \ "0.307090,0.318075,0.326266,0.338946,0.360407,0.398126,0.469391"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.276205,0.295238,0.311786,0.343793,0.407853,0.535963,0.791776", \ "0.278571,0.297588,0.314182,0.346133,0.410226,0.538312,0.794157", \ "0.291456,0.310471,0.327048,0.359075,0.423136,0.551233,0.807042", \ "0.322153,0.341156,0.357665,0.389534,0.453584,0.581651,0.837473", \ "0.374378,0.393388,0.409952,0.441817,0.505862,0.633887,0.889721", \ "0.448854,0.467974,0.484528,0.516385,0.580418,0.708434,0.964269", \ "0.534043,0.554137,0.570900,0.602701,0.666488,0.794461,1.050260"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013166,0.017108,0.020829,0.027961,0.042147,0.071483,0.132871", \ "0.013167,0.017108,0.020829,0.027959,0.042147,0.071480,0.132871", \ "0.013166,0.017107,0.020829,0.027961,0.042147,0.071479,0.132868", \ "0.013178,0.017114,0.020835,0.027963,0.042149,0.071483,0.132873", \ "0.013446,0.017341,0.021034,0.028126,0.042255,0.071534,0.132882", \ "0.013915,0.017734,0.021373,0.028398,0.042453,0.071648,0.132933", \ "0.014687,0.018388,0.021951,0.028866,0.042786,0.071840,0.132985"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.019276,0.029656,0.042773,0.072091,0.132909,0.255187,0.500049", \ "0.019276,0.029655,0.042769,0.072093,0.132908,0.255176,0.500057", \ "0.019276,0.029655,0.042771,0.072088,0.132902,0.255178,0.500057", \ "0.019280,0.029657,0.042770,0.072089,0.132901,0.255179,0.500053", \ "0.019278,0.029660,0.042774,0.072087,0.132905,0.255174,0.500048", \ "0.019623,0.029883,0.042881,0.072122,0.132901,0.255186,0.500055", \ "0.021491,0.031327,0.043679,0.072381,0.133001,0.255209,0.500050"); } } timing () { related_pin : "B1"; when : "A1 & !A2 & !B2 & !C1 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.167141,0.177862,0.185956,0.198900,0.220256,0.257903,0.329202", \ "0.172394,0.183116,0.191212,0.204151,0.225511,0.263152,0.334442", \ "0.188050,0.198773,0.206866,0.219809,0.241169,0.278806,0.350101", \ "0.215750,0.226460,0.234462,0.247297,0.268656,0.306306,0.377591", \ "0.253523,0.264313,0.272378,0.284947,0.306364,0.344065,0.415318", \ "0.293427,0.304303,0.312471,0.325032,0.346455,0.384196,0.455507", \ "0.330945,0.342176,0.350479,0.363348,0.384965,0.422813,0.494133"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.276433,0.295436,0.312021,0.343983,0.408073,0.536184,0.792015", \ "0.279271,0.298265,0.314831,0.346814,0.410855,0.538993,0.794814", \ "0.292097,0.311112,0.327687,0.359686,0.423793,0.551849,0.807677", \ "0.322482,0.341480,0.357996,0.389892,0.453946,0.582014,0.837834", \ "0.374393,0.393410,0.409980,0.441810,0.505882,0.633909,0.889731", \ "0.448613,0.467733,0.484286,0.516163,0.580155,0.708183,0.964016", \ "0.533438,0.553537,0.570303,0.602084,0.665893,0.793856,1.049638"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013718,0.017601,0.021275,0.028339,0.042438,0.071665,0.132944", \ "0.013719,0.017599,0.021276,0.028339,0.042438,0.071666,0.132944", \ "0.013718,0.017598,0.021276,0.028339,0.042437,0.071666,0.132945", \ "0.013716,0.017598,0.021275,0.028339,0.042436,0.071665,0.132942", \ "0.013980,0.017815,0.021458,0.028479,0.042527,0.071708,0.132956", \ "0.014463,0.018228,0.021828,0.028791,0.042757,0.071845,0.133018", \ "0.015282,0.018928,0.022445,0.029293,0.043118,0.072056,0.133083"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.019277,0.029657,0.042772,0.072093,0.132907,0.255176,0.500046", \ "0.019278,0.029654,0.042771,0.072087,0.132905,0.255182,0.500052", \ "0.019274,0.029654,0.042771,0.072086,0.132897,0.255180,0.500053", \ "0.019275,0.029656,0.042771,0.072088,0.132899,0.255180,0.500053", \ "0.019280,0.029660,0.042773,0.072087,0.132899,0.255174,0.500053", \ "0.019625,0.029886,0.042883,0.072122,0.132913,0.255182,0.500042", \ "0.021511,0.031339,0.043685,0.072382,0.132996,0.255206,0.500048"); } } timing () { related_pin : "B1"; when : "A1 & !A2 & !B2 & C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.155422,0.166069,0.174120,0.187010,0.208323,0.245944,0.317205", \ "0.160626,0.171279,0.179334,0.192225,0.213541,0.251164,0.322429", \ "0.176157,0.186815,0.194863,0.207759,0.229073,0.266679,0.337948", \ "0.203248,0.213917,0.221901,0.234690,0.256008,0.293623,0.364878", \ "0.238492,0.249230,0.257251,0.269767,0.291142,0.328806,0.400080", \ "0.274294,0.285167,0.293319,0.305847,0.327277,0.364998,0.436313", \ "0.306692,0.317982,0.326321,0.339195,0.360843,0.398674,0.470003"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.269438,0.287584,0.303958,0.335985,0.400167,0.528318,0.784179", \ "0.272235,0.290372,0.306749,0.338789,0.402925,0.531119,0.786971", \ "0.285087,0.303225,0.319612,0.351639,0.415812,0.543970,0.799826", \ "0.315461,0.333597,0.349943,0.381874,0.446009,0.574154,0.830006", \ "0.367464,0.385600,0.401966,0.433856,0.497966,0.626092,0.881952", \ "0.441592,0.459846,0.476204,0.508032,0.572162,0.700279,0.956152", \ "0.525564,0.544677,0.561121,0.592847,0.656756,0.784830,1.040684"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013520,0.017421,0.021115,0.028204,0.042333,0.071599,0.132910", \ "0.013522,0.017422,0.021115,0.028204,0.042333,0.071599,0.132916", \ "0.013521,0.017420,0.021116,0.028203,0.042332,0.071598,0.132913", \ "0.013544,0.017439,0.021129,0.028215,0.042341,0.071602,0.132916", \ "0.013871,0.017726,0.021384,0.028421,0.042480,0.071673,0.132933", \ "0.014447,0.018205,0.021801,0.028763,0.042731,0.071824,0.133001", \ "0.015404,0.019030,0.022529,0.029358,0.043156,0.072071,0.133079"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.017733,0.028416,0.042055,0.071794,0.132751,0.255084,0.500002", \ "0.017732,0.028418,0.042058,0.071792,0.132750,0.255078,0.500001", \ "0.017734,0.028418,0.042056,0.071788,0.132744,0.255085,0.500002", \ "0.017738,0.028419,0.042057,0.071793,0.132740,0.255072,0.500002", \ "0.017744,0.028424,0.042059,0.071792,0.132734,0.255075,0.500006", \ "0.018158,0.028646,0.042158,0.071817,0.132752,0.255068,0.500007", \ "0.019923,0.029819,0.042736,0.071987,0.132801,0.255101,0.500014"); } } timing () { related_pin : "B1"; when : "A1 & !A2 & !B2 & C1 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.138647,0.149110,0.157056,0.169821,0.191024,0.228552,0.299776", \ "0.144129,0.154595,0.162533,0.175303,0.196496,0.234031,0.305256", \ "0.160049,0.170517,0.178459,0.191225,0.212422,0.249955,0.321181", \ "0.187187,0.197689,0.205587,0.218266,0.239464,0.276994,0.348205", \ "0.220999,0.231532,0.239456,0.251809,0.273108,0.310709,0.381934", \ "0.255005,0.265637,0.273659,0.285996,0.307292,0.344927,0.416159", \ "0.285390,0.296434,0.304644,0.317344,0.338820,0.376550,0.447815"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.269210,0.287345,0.303719,0.335759,0.399929,0.528082,0.783945", \ "0.271570,0.289716,0.306111,0.338092,0.402308,0.530425,0.786318", \ "0.284450,0.302594,0.318966,0.351040,0.415174,0.543334,0.799201", \ "0.315134,0.333271,0.349607,0.381511,0.445654,0.573800,0.829647", \ "0.367438,0.385578,0.401947,0.433853,0.497943,0.626079,0.881924", \ "0.441842,0.460092,0.476443,0.508283,0.572412,0.700530,0.956404", \ "0.526177,0.545283,0.561726,0.593460,0.657357,0.785453,1.041298"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013001,0.016958,0.020695,0.027846,0.042060,0.071427,0.132843", \ "0.013001,0.016958,0.020695,0.027845,0.042062,0.071424,0.132844", \ "0.013000,0.016958,0.020695,0.027846,0.042061,0.071425,0.132843", \ "0.013038,0.016989,0.020719,0.027864,0.042071,0.071433,0.132846", \ "0.013368,0.017266,0.020961,0.028065,0.042215,0.071506,0.132868", \ "0.013911,0.017721,0.021359,0.028380,0.042435,0.071630,0.132918", \ "0.014812,0.018490,0.022033,0.028927,0.042824,0.071853,0.132982"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.017735,0.028417,0.042057,0.071789,0.132742,0.255075,0.500002", \ "0.017737,0.028417,0.042056,0.071793,0.132750,0.255076,0.500000", \ "0.017734,0.028418,0.042059,0.071786,0.132749,0.255082,0.500002", \ "0.017735,0.028420,0.042057,0.071791,0.132751,0.255083,0.500002", \ "0.017744,0.028425,0.042058,0.071792,0.132751,0.255077,0.500005", \ "0.018153,0.028644,0.042157,0.071816,0.132743,0.255082,0.500017", \ "0.019910,0.029809,0.042733,0.071985,0.132803,0.255102,0.500011"); } } timing () { related_pin : "B1"; when : "A1 & A2 & !B2 & !C1 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.155502,0.166138,0.174170,0.187041,0.208330,0.245939,0.317173", \ "0.160714,0.171347,0.179381,0.192252,0.213545,0.251147,0.322387", \ "0.177262,0.187895,0.195928,0.208800,0.230086,0.267702,0.338945", \ "0.207654,0.218282,0.226265,0.239029,0.260318,0.297919,0.369176", \ "0.248251,0.258952,0.266958,0.279459,0.300824,0.338482,0.409705", \ "0.289526,0.300338,0.308442,0.320961,0.342341,0.380031,0.451308", \ "0.327299,0.338527,0.346835,0.359655,0.381274,0.419070,0.490359"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.285413,0.304479,0.321080,0.353026,0.417096,0.545190,0.801036", \ "0.288384,0.307455,0.324028,0.355980,0.420048,0.548177,0.804000", \ "0.301118,0.320186,0.336797,0.368814,0.432833,0.560908,0.816730", \ "0.331356,0.350437,0.366959,0.398861,0.462873,0.590936,0.846764", \ "0.383240,0.402301,0.418887,0.450720,0.514724,0.642790,0.898600", \ "0.457802,0.476980,0.493564,0.525411,0.589328,0.717438,0.973250", \ "0.544326,0.564416,0.581173,0.612932,0.676749,0.804739,1.060501"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013455,0.017356,0.021051,0.028145,0.042283,0.071566,0.132900", \ "0.013455,0.017356,0.021050,0.028143,0.042284,0.071566,0.132902", \ "0.013458,0.017356,0.021051,0.028145,0.042283,0.071566,0.132906", \ "0.013458,0.017359,0.021054,0.028147,0.042284,0.071566,0.132906", \ "0.013749,0.017606,0.021263,0.028308,0.042390,0.071614,0.132913", \ "0.014314,0.018075,0.021678,0.028651,0.042639,0.071762,0.132975", \ "0.015266,0.018883,0.022387,0.029227,0.043049,0.071996,0.133047"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.019420,0.029756,0.042825,0.072112,0.132909,0.255183,0.500049", \ "0.019416,0.029754,0.042824,0.072112,0.132910,0.255180,0.500049", \ "0.019420,0.029754,0.042825,0.072107,0.132909,0.255184,0.500045", \ "0.019421,0.029757,0.042825,0.072110,0.132898,0.255183,0.500049", \ "0.019426,0.029761,0.042828,0.072111,0.132901,0.255186,0.500044", \ "0.019688,0.029933,0.042914,0.072137,0.132925,0.255187,0.500045", \ "0.021507,0.031325,0.043673,0.072379,0.132998,0.255215,0.500058"); } } timing () { related_pin : "B1"; when : "A1 & A2 & !B2 & C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.145587,0.156165,0.164160,0.176988,0.198246,0.235815,0.307036", \ "0.150763,0.161341,0.169341,0.182170,0.203424,0.240997,0.312225", \ "0.167185,0.177762,0.185764,0.198592,0.219850,0.257417,0.328647", \ "0.196880,0.207473,0.215429,0.228154,0.249415,0.286973,0.358199", \ "0.234478,0.245133,0.253115,0.265579,0.286936,0.324560,0.395808", \ "0.271316,0.282145,0.290252,0.302747,0.324121,0.361805,0.433071", \ "0.303903,0.315202,0.323539,0.336411,0.358038,0.395849,0.467119"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.278479,0.296682,0.313080,0.345052,0.409224,0.537407,0.793275", \ "0.281454,0.299651,0.316012,0.348001,0.412166,0.540348,0.796183", \ "0.294179,0.312383,0.328750,0.360794,0.424930,0.553070,0.808943", \ "0.324447,0.342643,0.358965,0.390859,0.454986,0.583138,0.839002", \ "0.376351,0.394550,0.410919,0.442793,0.506883,0.635014,0.890880", \ "0.450928,0.469215,0.485582,0.517416,0.581481,0.709632,0.965494", \ "0.536624,0.555740,0.572193,0.603923,0.667787,0.795871,1.051732"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013284,0.017201,0.020912,0.028025,0.042193,0.071507,0.132872", \ "0.013282,0.017203,0.020911,0.028025,0.042192,0.071508,0.132879", \ "0.013286,0.017202,0.020911,0.028025,0.042194,0.071508,0.132878", \ "0.013315,0.017227,0.020933,0.028041,0.042203,0.071511,0.132877", \ "0.013683,0.017543,0.021212,0.028267,0.042357,0.071590,0.132900", \ "0.014344,0.018089,0.021685,0.028650,0.042630,0.071751,0.132969", \ "0.015451,0.019033,0.022514,0.029323,0.043111,0.072023,0.133048"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.017883,0.028511,0.042102,0.071805,0.132749,0.255081,0.500009", \ "0.017886,0.028508,0.042100,0.071808,0.132752,0.255082,0.500011", \ "0.017887,0.028510,0.042101,0.071805,0.132759,0.255080,0.500019", \ "0.017890,0.028509,0.042103,0.071803,0.132744,0.255083,0.500008", \ "0.017897,0.028514,0.042104,0.071802,0.132745,0.255082,0.500009", \ "0.018200,0.028684,0.042180,0.071828,0.132765,0.255086,0.500016", \ "0.019939,0.029827,0.042740,0.071989,0.132813,0.255104,0.500012"); } } timing () { related_pin : "B1"; when : "A1 & A2 & !B2 & C1 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.128240,0.138625,0.146513,0.159217,0.180356,0.217835,0.289024", \ "0.133697,0.144086,0.151974,0.164675,0.185816,0.223297,0.294485", \ "0.150785,0.161174,0.169059,0.181765,0.202901,0.240377,0.311568", \ "0.180730,0.191132,0.198977,0.211607,0.232747,0.270223,0.341410", \ "0.216630,0.227102,0.234972,0.247360,0.268560,0.306120,0.377307", \ "0.251522,0.262135,0.270113,0.282415,0.303662,0.341248,0.412477", \ "0.282101,0.293137,0.301332,0.314001,0.335445,0.373143,0.444399"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.278268,0.296470,0.312835,0.344883,0.409016,0.537164,0.793029", \ "0.280777,0.298970,0.315336,0.347390,0.411495,0.539666,0.795531", \ "0.293508,0.311704,0.328110,0.360119,0.424254,0.552397,0.808297", \ "0.324104,0.342301,0.358621,0.390511,0.454639,0.582781,0.838641", \ "0.376334,0.394533,0.410924,0.442784,0.506868,0.634999,0.890867", \ "0.451179,0.469464,0.485828,0.517687,0.581734,0.709889,0.965752", \ "0.537240,0.556348,0.572799,0.604540,0.668421,0.796480,1.052347"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.012743,0.016724,0.020481,0.027663,0.041920,0.071340,0.132812", \ "0.012743,0.016724,0.020481,0.027662,0.041920,0.071339,0.132812", \ "0.012746,0.016725,0.020482,0.027663,0.041921,0.071338,0.132809", \ "0.012793,0.016764,0.020514,0.027686,0.041934,0.071346,0.132811", \ "0.013157,0.017067,0.020776,0.027897,0.042083,0.071423,0.132837", \ "0.013774,0.017578,0.021218,0.028247,0.042321,0.071551,0.132885", \ "0.014815,0.018457,0.021985,0.028866,0.042755,0.071793,0.132948"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.017885,0.028509,0.042102,0.071803,0.132736,0.255073,0.500005", \ "0.017890,0.028509,0.042103,0.071801,0.132744,0.255083,0.500006", \ "0.017889,0.028509,0.042101,0.071801,0.132757,0.255082,0.500008", \ "0.017889,0.028511,0.042103,0.071807,0.132743,0.255078,0.500006", \ "0.017897,0.028514,0.042101,0.071801,0.132754,0.255082,0.500009", \ "0.018203,0.028684,0.042180,0.071825,0.132753,0.255087,0.500011", \ "0.019925,0.029816,0.042736,0.071991,0.132804,0.255104,0.500012"); } } timing () { related_pin : "B2"; when : "!A1 & A2 & !B1 & !C1 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.190751,0.201594,0.209763,0.222786,0.244222,0.281931,0.353240", \ "0.195249,0.206092,0.214260,0.227287,0.248727,0.286442,0.357725", \ "0.210640,0.221480,0.229652,0.242681,0.264116,0.301842,0.373109", \ "0.238856,0.249691,0.257872,0.270791,0.292220,0.329934,0.401249", \ "0.279915,0.290786,0.298905,0.311688,0.333039,0.370779,0.442074", \ "0.326310,0.337236,0.345439,0.358079,0.379557,0.417331,0.488654", \ "0.372188,0.383403,0.391709,0.404578,0.426239,0.464080,0.535430"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.296566,0.316461,0.333324,0.365316,0.429288,0.557352,0.813112", \ "0.301650,0.321542,0.338400,0.370434,0.434421,0.562423,0.818196", \ "0.318827,0.338724,0.355588,0.387588,0.451542,0.579616,0.835395", \ "0.348215,0.368092,0.384881,0.416887,0.480825,0.608840,0.864644", \ "0.392801,0.412684,0.429565,0.461852,0.525723,0.653711,0.909471", \ "0.454339,0.474324,0.491205,0.523228,0.587242,0.715191,0.970937", \ "0.526841,0.547466,0.564505,0.596475,0.660329,0.788188,1.043919"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.014075,0.017919,0.021568,0.028589,0.042628,0.071789,0.133006", \ "0.014077,0.017919,0.021567,0.028590,0.042630,0.071789,0.133009", \ "0.014076,0.017919,0.021566,0.028588,0.042630,0.071788,0.133002", \ "0.014070,0.017915,0.021564,0.028588,0.042626,0.071787,0.133002", \ "0.014173,0.017999,0.021635,0.028641,0.042661,0.071803,0.133014", \ "0.014583,0.018348,0.021944,0.028899,0.042848,0.071916,0.133055", \ "0.015217,0.018891,0.022422,0.029288,0.043128,0.072077,0.133107"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.020705,0.030985,0.043612,0.072456,0.133073,0.255273,0.500096", \ "0.020704,0.030988,0.043609,0.072453,0.133076,0.255288,0.500097", \ "0.020708,0.030987,0.043612,0.072460,0.133067,0.255272,0.500096", \ "0.020704,0.030988,0.043611,0.072458,0.133081,0.255288,0.500092", \ "0.020708,0.030989,0.043611,0.072456,0.133068,0.255270,0.500090", \ "0.020935,0.031161,0.043704,0.072486,0.133077,0.255274,0.500107", \ "0.022126,0.032183,0.044326,0.072703,0.133162,0.255305,0.500104"); } } timing () { related_pin : "B2"; when : "!A1 & A2 & !B1 & C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.178603,0.189382,0.197513,0.210497,0.231899,0.269577,0.340868", \ "0.183107,0.193886,0.202017,0.214999,0.236400,0.274087,0.345376", \ "0.198477,0.209258,0.217378,0.230370,0.251767,0.289442,0.360734", \ "0.226545,0.237318,0.245417,0.258264,0.279662,0.317346,0.388653", \ "0.266167,0.276984,0.285061,0.297724,0.319093,0.356789,0.428115", \ "0.309437,0.320339,0.328500,0.341111,0.362569,0.400326,0.471651", \ "0.351201,0.362418,0.370717,0.383585,0.405212,0.443062,0.514391"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.289883,0.308894,0.325452,0.357453,0.421515,0.549625,0.805448", \ "0.294943,0.313957,0.330544,0.362532,0.426609,0.554717,0.810528", \ "0.312116,0.331127,0.347730,0.379709,0.443745,0.571847,0.827691", \ "0.341454,0.360470,0.377024,0.408991,0.473029,0.601129,0.856970", \ "0.386092,0.405099,0.421709,0.453949,0.517942,0.646002,0.901806", \ "0.447574,0.466681,0.483284,0.515278,0.579329,0.707382,0.963194", \ "0.519578,0.539282,0.555992,0.587864,0.651793,0.779796,1.035558"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013901,0.017762,0.021425,0.028467,0.042535,0.071729,0.132973", \ "0.013902,0.017763,0.021426,0.028467,0.042536,0.071727,0.132973", \ "0.013904,0.017763,0.021424,0.028467,0.042534,0.071727,0.132973", \ "0.013900,0.017761,0.021423,0.028467,0.042535,0.071729,0.132974", \ "0.014079,0.017904,0.021545,0.028562,0.042597,0.071757,0.132988", \ "0.014516,0.018283,0.021882,0.028841,0.042802,0.071879,0.133041", \ "0.015235,0.018895,0.022422,0.029281,0.043116,0.072062,0.133092"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.019271,0.029654,0.042771,0.072087,0.132902,0.255182,0.500058", \ "0.019272,0.029656,0.042772,0.072091,0.132910,0.255181,0.500048", \ "0.019273,0.029654,0.042771,0.072090,0.132905,0.255174,0.500053", \ "0.019276,0.029656,0.042773,0.072088,0.132899,0.255169,0.500056", \ "0.019282,0.029658,0.042771,0.072085,0.132909,0.255180,0.500054", \ "0.019546,0.029836,0.042861,0.072111,0.132904,0.255186,0.500044", \ "0.020694,0.030720,0.043347,0.072277,0.132961,0.255194,0.500059"); } } timing () { related_pin : "B2"; when : "!A1 & A2 & !B1 & C1 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.157480,0.168051,0.176064,0.188907,0.210173,0.247752,0.319012", \ "0.162375,0.172958,0.180958,0.193804,0.215072,0.252652,0.323908", \ "0.178173,0.188755,0.196761,0.209606,0.230878,0.268455,0.339706", \ "0.206483,0.217070,0.225038,0.237795,0.259071,0.296644,0.367896", \ "0.244834,0.255458,0.263427,0.275938,0.297138,0.334755,0.406029", \ "0.285852,0.296515,0.304564,0.316964,0.338284,0.375971,0.447218", \ "0.325052,0.336015,0.344206,0.356877,0.378362,0.416083,0.487344"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.289658,0.308665,0.325234,0.357225,0.421296,0.549403,0.805225", \ "0.294317,0.313339,0.329905,0.361933,0.425953,0.554082,0.809902", \ "0.311559,0.330568,0.347120,0.379114,0.443185,0.571288,0.827112", \ "0.341120,0.360127,0.376693,0.408664,0.472686,0.600783,0.856629", \ "0.385948,0.404954,0.421558,0.453741,0.517814,0.645886,0.901685", \ "0.447530,0.466638,0.483227,0.515244,0.579287,0.707342,0.963155", \ "0.519704,0.539405,0.556116,0.588006,0.651952,0.779916,1.035709"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013322,0.017244,0.020954,0.028065,0.042228,0.071532,0.132897", \ "0.013324,0.017243,0.020953,0.028065,0.042228,0.071533,0.132898", \ "0.013323,0.017244,0.020953,0.028065,0.042227,0.071534,0.132894", \ "0.013326,0.017247,0.020956,0.028068,0.042229,0.071533,0.132890", \ "0.013530,0.017426,0.021110,0.028186,0.042308,0.071569,0.132906", \ "0.013955,0.017777,0.021417,0.028439,0.042488,0.071675,0.132943", \ "0.014634,0.018351,0.021921,0.028847,0.042778,0.071842,0.132994"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.019270,0.029654,0.042771,0.072088,0.132909,0.255179,0.500058", \ "0.019278,0.029657,0.042771,0.072087,0.132906,0.255183,0.500057", \ "0.019273,0.029656,0.042771,0.072088,0.132909,0.255173,0.500056", \ "0.019277,0.029656,0.042773,0.072089,0.132900,0.255178,0.500056", \ "0.019283,0.029660,0.042772,0.072087,0.132904,0.255182,0.500052", \ "0.019549,0.029837,0.042858,0.072113,0.132915,0.255186,0.500044", \ "0.020687,0.030719,0.043347,0.072278,0.132970,0.255204,0.500050"); } } timing () { related_pin : "B2"; when : "A1 & !A2 & !B1 & !C1 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.178603,0.189382,0.197513,0.210497,0.231899,0.269577,0.340868", \ "0.183107,0.193886,0.202017,0.214999,0.236400,0.274087,0.345376", \ "0.198477,0.209258,0.217378,0.230370,0.251767,0.289442,0.360734", \ "0.226545,0.237318,0.245417,0.258264,0.279662,0.317346,0.388653", \ "0.266167,0.276984,0.285061,0.297724,0.319093,0.356789,0.428115", \ "0.309437,0.320339,0.328500,0.341111,0.362569,0.400326,0.471651", \ "0.351201,0.362418,0.370717,0.383585,0.405212,0.443062,0.514391"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.289883,0.308894,0.325452,0.357453,0.421515,0.549625,0.805448", \ "0.294943,0.313957,0.330544,0.362532,0.426609,0.554717,0.810528", \ "0.312116,0.331127,0.347730,0.379709,0.443745,0.571847,0.827691", \ "0.341454,0.360470,0.377024,0.408991,0.473029,0.601129,0.856970", \ "0.386092,0.405099,0.421709,0.453949,0.517942,0.646002,0.901806", \ "0.447574,0.466681,0.483284,0.515278,0.579329,0.707382,0.963194", \ "0.519578,0.539282,0.555992,0.587864,0.651793,0.779796,1.035558"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013901,0.017762,0.021425,0.028467,0.042535,0.071729,0.132973", \ "0.013902,0.017763,0.021426,0.028467,0.042536,0.071727,0.132973", \ "0.013904,0.017763,0.021424,0.028467,0.042534,0.071727,0.132973", \ "0.013900,0.017761,0.021423,0.028467,0.042535,0.071729,0.132974", \ "0.014079,0.017904,0.021545,0.028562,0.042597,0.071757,0.132988", \ "0.014516,0.018283,0.021882,0.028841,0.042802,0.071879,0.133041", \ "0.015235,0.018895,0.022422,0.029281,0.043116,0.072062,0.133092"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.019271,0.029654,0.042771,0.072087,0.132902,0.255182,0.500058", \ "0.019272,0.029656,0.042772,0.072091,0.132910,0.255181,0.500048", \ "0.019273,0.029654,0.042771,0.072090,0.132905,0.255174,0.500053", \ "0.019276,0.029656,0.042773,0.072088,0.132899,0.255169,0.500056", \ "0.019282,0.029658,0.042771,0.072085,0.132909,0.255180,0.500054", \ "0.019546,0.029836,0.042861,0.072111,0.132904,0.255186,0.500044", \ "0.020694,0.030720,0.043347,0.072277,0.132961,0.255194,0.500059"); } } timing () { related_pin : "B2"; when : "A1 & !A2 & !B1 & C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.166643,0.177359,0.185459,0.198404,0.219761,0.257395,0.328693", \ "0.171146,0.181867,0.189952,0.202898,0.224255,0.261897,0.333185", \ "0.186475,0.197195,0.205281,0.218227,0.239584,0.277229,0.348533", \ "0.214206,0.224932,0.232966,0.245756,0.267111,0.304784,0.376054", \ "0.251875,0.262658,0.270724,0.283247,0.304586,0.342279,0.413549", \ "0.291585,0.302469,0.310635,0.323196,0.344639,0.382377,0.453700", \ "0.328713,0.339956,0.348273,0.361139,0.382766,0.420613,0.491934"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.282897,0.301033,0.317396,0.349426,0.413595,0.541753,0.797622", \ "0.287949,0.306085,0.322464,0.354494,0.418658,0.546838,0.802689", \ "0.305107,0.323245,0.339629,0.371660,0.435816,0.563954,0.819847", \ "0.334449,0.352592,0.368922,0.400929,0.465082,0.593228,0.849117", \ "0.379078,0.397217,0.413651,0.445924,0.509994,0.638126,0.893975", \ "0.440495,0.458727,0.475118,0.507182,0.571315,0.699407,0.955264", \ "0.512016,0.530777,0.547205,0.579072,0.643080,0.771159,1.027006"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013722,0.017599,0.021276,0.028341,0.042438,0.071667,0.132946", \ "0.013718,0.017600,0.021276,0.028339,0.042438,0.071667,0.132943", \ "0.013722,0.017599,0.021276,0.028339,0.042439,0.071664,0.132947", \ "0.013729,0.017607,0.021283,0.028345,0.042442,0.071665,0.132941", \ "0.013981,0.017822,0.021467,0.028491,0.042539,0.071716,0.132960", \ "0.014473,0.018238,0.021835,0.028797,0.042760,0.071848,0.133018", \ "0.015294,0.018941,0.022455,0.029301,0.043123,0.072057,0.133082"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.017735,0.028419,0.042056,0.071793,0.132751,0.255075,0.500001", \ "0.017735,0.028417,0.042058,0.071786,0.132739,0.255084,0.500002", \ "0.017732,0.028419,0.042057,0.071789,0.132751,0.255076,0.500002", \ "0.017735,0.028419,0.042058,0.071787,0.132747,0.255083,0.500017", \ "0.017738,0.028419,0.042059,0.071786,0.132740,0.255069,0.500016", \ "0.018051,0.028594,0.042135,0.071806,0.132759,0.255083,0.500015", \ "0.019136,0.029302,0.042489,0.071923,0.132784,0.255089,0.500008"); } } timing () { related_pin : "B2"; when : "A1 & !A2 & !B1 & C1 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.147765,0.158293,0.166262,0.179072,0.200303,0.237861,0.309104", \ "0.152654,0.163179,0.171159,0.183963,0.205195,0.242751,0.314005", \ "0.168401,0.178917,0.186896,0.199705,0.220938,0.258490,0.329740", \ "0.196263,0.206819,0.214730,0.227438,0.248681,0.286222,0.357452", \ "0.232539,0.243111,0.251042,0.263458,0.284747,0.322341,0.393590", \ "0.270178,0.280804,0.288846,0.301202,0.322511,0.360172,0.431415", \ "0.304986,0.315979,0.324172,0.336848,0.358323,0.396045,0.467305"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.282669,0.300805,0.317164,0.349206,0.413372,0.541529,0.797405", \ "0.287317,0.305454,0.321842,0.353899,0.418010,0.546191,0.802068", \ "0.304553,0.322690,0.339037,0.371079,0.435245,0.563408,0.819271", \ "0.334111,0.352255,0.368590,0.400591,0.464746,0.592889,0.848781", \ "0.378930,0.397072,0.413503,0.445669,0.509846,0.638012,0.893858", \ "0.440453,0.458685,0.475074,0.507143,0.571249,0.699361,0.955222", \ "0.512152,0.530911,0.547333,0.579216,0.643246,0.771305,1.027155"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013168,0.017107,0.020830,0.027961,0.042148,0.071483,0.132872", \ "0.013167,0.017109,0.020829,0.027960,0.042147,0.071481,0.132874", \ "0.013168,0.017109,0.020830,0.027961,0.042147,0.071482,0.132871", \ "0.013188,0.017126,0.020844,0.027971,0.042154,0.071485,0.132872", \ "0.013450,0.017346,0.021038,0.028128,0.042260,0.071537,0.132884", \ "0.013929,0.017742,0.021384,0.028406,0.042458,0.071651,0.132926", \ "0.014701,0.018400,0.021958,0.028871,0.042789,0.071841,0.132985"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.017734,0.028419,0.042059,0.071788,0.132745,0.255076,0.500015", \ "0.017732,0.028419,0.042057,0.071792,0.132738,0.255078,0.500008", \ "0.017736,0.028419,0.042059,0.071788,0.132745,0.255073,0.500002", \ "0.017735,0.028417,0.042058,0.071792,0.132753,0.255083,0.500017", \ "0.017739,0.028422,0.042058,0.071788,0.132740,0.255078,0.500016", \ "0.018052,0.028594,0.042134,0.071806,0.132748,0.255083,0.500015", \ "0.019135,0.029302,0.042489,0.071920,0.132794,0.255094,0.500008"); } } timing () { related_pin : "B2"; when : "A1 & A2 & !B1 & !C1 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.165188,0.175867,0.183937,0.196845,0.218175,0.255797,0.327060", \ "0.169737,0.180426,0.188493,0.201403,0.222732,0.260353,0.331618", \ "0.186038,0.196719,0.204787,0.217697,0.239023,0.276643,0.347908", \ "0.216842,0.227523,0.235530,0.248321,0.269647,0.307281,0.378552", \ "0.259639,0.270388,0.278411,0.290984,0.312258,0.349912,0.421186", \ "0.304638,0.315456,0.323575,0.336115,0.357526,0.395234,0.466509", \ "0.346807,0.357989,0.366282,0.379107,0.400716,0.438506,0.509813"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.298832,0.317913,0.334514,0.366487,0.430548,0.558660,0.814464", \ "0.304121,0.323202,0.339800,0.371824,0.435810,0.563941,0.819756", \ "0.321157,0.340235,0.356835,0.388778,0.452852,0.580974,0.836781", \ "0.350389,0.369454,0.385996,0.417954,0.482006,0.610088,0.865958", \ "0.394994,0.414069,0.430679,0.462872,0.526851,0.654915,0.910728", \ "0.456859,0.476019,0.492601,0.524642,0.588684,0.716732,0.972520", \ "0.529999,0.549729,0.566447,0.598381,0.662212,0.790206,1.045997"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013613,0.017498,0.021178,0.028253,0.042366,0.071617,0.132925", \ "0.013615,0.017497,0.021178,0.028253,0.042366,0.071618,0.132928", \ "0.013614,0.017496,0.021178,0.028253,0.042368,0.071620,0.132928", \ "0.013611,0.017496,0.021180,0.028253,0.042366,0.071617,0.132928", \ "0.013837,0.017670,0.021324,0.028365,0.042438,0.071655,0.132937", \ "0.014334,0.018102,0.021704,0.028676,0.042664,0.071784,0.132991", \ "0.015174,0.018814,0.022330,0.029183,0.043023,0.071988,0.133053"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.019419,0.029756,0.042824,0.072112,0.132912,0.255186,0.500048", \ "0.019421,0.029756,0.042826,0.072110,0.132905,0.255187,0.500052", \ "0.019416,0.029755,0.042825,0.072112,0.132910,0.255182,0.500047", \ "0.019423,0.029757,0.042825,0.072109,0.132905,0.255176,0.500049", \ "0.019421,0.029757,0.042825,0.072106,0.132915,0.255182,0.500051", \ "0.019628,0.029897,0.042893,0.072132,0.132922,0.255186,0.500058", \ "0.020764,0.030759,0.043369,0.072285,0.132965,0.255199,0.500062"); } } timing () { related_pin : "B2"; when : "A1 & A2 & !B1 & C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.155040,0.165676,0.173706,0.186577,0.207869,0.245477,0.316713", \ "0.159597,0.170229,0.178260,0.191131,0.212425,0.250033,0.321268", \ "0.175861,0.186495,0.194527,0.207400,0.228698,0.266301,0.337539", \ "0.206267,0.216902,0.224877,0.237644,0.258934,0.296538,0.367788", \ "0.246688,0.257406,0.265413,0.277950,0.299213,0.336851,0.408103", \ "0.287748,0.298561,0.306667,0.319184,0.340563,0.378262,0.449529", \ "0.325127,0.336360,0.344675,0.357490,0.379123,0.416919,0.488209"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.291915,0.310121,0.326518,0.358519,0.422671,0.550848,0.806691", \ "0.297205,0.315401,0.331788,0.363821,0.427951,0.556097,0.811981", \ "0.314220,0.332420,0.348821,0.380789,0.444965,0.573144,0.828995", \ "0.343454,0.361648,0.377994,0.409967,0.474119,0.602255,0.858125", \ "0.388064,0.406268,0.422665,0.454913,0.518960,0.647087,0.902945", \ "0.449892,0.468164,0.484543,0.516601,0.580710,0.708814,0.964669", \ "0.522567,0.541359,0.557785,0.589716,0.653657,0.781721,1.037562"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013458,0.017356,0.021051,0.028144,0.042283,0.071565,0.132901", \ "0.013455,0.017356,0.021050,0.028143,0.042283,0.071565,0.132901", \ "0.013456,0.017356,0.021050,0.028143,0.042284,0.071565,0.132903", \ "0.013471,0.017367,0.021061,0.028152,0.042289,0.071567,0.132907", \ "0.013750,0.017611,0.021270,0.028316,0.042398,0.071621,0.132922", \ "0.014331,0.018088,0.021689,0.028658,0.042645,0.071761,0.132977", \ "0.015283,0.018900,0.022400,0.029237,0.043056,0.071997,0.133047"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.017889,0.028510,0.042102,0.071806,0.132753,0.255084,0.500004", \ "0.017885,0.028508,0.042100,0.071801,0.132740,0.255081,0.500006", \ "0.017889,0.028510,0.042102,0.071800,0.132745,0.255082,0.500008", \ "0.017889,0.028509,0.042100,0.071803,0.132753,0.255082,0.500018", \ "0.017890,0.028512,0.042100,0.071801,0.132739,0.255088,0.500007", \ "0.018127,0.028648,0.042164,0.071822,0.132754,0.255077,0.500014", \ "0.019211,0.029351,0.042512,0.071928,0.132800,0.255096,0.500009"); } } timing () { related_pin : "B2"; when : "A1 & A2 & !B1 & C1 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.135556,0.145993,0.153907,0.166639,0.187816,0.225317,0.296513", \ "0.140526,0.150960,0.158874,0.171607,0.192776,0.230283,0.301486", \ "0.157498,0.167929,0.175845,0.188581,0.209749,0.247251,0.318454", \ "0.188319,0.198765,0.206648,0.219292,0.240452,0.277945,0.349155", \ "0.227075,0.237576,0.245458,0.257844,0.279071,0.316642,0.387844", \ "0.265829,0.276442,0.284422,0.296733,0.317994,0.355590,0.426829", \ "0.300908,0.311873,0.320031,0.332669,0.354087,0.391794,0.463042"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.291717,0.309913,0.326309,0.358308,0.422454,0.550643,0.806485", \ "0.296568,0.314764,0.331150,0.363161,0.427316,0.555488,0.811334", \ "0.313613,0.331817,0.348228,0.380183,0.444362,0.572540,0.828391", \ "0.343126,0.361325,0.377649,0.409623,0.473772,0.601907,0.857778", \ "0.387910,0.406109,0.422514,0.454729,0.518843,0.646963,0.902832", \ "0.449852,0.468122,0.484502,0.516572,0.580669,0.708780,0.964635", \ "0.522700,0.541481,0.557908,0.589781,0.653780,0.781858,1.037705"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.012882,0.016848,0.020592,0.027758,0.041992,0.071383,0.132831", \ "0.012881,0.016849,0.020592,0.027758,0.041991,0.071381,0.132831", \ "0.012881,0.016848,0.020592,0.027758,0.041991,0.071383,0.132834", \ "0.012909,0.016872,0.020612,0.027770,0.042000,0.071386,0.132839", \ "0.013209,0.017116,0.020825,0.027941,0.042115,0.071445,0.132851", \ "0.013752,0.017567,0.021212,0.028250,0.042328,0.071560,0.132893", \ "0.014651,0.018324,0.021872,0.028779,0.042701,0.071767,0.132947"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.017889,0.028511,0.042102,0.071807,0.132738,0.255084,0.500004", \ "0.017889,0.028510,0.042102,0.071803,0.132752,0.255082,0.500003", \ "0.017888,0.028509,0.042103,0.071808,0.132737,0.255081,0.500007", \ "0.017889,0.028509,0.042102,0.071806,0.132746,0.255082,0.500018", \ "0.017888,0.028511,0.042103,0.071802,0.132757,0.255087,0.500018", \ "0.018128,0.028649,0.042162,0.071821,0.132750,0.255077,0.500009", \ "0.019206,0.029350,0.042513,0.071926,0.132787,0.255104,0.500008"); } } timing () { related_pin : "C1"; when : "!A1 & A2 & !B1 & B2 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.184560,0.195336,0.203466,0.216454,0.237851,0.275536,0.346812", \ "0.190367,0.201148,0.209279,0.222267,0.243665,0.281344,0.352623", \ "0.205498,0.216272,0.224417,0.237403,0.258800,0.296470,0.367762", \ "0.229103,0.239877,0.247968,0.260894,0.282290,0.319975,0.391282", \ "0.261159,0.271997,0.280172,0.292976,0.314330,0.352042,0.423314", \ "0.296866,0.307785,0.315971,0.328834,0.350369,0.388129,0.459443", \ "0.333324,0.344434,0.352710,0.365488,0.387074,0.424908,0.496260"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.316029,0.336187,0.353111,0.385072,0.449034,0.577004,0.832793", \ "0.318282,0.338442,0.355358,0.387404,0.451247,0.579278,0.835067", \ "0.331604,0.351752,0.368680,0.400709,0.464644,0.592588,0.848417", \ "0.362065,0.382221,0.399086,0.430857,0.494797,0.622752,0.878518", \ "0.413469,0.433617,0.450523,0.482372,0.546255,0.674247,0.929974", \ "0.488514,0.508697,0.525603,0.557468,0.621391,0.749388,1.005164", \ "0.580712,0.601615,0.618706,0.650616,0.714310,0.842200,1.097957"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013903,0.017763,0.021425,0.028469,0.042534,0.071728,0.132972", \ "0.013901,0.017763,0.021424,0.028467,0.042535,0.071730,0.132972", \ "0.013904,0.017763,0.021425,0.028467,0.042535,0.071730,0.132977", \ "0.013897,0.017758,0.021421,0.028464,0.042533,0.071728,0.132972", \ "0.014063,0.017881,0.021525,0.028541,0.042582,0.071751,0.132982", \ "0.014437,0.018224,0.021833,0.028804,0.042780,0.071868,0.133030", \ "0.015005,0.018722,0.022280,0.029180,0.043060,0.072040,0.133094"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.021226,0.031425,0.043874,0.072556,0.133109,0.255299,0.500110", \ "0.021225,0.031427,0.043876,0.072559,0.133106,0.255310,0.500119", \ "0.021222,0.031425,0.043876,0.072561,0.133109,0.255302,0.500110", \ "0.021230,0.031428,0.043875,0.072555,0.133116,0.255298,0.500115", \ "0.021223,0.031427,0.043876,0.072551,0.133120,0.255302,0.500118", \ "0.021291,0.031473,0.043901,0.072565,0.133120,0.255296,0.500107", \ "0.022731,0.032658,0.044600,0.072797,0.133177,0.255334,0.500112"); } } timing () { related_pin : "C1"; when : "!A1 & A2 & B1 & !B2 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.172589,0.183303,0.191399,0.204335,0.225696,0.263348,0.334630", \ "0.178376,0.189089,0.197176,0.210121,0.231477,0.269127,0.340413", \ "0.193410,0.204132,0.212224,0.225168,0.246528,0.284165,0.355455", \ "0.216787,0.227507,0.235576,0.248465,0.269820,0.307495,0.378762", \ "0.247729,0.258520,0.266638,0.279363,0.300692,0.338365,0.409640", \ "0.281350,0.292231,0.300375,0.313151,0.334636,0.372373,0.443691", \ "0.314767,0.325883,0.334139,0.346886,0.368476,0.406288,0.477617"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.309283,0.328537,0.345164,0.377171,0.441156,0.569205,0.825036", \ "0.311556,0.330811,0.347431,0.379457,0.443423,0.571466,0.827283", \ "0.324867,0.344121,0.360733,0.392734,0.456699,0.584764,0.840619", \ "0.355304,0.374565,0.391121,0.422902,0.486936,0.614951,0.870760", \ "0.406751,0.426012,0.442614,0.474454,0.538431,0.666437,0.922250", \ "0.481860,0.501165,0.517765,0.549603,0.613606,0.741658,0.997486", \ "0.573448,0.593424,0.610153,0.641943,0.705748,0.833728,1.089530"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013718,0.017598,0.021276,0.028340,0.042437,0.071667,0.132949", \ "0.013719,0.017598,0.021276,0.028339,0.042438,0.071666,0.132942", \ "0.013719,0.017600,0.021276,0.028339,0.042439,0.071665,0.132944", \ "0.013716,0.017598,0.021275,0.028341,0.042437,0.071666,0.132941", \ "0.013928,0.017780,0.021431,0.028460,0.042516,0.071702,0.132952", \ "0.014347,0.018141,0.021755,0.028735,0.042723,0.071829,0.133013", \ "0.014992,0.018701,0.022256,0.029155,0.043034,0.072023,0.133077"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.019790,0.030041,0.042980,0.072162,0.132924,0.255201,0.500059", \ "0.019794,0.030040,0.042981,0.072163,0.132940,0.255199,0.500052", \ "0.019793,0.030041,0.042984,0.072167,0.132924,0.255192,0.500051", \ "0.019795,0.030042,0.042981,0.072162,0.132929,0.255192,0.500050", \ "0.019793,0.030043,0.042981,0.072164,0.132926,0.255193,0.500054", \ "0.019871,0.030087,0.043005,0.072168,0.132928,0.255200,0.500055", \ "0.021307,0.031167,0.043586,0.072354,0.132992,0.255215,0.500071"); } } timing () { related_pin : "C1"; when : "!A1 & A2 & B1 & B2 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.155368,0.165924,0.173921,0.186743,0.207993,0.245556,0.316805", \ "0.161166,0.171719,0.179707,0.192535,0.213785,0.251351,0.322602", \ "0.177486,0.188040,0.196033,0.208863,0.230111,0.267682,0.338924", \ "0.203555,0.214117,0.222080,0.234853,0.256109,0.293674,0.364908", \ "0.237482,0.248101,0.256112,0.268751,0.289992,0.327583,0.398856", \ "0.273732,0.284477,0.292531,0.305122,0.326526,0.364200,0.435440", \ "0.308931,0.319898,0.328092,0.340733,0.362206,0.399953,0.471255"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.315969,0.335259,0.351899,0.383808,0.447786,0.575920,0.831712", \ "0.318332,0.337623,0.354246,0.386286,0.450176,0.578290,0.834102", \ "0.331499,0.350787,0.367408,0.399379,0.463357,0.591425,0.847280", \ "0.361880,0.381176,0.397753,0.429561,0.493534,0.621549,0.877368", \ "0.413306,0.432603,0.449216,0.481020,0.544977,0.673012,0.928818", \ "0.488521,0.507830,0.524431,0.556260,0.620278,0.748313,1.004145", \ "0.581262,0.601212,0.617936,0.649725,0.713562,0.841494,1.097316"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013251,0.017178,0.020893,0.028015,0.042187,0.071507,0.132877", \ "0.013250,0.017179,0.020893,0.028015,0.042187,0.071505,0.132884", \ "0.013248,0.017179,0.020893,0.028014,0.042186,0.071507,0.132884", \ "0.013253,0.017181,0.020896,0.028016,0.042187,0.071505,0.132882", \ "0.013495,0.017389,0.021075,0.028156,0.042280,0.071548,0.132890", \ "0.013947,0.017774,0.021416,0.028437,0.042486,0.071675,0.132935", \ "0.014664,0.018390,0.021960,0.028891,0.042818,0.071868,0.133006"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.019872,0.030096,0.043012,0.072173,0.132929,0.255192,0.500052", \ "0.019864,0.030092,0.043010,0.072170,0.132936,0.255193,0.500054", \ "0.019864,0.030095,0.043011,0.072175,0.132941,0.255188,0.500052", \ "0.019864,0.030094,0.043010,0.072171,0.132939,0.255190,0.500051", \ "0.019869,0.030097,0.043013,0.072175,0.132939,0.255202,0.500051", \ "0.019923,0.030130,0.043027,0.072182,0.132930,0.255201,0.500061", \ "0.021251,0.031121,0.043557,0.072339,0.132981,0.255221,0.500058"); } } timing () { related_pin : "C1"; when : "A1 & !A2 & !B1 & B2 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.172589,0.183303,0.191399,0.204335,0.225696,0.263348,0.334630", \ "0.178376,0.189089,0.197176,0.210121,0.231477,0.269127,0.340413", \ "0.193410,0.204132,0.212224,0.225168,0.246528,0.284165,0.355455", \ "0.216787,0.227507,0.235576,0.248465,0.269820,0.307495,0.378762", \ "0.247729,0.258520,0.266638,0.279363,0.300692,0.338365,0.409640", \ "0.281350,0.292231,0.300375,0.313151,0.334636,0.372373,0.443691", \ "0.314767,0.325883,0.334139,0.346886,0.368476,0.406288,0.477617"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.309283,0.328537,0.345164,0.377171,0.441156,0.569205,0.825036", \ "0.311556,0.330811,0.347431,0.379457,0.443423,0.571466,0.827283", \ "0.324867,0.344121,0.360733,0.392734,0.456699,0.584764,0.840619", \ "0.355304,0.374565,0.391121,0.422902,0.486936,0.614951,0.870760", \ "0.406751,0.426012,0.442614,0.474454,0.538431,0.666437,0.922250", \ "0.481860,0.501165,0.517765,0.549603,0.613606,0.741658,0.997486", \ "0.573448,0.593424,0.610153,0.641943,0.705748,0.833728,1.089530"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013718,0.017598,0.021276,0.028340,0.042437,0.071667,0.132949", \ "0.013719,0.017598,0.021276,0.028339,0.042438,0.071666,0.132942", \ "0.013719,0.017600,0.021276,0.028339,0.042439,0.071665,0.132944", \ "0.013716,0.017598,0.021275,0.028341,0.042437,0.071666,0.132941", \ "0.013928,0.017780,0.021431,0.028460,0.042516,0.071702,0.132952", \ "0.014347,0.018141,0.021755,0.028735,0.042723,0.071829,0.133013", \ "0.014992,0.018701,0.022256,0.029155,0.043034,0.072023,0.133077"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.019790,0.030041,0.042980,0.072162,0.132924,0.255201,0.500059", \ "0.019794,0.030040,0.042981,0.072163,0.132940,0.255199,0.500052", \ "0.019793,0.030041,0.042984,0.072167,0.132924,0.255192,0.500051", \ "0.019795,0.030042,0.042981,0.072162,0.132929,0.255192,0.500050", \ "0.019793,0.030043,0.042981,0.072164,0.132926,0.255193,0.500054", \ "0.019871,0.030087,0.043005,0.072168,0.132928,0.255200,0.500055", \ "0.021307,0.031167,0.043586,0.072354,0.132992,0.255215,0.500071"); } } timing () { related_pin : "C1"; when : "A1 & !A2 & B1 & !B2 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.160848,0.171503,0.179555,0.192447,0.213761,0.251370,0.322635", \ "0.166593,0.177249,0.185296,0.198192,0.219507,0.257113,0.328381", \ "0.181508,0.192158,0.200211,0.213101,0.234418,0.272040,0.343305", \ "0.204521,0.215200,0.223226,0.236064,0.257378,0.294984,0.366235", \ "0.234014,0.244742,0.252848,0.265559,0.286847,0.324506,0.395775", \ "0.265163,0.276026,0.284147,0.296875,0.318342,0.356063,0.427359", \ "0.295011,0.306148,0.314400,0.327139,0.348701,0.386514,0.457834"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.302251,0.320607,0.337014,0.369034,0.433088,0.561223,0.817092", \ "0.304501,0.322861,0.339266,0.371278,0.435357,0.563486,0.819355", \ "0.317805,0.336165,0.352565,0.384565,0.448654,0.576787,0.832688", \ "0.348276,0.366638,0.382965,0.414712,0.478878,0.606998,0.862840", \ "0.399754,0.418115,0.434486,0.466317,0.530395,0.658506,0.914357", \ "0.474964,0.493352,0.509736,0.541599,0.605634,0.733800,0.989660", \ "0.565849,0.584874,0.601303,0.633020,0.696958,0.825039,1.080889"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013521,0.017422,0.021114,0.028202,0.042332,0.071597,0.132909", \ "0.013522,0.017422,0.021115,0.028202,0.042333,0.071598,0.132910", \ "0.013522,0.017422,0.021115,0.028203,0.042333,0.071598,0.132914", \ "0.013536,0.017434,0.021125,0.028210,0.042337,0.071600,0.132911", \ "0.013798,0.017662,0.021327,0.028376,0.042452,0.071659,0.132929", \ "0.014272,0.018069,0.021688,0.028674,0.042675,0.071796,0.132990", \ "0.015013,0.018716,0.022267,0.029158,0.043031,0.072013,0.133069"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.018244,0.028735,0.042214,0.071845,0.132759,0.255094,0.500023", \ "0.018242,0.028735,0.042214,0.071838,0.132769,0.255097,0.500025", \ "0.018244,0.028735,0.042215,0.071843,0.132771,0.255093,0.500024", \ "0.018248,0.028733,0.042212,0.071838,0.132777,0.255085,0.500024", \ "0.018246,0.028737,0.042215,0.071838,0.132756,0.255094,0.500023", \ "0.018343,0.028784,0.042234,0.071848,0.132763,0.255086,0.500024", \ "0.019753,0.029699,0.042676,0.071973,0.132817,0.255111,0.500018"); } } timing () { related_pin : "C1"; when : "A1 & !A2 & B1 & B2 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.145837,0.156333,0.164295,0.177081,0.198300,0.235836,0.307063", \ "0.151594,0.162093,0.170050,0.182841,0.204051,0.241598,0.312823", \ "0.167806,0.178309,0.186271,0.199055,0.220272,0.257812,0.329041", \ "0.193451,0.203971,0.211911,0.224654,0.245858,0.283394,0.354608", \ "0.225648,0.236222,0.244224,0.256836,0.278062,0.315648,0.386906", \ "0.259128,0.269842,0.277879,0.290426,0.311828,0.349483,0.420732", \ "0.290341,0.301350,0.309544,0.322167,0.343665,0.381417,0.452699"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.308932,0.327329,0.343724,0.375679,0.439766,0.567957,0.823789", \ "0.311312,0.329692,0.346083,0.378102,0.442144,0.570287,0.826161", \ "0.324456,0.342847,0.359235,0.391205,0.455311,0.583452,0.839346", \ "0.354869,0.373250,0.389588,0.421387,0.485466,0.613586,0.869446", \ "0.406322,0.424702,0.441081,0.472877,0.536976,0.665060,0.920931", \ "0.481620,0.500022,0.516399,0.548243,0.612320,0.740438,0.996312", \ "0.573735,0.592729,0.609154,0.640883,0.704816,0.832898,1.088747"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013080,0.017030,0.020758,0.027899,0.042100,0.071450,0.132850", \ "0.013083,0.017030,0.020758,0.027899,0.042100,0.071450,0.132853", \ "0.013082,0.017029,0.020758,0.027900,0.042101,0.071450,0.132858", \ "0.013105,0.017049,0.020775,0.027909,0.042108,0.071454,0.132858", \ "0.013401,0.017298,0.020991,0.028088,0.042232,0.071517,0.132875", \ "0.013914,0.017734,0.021376,0.028401,0.042456,0.071648,0.132921", \ "0.014740,0.018447,0.022008,0.028925,0.042835,0.071874,0.132998"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.018319,0.028781,0.042236,0.071851,0.132761,0.255096,0.500026", \ "0.018317,0.028781,0.042237,0.071852,0.132763,0.255085,0.500023", \ "0.018317,0.028780,0.042233,0.071849,0.132769,0.255094,0.500026", \ "0.018317,0.028782,0.042237,0.071850,0.132775,0.255099,0.500026", \ "0.018321,0.028780,0.042235,0.071851,0.132762,0.255095,0.500015", \ "0.018383,0.028816,0.042249,0.071851,0.132776,0.255097,0.500023", \ "0.019706,0.029663,0.042657,0.071970,0.132815,0.255113,0.500012"); } } timing () { related_pin : "C1"; when : "A1 & A2 & !B1 & B2 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.161041,0.171676,0.179706,0.192579,0.213872,0.251481,0.322716", \ "0.166801,0.177435,0.185466,0.198339,0.219630,0.257241,0.328478", \ "0.182066,0.192702,0.200735,0.213607,0.234893,0.272510,0.343748", \ "0.206806,0.217440,0.225444,0.238276,0.259571,0.297163,0.368406", \ "0.239884,0.250580,0.258646,0.271324,0.292596,0.330224,0.401497", \ "0.275655,0.286480,0.294579,0.307262,0.328701,0.366404,0.437677", \ "0.310713,0.321779,0.330010,0.342713,0.364237,0.402014,0.473323"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.319823,0.339139,0.355762,0.387795,0.451712,0.579798,0.835622", \ "0.322126,0.341444,0.358097,0.390001,0.454003,0.582075,0.837904", \ "0.335458,0.354770,0.371394,0.403391,0.467340,0.595416,0.851246", \ "0.365932,0.385250,0.401811,0.433564,0.497577,0.625598,0.881413", \ "0.417373,0.436690,0.453286,0.485054,0.549041,0.677102,0.932888", \ "0.492487,0.511822,0.528420,0.560246,0.624231,0.752295,1.008116", \ "0.585538,0.605511,0.622222,0.653990,0.717843,0.845798,1.101612"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013456,0.017357,0.021051,0.028144,0.042283,0.071562,0.132902", \ "0.013458,0.017356,0.021051,0.028144,0.042283,0.071566,0.132905", \ "0.013456,0.017354,0.021050,0.028144,0.042282,0.071565,0.132904", \ "0.013458,0.017358,0.021053,0.028145,0.042283,0.071565,0.132899", \ "0.013698,0.017566,0.021235,0.028286,0.042378,0.071613,0.132913", \ "0.014167,0.017966,0.021587,0.028583,0.042598,0.071744,0.132970", \ "0.014888,0.018589,0.022142,0.029044,0.042936,0.071948,0.133042"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.019923,0.030134,0.043030,0.072177,0.132932,0.255195,0.500063", \ "0.019923,0.030133,0.043030,0.072179,0.132926,0.255205,0.500064", \ "0.019921,0.030133,0.043030,0.072178,0.132935,0.255194,0.500066", \ "0.019920,0.030131,0.043031,0.072179,0.132934,0.255193,0.500056", \ "0.019927,0.030134,0.043030,0.072177,0.132934,0.255205,0.500057", \ "0.019984,0.030173,0.043054,0.072188,0.132945,0.255202,0.500066", \ "0.021308,0.031145,0.043573,0.072351,0.132991,0.255216,0.500073"); } } timing () { related_pin : "C1"; when : "A1 & A2 & B1 & !B2 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.151135,0.161714,0.169709,0.182539,0.203794,0.241372,0.312594", \ "0.156861,0.167440,0.175438,0.188268,0.209523,0.247093,0.318319", \ "0.172004,0.182589,0.190585,0.203415,0.224669,0.262243,0.333473", \ "0.196329,0.206932,0.214918,0.227699,0.248952,0.286512,0.357737", \ "0.227754,0.238421,0.246452,0.259126,0.280372,0.317981,0.389230", \ "0.260842,0.271643,0.279738,0.292393,0.313829,0.351504,0.422785", \ "0.292020,0.303119,0.311364,0.324053,0.345583,0.383363,0.454679"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.312876,0.331286,0.347682,0.379683,0.443711,0.571872,0.827751", \ "0.315182,0.333597,0.349979,0.381992,0.446022,0.574177,0.830051", \ "0.328491,0.346907,0.363318,0.395249,0.459414,0.587525,0.843376", \ "0.358980,0.377397,0.393727,0.425476,0.489578,0.617705,0.873571", \ "0.410446,0.428861,0.445234,0.477023,0.541096,0.669203,0.925064", \ "0.485646,0.504075,0.520454,0.552304,0.616364,0.744488,1.000355", \ "0.578116,0.597138,0.613568,0.645311,0.709217,0.837272,1.093152"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013281,0.017202,0.020912,0.028026,0.042194,0.071507,0.132876", \ "0.013280,0.017204,0.020912,0.028025,0.042193,0.071507,0.132880", \ "0.013285,0.017201,0.020913,0.028026,0.042193,0.071508,0.132880", \ "0.013306,0.017220,0.020926,0.028037,0.042201,0.071511,0.132879", \ "0.013605,0.017476,0.021150,0.028219,0.042327,0.071576,0.132892", \ "0.014128,0.017925,0.021549,0.028546,0.042564,0.071718,0.132953", \ "0.014957,0.018644,0.022187,0.029076,0.042955,0.071954,0.133034"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.018383,0.028819,0.042256,0.071858,0.132780,0.255084,0.500019", \ "0.018383,0.028820,0.042253,0.071854,0.132762,0.255089,0.500020", \ "0.018384,0.028819,0.042257,0.071855,0.132774,0.255098,0.500026", \ "0.018382,0.028820,0.042253,0.071859,0.132769,0.255099,0.500020", \ "0.018388,0.028821,0.042254,0.071856,0.132767,0.255096,0.500023", \ "0.018455,0.028863,0.042272,0.071863,0.132765,0.255100,0.500017", \ "0.019766,0.029701,0.042676,0.071978,0.132818,0.255112,0.500020"); } } timing () { related_pin : "C1"; when : "A1 & A2 & B1 & B2 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.135600,0.146018,0.153925,0.166649,0.187804,0.225304,0.296497", \ "0.141339,0.151758,0.159664,0.172389,0.193546,0.231043,0.302238", \ "0.157905,0.168325,0.176231,0.188956,0.210114,0.247607,0.318808", \ "0.185334,0.195769,0.203653,0.216353,0.237509,0.274999,0.346195", \ "0.219661,0.230174,0.238115,0.250682,0.271844,0.309400,0.380608", \ "0.254873,0.265537,0.273534,0.286089,0.307431,0.345035,0.416282", \ "0.286959,0.297957,0.306135,0.318739,0.340214,0.377934,0.449202"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.320050,0.338493,0.354886,0.386884,0.450949,0.579068,0.834944", \ "0.322477,0.340917,0.357307,0.389302,0.453342,0.581490,0.837363", \ "0.335635,0.354079,0.370474,0.402417,0.466565,0.594694,0.850539", \ "0.366065,0.384502,0.400831,0.432576,0.496681,0.624802,0.880662", \ "0.417522,0.435958,0.452330,0.484115,0.548173,0.676283,0.932136", \ "0.492774,0.511227,0.527612,0.559453,0.623501,0.751634,1.007496", \ "0.586403,0.605401,0.621827,0.653534,0.717487,0.845568,1.101420"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.012842,0.016810,0.020556,0.027725,0.041966,0.071364,0.132821", \ "0.012842,0.016809,0.020556,0.027725,0.041965,0.071366,0.132821", \ "0.012845,0.016809,0.020557,0.027725,0.041966,0.071364,0.132827", \ "0.012879,0.016839,0.020581,0.027741,0.041975,0.071370,0.132821", \ "0.013214,0.017117,0.020820,0.027935,0.042112,0.071437,0.132836", \ "0.013786,0.017603,0.021249,0.028281,0.042354,0.071574,0.132889", \ "0.014722,0.018405,0.021954,0.028862,0.042771,0.071820,0.132967"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.018459,0.028867,0.042279,0.071863,0.132782,0.255098,0.500027", \ "0.018457,0.028866,0.042279,0.071866,0.132785,0.255103,0.500018", \ "0.018458,0.028867,0.042278,0.071864,0.132784,0.255093,0.500020", \ "0.018458,0.028865,0.042279,0.071861,0.132777,0.255102,0.500026", \ "0.018459,0.028868,0.042279,0.071862,0.132776,0.255100,0.500026", \ "0.018513,0.028896,0.042291,0.071867,0.132778,0.255099,0.500020", \ "0.019725,0.029667,0.042661,0.071972,0.132805,0.255105,0.500029"); } } timing () { related_pin : "C2"; when : "!A1 & A2 & !B1 & B2 & !C1"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.196206,0.207024,0.215200,0.228221,0.249661,0.287371,0.358658", \ "0.201216,0.212062,0.220236,0.233260,0.254697,0.292411,0.363694", \ "0.216038,0.226874,0.235039,0.248069,0.269506,0.307227,0.378502", \ "0.239845,0.250676,0.258810,0.271773,0.293206,0.330931,0.402224", \ "0.272767,0.283635,0.291849,0.304754,0.326171,0.363895,0.435185", \ "0.310335,0.321274,0.329491,0.342520,0.363991,0.401767,0.473073", \ "0.349204,0.360352,0.368652,0.381483,0.403087,0.440933,0.512303"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.329373,0.349531,0.366448,0.398447,0.462380,0.590377,0.846144", \ "0.333756,0.353907,0.370827,0.402866,0.466753,0.594746,0.850533", \ "0.351549,0.371702,0.388610,0.420633,0.484535,0.612505,0.868313", \ "0.381488,0.401639,0.418536,0.450438,0.514378,0.642388,0.898155", \ "0.426341,0.446500,0.463424,0.495487,0.559517,0.687435,0.943222", \ "0.488864,0.509057,0.525969,0.558089,0.622042,0.749989,1.005778", \ "0.565639,0.586303,0.603359,0.635448,0.699160,0.827063,1.082806"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.014076,0.017920,0.021567,0.028590,0.042629,0.071791,0.133004", \ "0.014076,0.017919,0.021567,0.028589,0.042629,0.071788,0.133004", \ "0.014073,0.017918,0.021566,0.028589,0.042628,0.071792,0.133005", \ "0.014070,0.017916,0.021564,0.028588,0.042627,0.071789,0.133003", \ "0.014177,0.018003,0.021637,0.028643,0.042665,0.071809,0.133011", \ "0.014539,0.018317,0.021920,0.028882,0.042837,0.071912,0.133056", \ "0.015054,0.018770,0.022328,0.029223,0.043093,0.072068,0.133110"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.021224,0.031427,0.043877,0.072560,0.133112,0.255296,0.500120", \ "0.021223,0.031428,0.043877,0.072558,0.133108,0.255306,0.500119", \ "0.021225,0.031426,0.043875,0.072561,0.133110,0.255303,0.500105", \ "0.021223,0.031427,0.043876,0.072556,0.133112,0.255309,0.500109", \ "0.021224,0.031429,0.043874,0.072553,0.133106,0.255297,0.500106", \ "0.021287,0.031473,0.043903,0.072563,0.133118,0.255304,0.500119", \ "0.022241,0.032276,0.044378,0.072734,0.133159,0.255317,0.500118"); } } timing () { related_pin : "C2"; when : "!A1 & A2 & B1 & !B2 & !C1"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.184060,0.194847,0.202968,0.215952,0.237358,0.275043,0.346325", \ "0.189095,0.199872,0.208005,0.220990,0.242396,0.280068,0.351361", \ "0.203859,0.214636,0.222765,0.235754,0.257151,0.294834,0.366112", \ "0.227591,0.238371,0.246434,0.259324,0.280719,0.318402,0.389703", \ "0.259649,0.270465,0.278650,0.291452,0.312837,0.350551,0.421845", \ "0.295318,0.306241,0.314425,0.327337,0.348788,0.386545,0.457863", \ "0.331544,0.342667,0.350949,0.363744,0.385300,0.423132,0.494483"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.322643,0.341905,0.358516,0.390523,0.454484,0.582556,0.838374", \ "0.327022,0.346271,0.362894,0.394868,0.458837,0.586912,0.842749", \ "0.344789,0.364043,0.380680,0.412666,0.476613,0.604700,0.860523", \ "0.374750,0.394000,0.410561,0.442423,0.506462,0.634524,0.890353", \ "0.419591,0.438855,0.455498,0.487665,0.551542,0.679573,0.935384", \ "0.482134,0.501433,0.518050,0.550125,0.614125,0.742191,0.998001", \ "0.558502,0.578260,0.594972,0.626937,0.690756,0.818745,1.074545"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013903,0.017763,0.021425,0.028468,0.042536,0.071728,0.132974", \ "0.013903,0.017765,0.021426,0.028468,0.042536,0.071727,0.132972", \ "0.013904,0.017764,0.021425,0.028469,0.042535,0.071729,0.132972", \ "0.013902,0.017763,0.021425,0.028468,0.042536,0.071730,0.132975", \ "0.014068,0.017891,0.021535,0.028554,0.042594,0.071759,0.132988", \ "0.014442,0.018231,0.021838,0.028807,0.042782,0.071870,0.133027", \ "0.015010,0.018727,0.022283,0.029181,0.043059,0.072042,0.133091"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.019795,0.030039,0.042981,0.072165,0.132925,0.255198,0.500052", \ "0.019798,0.030040,0.042982,0.072165,0.132938,0.255200,0.500057", \ "0.019791,0.030042,0.042983,0.072167,0.132928,0.255201,0.500057", \ "0.019796,0.030039,0.042982,0.072163,0.132925,0.255200,0.500062", \ "0.019796,0.030043,0.042980,0.072159,0.132922,0.255188,0.500056", \ "0.019868,0.030088,0.043007,0.072168,0.132925,0.255202,0.500053", \ "0.020814,0.030812,0.043399,0.072299,0.132976,0.255213,0.500058"); } } timing () { related_pin : "C2"; when : "!A1 & A2 & B1 & B2 & !C1"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.164714,0.175330,0.183350,0.196215,0.217499,0.255106,0.326352", \ "0.169893,0.180507,0.188525,0.201390,0.222678,0.260267,0.331528", \ "0.186012,0.196621,0.204645,0.217507,0.238790,0.276384,0.347643", \ "0.212400,0.223012,0.230985,0.243816,0.265098,0.302714,0.373957", \ "0.247652,0.258316,0.266368,0.279098,0.300359,0.337991,0.409249", \ "0.286220,0.296982,0.305051,0.317688,0.339078,0.376754,0.448029", \ "0.324560,0.335516,0.343705,0.356348,0.377842,0.415601,0.486908"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.329323,0.348611,0.365234,0.397197,0.461153,0.589244,0.845080", \ "0.333834,0.353122,0.369745,0.401684,0.465692,0.593763,0.849590", \ "0.351497,0.370785,0.387405,0.419352,0.483344,0.611416,0.867246", \ "0.381339,0.400628,0.417181,0.449123,0.513121,0.641168,0.896976", \ "0.426164,0.445454,0.462117,0.494255,0.558133,0.686124,0.941950", \ "0.488836,0.508150,0.524773,0.556834,0.620847,0.748898,1.004720", \ "0.566000,0.585762,0.602483,0.634404,0.698273,0.826251,1.082049"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013406,0.017317,0.021020,0.028120,0.042268,0.071557,0.132904", \ "0.013406,0.017318,0.021020,0.028121,0.042268,0.071559,0.132903", \ "0.013408,0.017317,0.021019,0.028121,0.042269,0.071557,0.132903", \ "0.013409,0.017319,0.021021,0.028122,0.042269,0.071559,0.132908", \ "0.013595,0.017480,0.021157,0.028226,0.042339,0.071593,0.132911", \ "0.014013,0.017834,0.021473,0.028488,0.042528,0.071701,0.132959", \ "0.014641,0.018378,0.021956,0.028891,0.042822,0.071879,0.133012"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.019864,0.030095,0.043010,0.072177,0.132943,0.255195,0.500057", \ "0.019865,0.030095,0.043010,0.072171,0.132925,0.255192,0.500054", \ "0.019864,0.030095,0.043010,0.072174,0.132934,0.255192,0.500053", \ "0.019865,0.030096,0.043011,0.072172,0.132942,0.255188,0.500051", \ "0.019863,0.030094,0.043009,0.072172,0.132927,0.255196,0.500054", \ "0.019919,0.030129,0.043029,0.072178,0.132933,0.255202,0.500054", \ "0.020820,0.030811,0.043396,0.072301,0.132968,0.255214,0.500064"); } } timing () { related_pin : "C2"; when : "A1 & !A2 & !B1 & B2 & !C1"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.184060,0.194847,0.202968,0.215952,0.237358,0.275043,0.346325", \ "0.189095,0.199872,0.208005,0.220990,0.242396,0.280068,0.351361", \ "0.203859,0.214636,0.222765,0.235754,0.257151,0.294834,0.366112", \ "0.227591,0.238371,0.246434,0.259324,0.280719,0.318402,0.389703", \ "0.259649,0.270465,0.278650,0.291452,0.312837,0.350551,0.421845", \ "0.295318,0.306241,0.314425,0.327337,0.348788,0.386545,0.457863", \ "0.331544,0.342667,0.350949,0.363744,0.385300,0.423132,0.494483"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.322643,0.341905,0.358516,0.390523,0.454484,0.582556,0.838374", \ "0.327022,0.346271,0.362894,0.394868,0.458837,0.586912,0.842749", \ "0.344789,0.364043,0.380680,0.412666,0.476613,0.604700,0.860523", \ "0.374750,0.394000,0.410561,0.442423,0.506462,0.634524,0.890353", \ "0.419591,0.438855,0.455498,0.487665,0.551542,0.679573,0.935384", \ "0.482134,0.501433,0.518050,0.550125,0.614125,0.742191,0.998001", \ "0.558502,0.578260,0.594972,0.626937,0.690756,0.818745,1.074545"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013903,0.017763,0.021425,0.028468,0.042536,0.071728,0.132974", \ "0.013903,0.017765,0.021426,0.028468,0.042536,0.071727,0.132972", \ "0.013904,0.017764,0.021425,0.028469,0.042535,0.071729,0.132972", \ "0.013902,0.017763,0.021425,0.028468,0.042536,0.071730,0.132975", \ "0.014068,0.017891,0.021535,0.028554,0.042594,0.071759,0.132988", \ "0.014442,0.018231,0.021838,0.028807,0.042782,0.071870,0.133027", \ "0.015010,0.018727,0.022283,0.029181,0.043059,0.072042,0.133091"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.019795,0.030039,0.042981,0.072165,0.132925,0.255198,0.500052", \ "0.019798,0.030040,0.042982,0.072165,0.132938,0.255200,0.500057", \ "0.019791,0.030042,0.042983,0.072167,0.132928,0.255201,0.500057", \ "0.019796,0.030039,0.042982,0.072163,0.132925,0.255200,0.500062", \ "0.019796,0.030043,0.042980,0.072159,0.132922,0.255188,0.500056", \ "0.019868,0.030088,0.043007,0.072168,0.132925,0.255202,0.500053", \ "0.020814,0.030812,0.043399,0.072299,0.132976,0.255213,0.500058"); } } timing () { related_pin : "C2"; when : "A1 & !A2 & B1 & !B2 & !C1"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.172115,0.182823,0.190913,0.203855,0.225213,0.262852,0.334143", \ "0.177125,0.187858,0.195940,0.208886,0.230243,0.267886,0.339174", \ "0.191858,0.202581,0.210676,0.223619,0.244977,0.282620,0.353907", \ "0.215405,0.226116,0.234145,0.246993,0.268350,0.306004,0.377290", \ "0.246299,0.257083,0.265210,0.277947,0.299297,0.336988,0.408271", \ "0.279874,0.290757,0.298897,0.311698,0.333130,0.370871,0.442172", \ "0.312977,0.324079,0.332352,0.345108,0.366671,0.404492,0.475816"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.315622,0.333980,0.350361,0.382360,0.446449,0.574578,0.830486", \ "0.319995,0.338345,0.354730,0.386701,0.450774,0.578940,0.834806", \ "0.337748,0.356108,0.372510,0.404500,0.468554,0.596706,0.852583", \ "0.367718,0.386069,0.402399,0.434276,0.498417,0.626538,0.882407", \ "0.412557,0.430905,0.447327,0.479499,0.543478,0.671585,0.927448", \ "0.475130,0.493527,0.509921,0.542010,0.606075,0.734218,0.990081", \ "0.551077,0.569894,0.586329,0.618212,0.682191,0.810258,1.066114"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013721,0.017601,0.021276,0.028341,0.042440,0.071668,0.132948", \ "0.013718,0.017599,0.021276,0.028340,0.042439,0.071666,0.132943", \ "0.013719,0.017602,0.021276,0.028341,0.042438,0.071668,0.132946", \ "0.013728,0.017607,0.021283,0.028346,0.042443,0.071665,0.132942", \ "0.013928,0.017784,0.021435,0.028466,0.042523,0.071711,0.132957", \ "0.014354,0.018148,0.021761,0.028740,0.042728,0.071832,0.133009", \ "0.014993,0.018705,0.022259,0.029156,0.043036,0.072020,0.133078"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.018245,0.028735,0.042211,0.071839,0.132770,0.255097,0.500025", \ "0.018247,0.028734,0.042214,0.071845,0.132761,0.255096,0.500025", \ "0.018244,0.028736,0.042213,0.071841,0.132767,0.255087,0.500023", \ "0.018246,0.028734,0.042212,0.071841,0.132768,0.255093,0.500024", \ "0.018246,0.028736,0.042212,0.071837,0.132758,0.255097,0.500016", \ "0.018334,0.028782,0.042232,0.071850,0.132764,0.255096,0.500012", \ "0.019265,0.029388,0.042533,0.071932,0.132808,0.255103,0.500024"); } } timing () { related_pin : "C2"; when : "A1 & !A2 & B1 & B2 & !C1"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.154980,0.165541,0.173532,0.186360,0.207610,0.245178,0.316425", \ "0.160159,0.170714,0.178711,0.191535,0.212783,0.250352,0.321605", \ "0.176250,0.186808,0.194801,0.207627,0.228880,0.266442,0.337691", \ "0.202358,0.212933,0.220887,0.233675,0.254930,0.292497,0.363730", \ "0.236247,0.246857,0.254875,0.267520,0.288761,0.326365,0.397624", \ "0.272378,0.283124,0.291175,0.303756,0.325155,0.362832,0.434079", \ "0.307227,0.318198,0.326392,0.339026,0.360504,0.398264,0.469553"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.322292,0.340683,0.357077,0.389039,0.453104,0.581263,0.837150", \ "0.326801,0.345192,0.361584,0.393543,0.457637,0.585785,0.841661", \ "0.344469,0.362849,0.379237,0.411189,0.475289,0.603439,0.859312", \ "0.374299,0.392691,0.409011,0.440999,0.505090,0.633206,0.889043", \ "0.419123,0.437516,0.453931,0.486108,0.550033,0.678147,0.934000", \ "0.481835,0.500247,0.516643,0.548725,0.612826,0.740934,0.996798", \ "0.558621,0.577427,0.593873,0.625764,0.689751,0.817815,1.073648"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013249,0.017180,0.020896,0.028014,0.042189,0.071504,0.132877", \ "0.013252,0.017179,0.020894,0.028015,0.042186,0.071507,0.132877", \ "0.013251,0.017181,0.020894,0.028015,0.042189,0.071506,0.132879", \ "0.013267,0.017191,0.020905,0.028022,0.042192,0.071509,0.132885", \ "0.013499,0.017391,0.021077,0.028160,0.042285,0.071557,0.132894", \ "0.013960,0.017781,0.021422,0.028444,0.042493,0.071674,0.132935", \ "0.014669,0.018394,0.021966,0.028895,0.042819,0.071871,0.133002"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.018317,0.028783,0.042234,0.071849,0.132760,0.255087,0.500024", \ "0.018321,0.028780,0.042235,0.071848,0.132766,0.255094,0.500025", \ "0.018319,0.028781,0.042236,0.071850,0.132760,0.255099,0.500026", \ "0.018321,0.028779,0.042235,0.071847,0.132771,0.255088,0.500026", \ "0.018315,0.028780,0.042235,0.071849,0.132778,0.255096,0.500025", \ "0.018386,0.028818,0.042252,0.071855,0.132777,0.255092,0.500026", \ "0.019279,0.029393,0.042534,0.071932,0.132803,0.255109,0.500013"); } } timing () { related_pin : "C2"; when : "A1 & A2 & !B1 & B2 & !C1"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.170736,0.181416,0.189486,0.202394,0.223724,0.261342,0.332608", \ "0.175841,0.186527,0.194598,0.207502,0.228830,0.266449,0.337717", \ "0.190882,0.201562,0.209630,0.222540,0.243867,0.281483,0.352751", \ "0.215953,0.226626,0.234661,0.247517,0.268845,0.306488,0.377744", \ "0.250304,0.261049,0.269148,0.281890,0.303220,0.340882,0.412149", \ "0.288334,0.299174,0.307287,0.320046,0.341449,0.379156,0.450439", \ "0.326441,0.337495,0.345727,0.358437,0.379965,0.417753,0.489073"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.333219,0.352532,0.369144,0.401143,0.465051,0.593155,0.848993", \ "0.337647,0.356964,0.373621,0.405524,0.469504,0.597594,0.853452", \ "0.355426,0.374742,0.391372,0.423386,0.487301,0.615390,0.871227", \ "0.385350,0.404667,0.421246,0.453193,0.517144,0.645199,0.901052", \ "0.430248,0.449562,0.466187,0.498206,0.562255,0.690301,0.946114", \ "0.492942,0.512281,0.528899,0.560941,0.624966,0.753024,1.008839", \ "0.570378,0.590158,0.606860,0.638786,0.702684,0.830657,1.086426"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013616,0.017497,0.021178,0.028253,0.042366,0.071619,0.132925", \ "0.013613,0.017498,0.021178,0.028253,0.042366,0.071620,0.132933", \ "0.013614,0.017497,0.021178,0.028252,0.042367,0.071618,0.132928", \ "0.013614,0.017498,0.021180,0.028254,0.042367,0.071618,0.132927", \ "0.013810,0.017658,0.021316,0.028359,0.042437,0.071655,0.132943", \ "0.014236,0.018027,0.021646,0.028634,0.042639,0.071772,0.132981", \ "0.014869,0.018580,0.022138,0.029046,0.042941,0.071957,0.133049"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.019924,0.030131,0.043031,0.072181,0.132926,0.255196,0.500067", \ "0.019926,0.030133,0.043030,0.072177,0.132932,0.255198,0.500059", \ "0.019924,0.030135,0.043031,0.072184,0.132927,0.255194,0.500067", \ "0.019926,0.030134,0.043030,0.072178,0.132930,0.255193,0.500063", \ "0.019924,0.030134,0.043031,0.072179,0.132942,0.255199,0.500061", \ "0.019974,0.030168,0.043052,0.072184,0.132941,0.255206,0.500067", \ "0.020867,0.030841,0.043414,0.072302,0.132977,0.255219,0.500056"); } } timing () { related_pin : "C2"; when : "A1 & A2 & B1 & !B2 & !C1"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.160608,0.171237,0.179275,0.192142,0.213433,0.251042,0.322279", \ "0.165704,0.176338,0.184370,0.197244,0.218533,0.256138,0.327377", \ "0.180696,0.191331,0.199364,0.212238,0.233528,0.271137,0.342373", \ "0.205522,0.216164,0.224150,0.236935,0.258230,0.295829,0.367069", \ "0.238587,0.249281,0.257342,0.270022,0.291325,0.328953,0.400227", \ "0.274261,0.285093,0.293182,0.305914,0.327306,0.364992,0.436277", \ "0.308980,0.320055,0.328289,0.340976,0.362518,0.400300,0.471588"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.326265,0.344670,0.361060,0.393062,0.457101,0.585248,0.841120", \ "0.330693,0.349109,0.365498,0.397453,0.461552,0.589698,0.845594", \ "0.348471,0.366886,0.383274,0.415306,0.479364,0.607480,0.863354", \ "0.378396,0.396809,0.413138,0.445113,0.509144,0.637271,0.893168", \ "0.423277,0.441690,0.458116,0.490272,0.554245,0.682340,0.938204", \ "0.486015,0.504446,0.520849,0.552924,0.617003,0.745124,1.000988", \ "0.563079,0.581921,0.598361,0.630236,0.694233,0.822302,1.078134"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.013460,0.017355,0.021051,0.028144,0.042285,0.071566,0.132901", \ "0.013457,0.017355,0.021051,0.028145,0.042283,0.071566,0.132901", \ "0.013453,0.017355,0.021051,0.028143,0.042283,0.071565,0.132901", \ "0.013469,0.017368,0.021061,0.028152,0.042289,0.071566,0.132903", \ "0.013707,0.017571,0.021236,0.028292,0.042385,0.071618,0.132918", \ "0.014177,0.017973,0.021593,0.028588,0.042600,0.071745,0.132964", \ "0.014897,0.018595,0.022147,0.029048,0.042939,0.071949,0.133039"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.018381,0.028821,0.042254,0.071856,0.132779,0.255089,0.500020", \ "0.018385,0.028820,0.042254,0.071857,0.132782,0.255089,0.500024", \ "0.018384,0.028820,0.042257,0.071858,0.132769,0.255093,0.500015", \ "0.018385,0.028820,0.042256,0.071855,0.132772,0.255095,0.500026", \ "0.018387,0.028818,0.042255,0.071853,0.132774,0.255099,0.500025", \ "0.018451,0.028856,0.042269,0.071860,0.132775,0.255095,0.500024", \ "0.019331,0.029428,0.042551,0.071944,0.132811,0.255112,0.500012"); } } timing () { related_pin : "C2"; when : "A1 & A2 & B1 & B2 & !C1"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.142969,0.153432,0.161369,0.174124,0.195310,0.232837,0.304042", \ "0.148208,0.158678,0.166614,0.179370,0.200559,0.238077,0.309285", \ "0.164671,0.175140,0.183075,0.195832,0.217020,0.254539,0.325745", \ "0.192645,0.203127,0.211044,0.223749,0.244932,0.282439,0.353646", \ "0.228924,0.239459,0.247410,0.260013,0.281208,0.318764,0.389996", \ "0.267067,0.277716,0.285732,0.298340,0.319617,0.357235,0.428477", \ "0.303004,0.313944,0.322092,0.334713,0.356136,0.393855,0.465125"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.333435,0.351884,0.368270,0.400293,0.464290,0.592490,0.848337", \ "0.338060,0.356507,0.372892,0.404917,0.468900,0.597058,0.852956", \ "0.355686,0.374131,0.390529,0.422512,0.486585,0.614706,0.870574", \ "0.385509,0.403955,0.420264,0.452196,0.516312,0.644424,0.900269", \ "0.430340,0.448791,0.465189,0.497366,0.561318,0.689399,0.945273", \ "0.493212,0.511664,0.528063,0.560132,0.624209,0.752336,1.008190", \ "0.571073,0.589909,0.606338,0.638219,0.702202,0.830276,1.086121"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.012983,0.016936,0.020670,0.027820,0.042037,0.071410,0.132842", \ "0.012984,0.016935,0.020670,0.027821,0.042037,0.071410,0.132846", \ "0.012984,0.016936,0.020671,0.027819,0.042037,0.071408,0.132843", \ "0.013005,0.016954,0.020685,0.027832,0.042044,0.071414,0.132846", \ "0.013283,0.017182,0.020882,0.027987,0.042150,0.071466,0.132854", \ "0.013799,0.017619,0.021265,0.028298,0.042371,0.071593,0.132898", \ "0.014612,0.018317,0.021880,0.028806,0.042736,0.071805,0.132968"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.018457,0.028868,0.042276,0.071865,0.132784,0.255099,0.500021", \ "0.018455,0.028866,0.042276,0.071863,0.132776,0.255093,0.500021", \ "0.018454,0.028867,0.042278,0.071864,0.132782,0.255105,0.500018", \ "0.018458,0.028868,0.042279,0.071865,0.132785,0.255092,0.500021", \ "0.018459,0.028866,0.042279,0.071865,0.132764,0.255087,0.500026", \ "0.018511,0.028893,0.042289,0.071867,0.132778,0.255106,0.500027", \ "0.019339,0.029435,0.042553,0.071940,0.132807,0.255110,0.500016"); } } internal_power () { related_pin : "A1"; when : "!A2 & !B1 & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.993060,8.649372,9.031349,9.470617,9.827408,10.042860,10.153060", \ "8.054133,8.649808,9.039845,9.489587,9.853923,10.056430,10.165440", \ "8.037773,8.641344,9.028628,9.485049,9.846987,10.052380,10.160830", \ "8.045785,8.654889,9.042866,9.476977,9.840856,10.053630,10.156650", \ "8.088806,8.710077,9.107671,9.530699,9.913855,10.123020,10.232100", \ "8.315673,8.895760,9.258542,9.720661,10.068580,10.299640,10.411960", \ "8.599517,9.189303,9.567827,10.033700,10.408820,10.635000,10.723840"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("9.892628,10.563340,10.975690,11.534910,12.056170,12.464930,12.442690", \ "9.864470,10.535580,10.906800,11.534090,12.055700,12.491850,12.469870", \ "9.808090,10.495810,10.897500,11.387610,12.044600,12.309840,12.558110", \ "9.790365,10.461530,10.855050,11.414250,12.070000,12.264300,12.566800", \ "9.939222,10.609310,11.008400,11.510170,12.166790,12.507040,12.663080", \ "10.248300,10.891360,11.301410,11.871540,12.406710,12.696130,12.952250", \ "10.523190,11.237930,11.619010,12.254020,12.732650,13.206170,13.205500"); } } internal_power () { related_pin : "A1"; when : "!A2 & !B1 & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.634460,8.235922,8.626013,9.075453,9.429609,9.617957,9.703805", \ "7.672899,8.254465,8.637422,9.087048,9.441284,9.631854,9.719441", \ "7.607253,8.265377,8.628707,9.079016,9.432387,9.619147,9.715561", \ "7.645927,8.236754,8.637484,9.066546,9.425130,9.612990,9.700647", \ "7.709727,8.312728,8.714601,9.149732,9.498957,9.696918,9.787921", \ "7.961749,8.531702,8.880092,9.336619,9.682099,9.889578,9.983152", \ "8.282397,8.836407,9.217391,9.661510,10.055610,10.254380,10.325150"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("9.823154,10.424600,10.741470,11.279020,11.585500,11.740050,11.959360", \ "9.795427,10.404970,10.765550,11.191940,11.557120,11.767860,11.986900", \ "9.721702,10.331340,10.664640,11.182640,11.519580,11.856270,11.803900", \ "9.719843,10.329780,10.681900,11.074140,11.566950,11.810620,11.758580", \ "9.865352,10.419090,10.775160,11.303080,11.666410,11.954280,11.904070", \ "10.134300,10.753670,11.095410,11.561690,12.037140,12.263150,12.217370", \ "10.414830,11.064920,11.431460,11.976560,12.380700,12.556660,12.794540"); } } internal_power () { related_pin : "A1"; when : "!A2 & !B1 & B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.666776,8.295152,8.662184,9.107946,9.442493,9.614785,9.704511", \ "7.680368,8.285935,8.700994,9.118699,9.449551,9.632981,9.717648", \ "7.666489,8.274367,8.656817,9.104122,9.444970,9.626328,9.708040", \ "7.677746,8.294939,8.661816,9.101430,9.432101,9.611127,9.694529", \ "7.731420,8.341267,8.732835,9.147408,9.490712,9.691302,9.782058", \ "8.002887,8.563526,8.933377,9.351545,9.698936,9.877429,9.976166", \ "8.262893,8.860604,9.241165,9.702317,10.065170,10.256370,10.315270"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("9.827043,10.397420,10.784070,11.272820,11.587070,11.743820,11.963770", \ "9.807183,10.360890,10.755300,11.199090,11.531460,11.778980,11.726670", \ "9.735032,10.295770,10.675270,11.052050,11.544960,11.597130,11.817120", \ "9.725617,10.279390,10.676920,11.059410,11.518560,11.815770,11.761790", \ "9.863695,10.417450,10.816170,11.271480,11.671690,11.951860,11.903320", \ "10.122950,10.752830,11.111240,11.500750,11.968650,12.251390,12.208110", \ "10.446900,11.072130,11.439580,11.964980,12.455030,12.531580,12.768970"); } } internal_power () { related_pin : "A1"; when : "!A2 & B1 & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.634460,8.235922,8.626013,9.075453,9.429609,9.617957,9.703805", \ "7.672899,8.254465,8.637422,9.087048,9.441284,9.631854,9.719441", \ "7.607253,8.265377,8.628707,9.079016,9.432387,9.619147,9.715561", \ "7.645927,8.236754,8.637484,9.066546,9.425130,9.612990,9.700647", \ "7.709727,8.312728,8.714601,9.149732,9.498957,9.696918,9.787921", \ "7.961749,8.531702,8.880092,9.336619,9.682099,9.889578,9.983152", \ "8.282397,8.836407,9.217391,9.661510,10.055610,10.254380,10.325150"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("9.823154,10.424600,10.741470,11.279020,11.585500,11.740050,11.959360", \ "9.795427,10.404970,10.765550,11.191940,11.557120,11.767860,11.986900", \ "9.721702,10.331340,10.664640,11.182640,11.519580,11.856270,11.803900", \ "9.719843,10.329780,10.681900,11.074140,11.566950,11.810620,11.758580", \ "9.865352,10.419090,10.775160,11.303080,11.666410,11.954280,11.904070", \ "10.134300,10.753670,11.095410,11.561690,12.037140,12.263150,12.217370", \ "10.414830,11.064920,11.431460,11.976560,12.380700,12.556660,12.794540"); } } internal_power () { related_pin : "A1"; when : "!A2 & B1 & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.258034,7.865120,8.268586,8.695113,9.019509,9.194128,9.271815", \ "7.277522,7.897325,8.283804,8.706933,9.027629,9.204870,9.280863", \ "7.239081,7.881843,8.259685,8.686189,9.016456,9.186418,9.259828", \ "7.248529,7.873499,8.230007,8.666337,9.004182,9.175831,9.250801", \ "7.292893,7.927794,8.303801,8.722058,9.084705,9.266047,9.342564", \ "7.573110,8.166228,8.530270,8.944293,9.297164,9.485688,9.560332", \ "7.930849,8.483312,8.854407,9.317852,9.691140,9.883327,9.936817"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("9.712162,10.248230,10.526990,10.840360,11.091440,11.293200,11.226940", \ "9.685548,10.222250,10.528610,10.840240,11.068440,11.318990,11.255760", \ "9.672685,10.148280,10.451840,10.828490,11.033480,11.137770,11.072420", \ "9.663571,10.144770,10.469360,10.808510,11.013380,11.087370,11.294070", \ "9.760129,10.286310,10.564040,10.950760,11.159590,11.228720,11.438060", \ "10.044110,10.552250,10.868720,11.249260,11.279950,11.565860,11.508600", \ "10.339410,10.880760,11.193690,11.595130,11.875890,11.913990,12.139940"); } } internal_power () { related_pin : "A1"; when : "!A2 & B1 & !B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.270417,7.915829,8.314067,8.719513,9.035620,9.205785,9.269731", \ "7.285526,7.925518,8.325213,8.720928,9.046464,9.212466,9.283734", \ "7.276209,7.921423,8.303748,8.708771,9.025703,9.189689,9.262276", \ "7.282814,7.882175,8.282665,8.691419,9.010591,9.179518,9.247351", \ "7.378211,7.971838,8.330748,8.757778,9.088153,9.265742,9.339574", \ "7.581267,8.188138,8.563433,8.973175,9.305877,9.476077,9.560248", \ "7.959167,8.522679,8.892408,9.337446,9.711090,9.890839,9.937361"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("9.747207,10.252380,10.552230,10.870990,11.068200,11.296120,11.231780", \ "9.696547,10.232430,10.539920,10.845750,11.060990,11.058640,11.266780", \ "9.660669,10.160350,10.461200,10.831580,11.023450,11.149870,11.084670", \ "9.644338,10.149910,10.463390,10.800640,10.989950,11.092760,11.298980", \ "9.753323,10.284850,10.603810,10.918540,11.156240,11.226750,11.436220", \ "10.033140,10.594100,10.870480,11.250880,11.471970,11.554160,11.495770", \ "10.312790,10.911000,11.236420,11.651900,11.787300,11.887740,12.114210"); } } internal_power () { related_pin : "A1"; when : "!A2 & B1 & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.666776,8.295152,8.662184,9.107946,9.442493,9.614785,9.704511", \ "7.680368,8.285935,8.700994,9.118699,9.449551,9.632981,9.717648", \ "7.666489,8.274367,8.656817,9.104122,9.444970,9.626328,9.708040", \ "7.677746,8.294939,8.661816,9.101430,9.432101,9.611127,9.694529", \ "7.731420,8.341267,8.732835,9.147408,9.490712,9.691302,9.782058", \ "8.002887,8.563526,8.933377,9.351545,9.698936,9.877429,9.976166", \ "8.262893,8.860604,9.241165,9.702317,10.065170,10.256370,10.315270"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("9.827043,10.397420,10.784070,11.272820,11.587070,11.743820,11.963770", \ "9.807183,10.360890,10.755300,11.199090,11.531460,11.778980,11.726670", \ "9.735032,10.295770,10.675270,11.052050,11.544960,11.597130,11.817120", \ "9.725617,10.279390,10.676920,11.059410,11.518560,11.815770,11.761790", \ "9.863695,10.417450,10.816170,11.271480,11.671690,11.951860,11.903320", \ "10.122950,10.752830,11.111240,11.500750,11.968650,12.251390,12.208110", \ "10.446900,11.072130,11.439580,11.964980,12.455030,12.531580,12.768970"); } } internal_power () { related_pin : "A1"; when : "!A2 & B1 & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.270417,7.915829,8.314067,8.719513,9.035620,9.205785,9.269731", \ "7.285526,7.925518,8.325213,8.720928,9.046464,9.212466,9.283734", \ "7.276209,7.921423,8.303748,8.708771,9.025703,9.189689,9.262276", \ "7.282814,7.882175,8.282665,8.691419,9.010591,9.179518,9.247351", \ "7.378211,7.971838,8.330748,8.757778,9.088153,9.265742,9.339574", \ "7.581267,8.188138,8.563433,8.973175,9.305877,9.476077,9.560248", \ "7.959167,8.522679,8.892408,9.337446,9.711090,9.890839,9.937361"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("9.747207,10.252380,10.552230,10.870990,11.068200,11.296120,11.231780", \ "9.696547,10.232430,10.539920,10.845750,11.060990,11.058640,11.266780", \ "9.660669,10.160350,10.461200,10.831580,11.023450,11.149870,11.084670", \ "9.644338,10.149910,10.463390,10.800640,10.989950,11.092760,11.298980", \ "9.753323,10.284850,10.603810,10.918540,11.156240,11.226750,11.436220", \ "10.033140,10.594100,10.870480,11.250880,11.471970,11.554160,11.495770", \ "10.312790,10.911000,11.236420,11.651900,11.787300,11.887740,12.114210"); } } internal_power () { related_pin : "A1"; when : "!A2 & B1 & B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.375046,7.983757,8.361995,8.753151,9.064407,9.212537,9.278593", \ "7.383227,7.982704,8.372364,8.761287,9.067688,9.230793,9.289580", \ "7.319856,7.944547,8.343086,8.733911,9.032204,9.192995,9.262637", \ "7.278991,7.910675,8.324403,8.705120,9.013661,9.181065,9.238089", \ "7.387631,7.979032,8.377510,8.775066,9.094883,9.262679,9.326631", \ "7.662925,8.237606,8.594799,9.017194,9.329736,9.477695,9.555841", \ "8.015370,8.544972,8.937027,9.379866,9.721549,9.904390,9.943152"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("9.750961,10.251510,10.527020,10.881590,11.115320,11.295250,11.230070", \ "9.703437,10.185420,10.526900,10.846510,10.974150,11.067070,11.304040", \ "9.637667,10.119190,10.450050,10.815160,10.954010,11.202330,11.097670", \ "9.633890,10.155050,10.435600,10.809690,10.966620,11.100480,11.307480", \ "9.759209,10.282540,10.554700,10.890120,11.104960,11.224360,11.433690", \ "10.078570,10.583360,10.857770,11.238270,11.508130,11.543970,11.486330", \ "10.343240,10.884650,11.251900,11.575660,11.894140,11.860340,12.086610"); } } internal_power () { related_pin : "A2"; when : "!A1 & !B1 & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("8.396322,8.968760,9.392254,9.846098,10.217570,10.442850,10.562550", \ "8.359480,8.933254,9.331539,9.811200,10.181980,10.408490,10.528040", \ "8.314945,8.901567,9.314573,9.756507,10.150250,10.369440,10.493020", \ "8.324213,8.931583,9.288346,9.754900,10.146510,10.367410,10.495880", \ "8.404660,9.013052,9.377005,9.853871,10.220860,10.450160,10.576620", \ "8.613319,9.179351,9.560084,10.019200,10.386130,10.623370,10.753090", \ "8.934666,9.491400,9.879358,10.319140,10.725690,10.944100,11.056410"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.477210,11.147320,11.513170,12.002070,12.661070,13.102610,13.080500", \ "10.437430,11.108690,11.525620,12.035780,12.614450,13.011920,12.989100", \ "10.370400,11.041930,11.472750,12.060780,12.582080,12.891030,13.139500", \ "10.370600,10.984190,11.369620,11.996510,12.499620,12.890230,12.867240", \ "10.366950,11.039270,11.440690,12.020230,12.558050,12.813470,13.065140", \ "10.480620,11.105360,11.546380,12.066050,12.596200,13.030580,13.013330", \ "10.524790,11.236860,11.604670,12.315350,12.894100,13.356430,13.353810"); } } internal_power () { related_pin : "A2"; when : "!A1 & !B1 & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.999863,8.606091,8.989711,9.440194,9.803971,10.011640,10.120540", \ "7.961203,8.544959,8.953040,9.408858,9.757535,9.973391,10.085360", \ "7.935867,8.528714,8.934018,9.371366,9.737618,9.939208,10.045960", \ "7.948863,8.532349,8.914788,9.371680,9.734978,9.937465,10.038870", \ "7.986509,8.619960,8.988239,9.451818,9.816170,10.029150,10.139610", \ "8.238685,8.806976,9.153574,9.622242,9.986542,10.213890,10.332960", \ "8.539672,9.123726,9.508302,9.955243,10.345300,10.561200,10.652630"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.407060,10.960430,11.355930,11.757430,12.157430,12.378250,12.597750", \ "10.368360,10.977890,11.346810,11.769360,12.238430,12.287250,12.506420", \ "10.301350,10.911420,11.233840,11.679110,12.058070,12.437890,12.385370", \ "10.244860,10.855110,11.233600,11.614770,12.084030,12.165370,12.385040", \ "10.288050,10.841840,11.228010,11.640820,12.063320,12.360700,12.310430", \ "10.363050,10.988790,11.312840,11.837340,12.173310,12.318710,12.546410", \ "10.441220,11.047280,11.451990,11.993980,12.425390,12.678210,12.645370"); } } internal_power () { related_pin : "A2"; when : "!A1 & !B1 & B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("8.003748,8.643323,9.027177,9.464633,9.808908,9.999129,10.110760", \ "7.984352,8.604704,8.991958,9.428672,9.779595,9.973926,10.074120", \ "7.955029,8.564632,8.962303,9.394795,9.740030,9.933263,10.044010", \ "7.955528,8.569443,8.952623,9.389954,9.731829,9.937267,10.029420", \ "8.051844,8.651572,9.009137,9.465449,9.816596,10.017610,10.128270", \ "8.278610,8.844881,9.220008,9.650742,9.992825,10.204930,10.316180", \ "8.550568,9.140798,9.527272,9.989517,10.356520,10.552220,10.637920"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.354200,10.964190,11.351860,11.749500,12.127080,12.382130,12.329790", \ "10.379460,10.939980,11.323470,11.766840,12.222490,12.296670,12.516880", \ "10.312370,10.914300,11.227040,11.672570,12.053180,12.448290,12.397480", \ "10.251270,10.869090,11.218960,11.594320,12.051830,12.171350,12.391810", \ "10.290700,10.844490,11.231650,11.733350,12.188600,12.363040,12.313560", \ "10.362730,10.988630,11.328530,11.780490,12.243040,12.321330,12.547640", \ "10.433980,11.048040,11.446380,11.905700,12.397230,12.671070,12.638020"); } } internal_power () { related_pin : "A2"; when : "!A1 & B1 & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.999863,8.606091,8.989711,9.440194,9.803971,10.011640,10.120540", \ "7.961203,8.544959,8.953040,9.408858,9.757535,9.973391,10.085360", \ "7.935867,8.528714,8.934018,9.371366,9.737618,9.939208,10.045960", \ "7.948863,8.532349,8.914788,9.371680,9.734978,9.937465,10.038870", \ "7.986509,8.619960,8.988239,9.451818,9.816170,10.029150,10.139610", \ "8.238685,8.806976,9.153574,9.622242,9.986542,10.213890,10.332960", \ "8.539672,9.123726,9.508302,9.955243,10.345300,10.561200,10.652630"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.407060,10.960430,11.355930,11.757430,12.157430,12.378250,12.597750", \ "10.368360,10.977890,11.346810,11.769360,12.238430,12.287250,12.506420", \ "10.301350,10.911420,11.233840,11.679110,12.058070,12.437890,12.385370", \ "10.244860,10.855110,11.233600,11.614770,12.084030,12.165370,12.385040", \ "10.288050,10.841840,11.228010,11.640820,12.063320,12.360700,12.310430", \ "10.363050,10.988790,11.312840,11.837340,12.173310,12.318710,12.546410", \ "10.441220,11.047280,11.451990,11.993980,12.425390,12.678210,12.645370"); } } internal_power () { related_pin : "A2"; when : "!A1 & B1 & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.626518,8.209336,8.608843,9.041313,9.394453,9.586283,9.673548", \ "7.589660,8.198766,8.549147,9.007780,9.360815,9.550361,9.636452", \ "7.550175,8.158430,8.528208,8.980112,9.323585,9.508835,9.601068", \ "7.540845,8.159348,8.509164,8.968247,9.321702,9.512072,9.598852", \ "7.624297,8.208432,8.618321,9.054604,9.409561,9.613050,9.705667", \ "7.851044,8.430883,8.788510,9.247708,9.599562,9.801637,9.910178", \ "8.221741,8.786913,9.161116,9.603716,9.984057,10.186440,10.250140"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.295380,10.831260,11.127320,11.371610,11.678430,11.658140,11.939840", \ "10.313330,10.794760,11.074060,11.444370,11.678100,11.839410,11.774770", \ "10.248010,10.729420,11.059410,11.427220,11.594650,11.719370,11.653660", \ "10.190140,10.681250,10.988370,11.364310,11.531030,11.717990,11.653860", \ "10.175640,10.712040,11.018270,11.389610,11.488330,11.640380,11.847190", \ "10.267560,10.822180,11.093180,11.476760,11.766130,11.884980,11.828480", \ "10.325110,10.881430,11.214110,11.603170,11.886220,12.006220,11.963450"); } } internal_power () { related_pin : "A2"; when : "!A1 & B1 & !B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.659816,8.260496,8.642837,9.070038,9.401753,9.579417,9.669293", \ "7.598706,8.227932,8.605329,9.041062,9.367581,9.549749,9.638526", \ "7.563957,8.188772,8.549761,8.998766,9.335997,9.515921,9.601481", \ "7.552238,8.198145,8.565195,8.992007,9.327062,9.513616,9.592335", \ "7.619433,8.258745,8.629320,9.066361,9.404738,9.600392,9.694216", \ "7.918116,8.475613,8.839545,9.276707,9.607724,9.800983,9.894933", \ "8.196343,8.791242,9.158417,9.619257,9.991827,10.184400,10.234330"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.299670,10.835110,11.130830,11.468820,11.775720,11.661010,11.869020", \ "10.307940,10.804610,11.098650,11.444980,11.671220,11.848690,11.783900", \ "10.203520,10.739510,11.055570,11.294330,11.699750,11.729520,11.663870", \ "10.196370,10.677770,10.980750,11.350830,11.495940,11.722710,11.805490", \ "10.178400,10.714510,10.994580,11.358660,11.631630,11.642020,11.850470", \ "10.267110,10.821840,11.115690,11.458270,11.709050,11.888000,11.829970", \ "10.317720,10.882730,11.203410,11.609060,11.796560,11.998610,11.956030"); } } internal_power () { related_pin : "A2"; when : "!A1 & B1 & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("8.003748,8.643323,9.027177,9.464633,9.808908,9.999129,10.110760", \ "7.984352,8.604704,8.991958,9.428672,9.779595,9.973926,10.074120", \ "7.955029,8.564632,8.962303,9.394795,9.740030,9.933263,10.044010", \ "7.955528,8.569443,8.952623,9.389954,9.731829,9.937267,10.029420", \ "8.051844,8.651572,9.009137,9.465449,9.816596,10.017610,10.128270", \ "8.278610,8.844881,9.220008,9.650742,9.992825,10.204930,10.316180", \ "8.550568,9.140798,9.527272,9.989517,10.356520,10.552220,10.637920"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.354200,10.964190,11.351860,11.749500,12.127080,12.382130,12.329790", \ "10.379460,10.939980,11.323470,11.766840,12.222490,12.296670,12.516880", \ "10.312370,10.914300,11.227040,11.672570,12.053180,12.448290,12.397480", \ "10.251270,10.869090,11.218960,11.594320,12.051830,12.171350,12.391810", \ "10.290700,10.844490,11.231650,11.733350,12.188600,12.363040,12.313560", \ "10.362730,10.988630,11.328530,11.780490,12.243040,12.321330,12.547640", \ "10.433980,11.048040,11.446380,11.905700,12.397230,12.671070,12.638020"); } } internal_power () { related_pin : "A2"; when : "!A1 & B1 & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.659816,8.260496,8.642837,9.070038,9.401753,9.579417,9.669293", \ "7.598706,8.227932,8.605329,9.041062,9.367581,9.549749,9.638526", \ "7.563957,8.188772,8.549761,8.998766,9.335997,9.515921,9.601481", \ "7.552238,8.198145,8.565195,8.992007,9.327062,9.513616,9.592335", \ "7.619433,8.258745,8.629320,9.066361,9.404738,9.600392,9.694216", \ "7.918116,8.475613,8.839545,9.276707,9.607724,9.800983,9.894933", \ "8.196343,8.791242,9.158417,9.619257,9.991827,10.184400,10.234330"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.299670,10.835110,11.130830,11.468820,11.775720,11.661010,11.869020", \ "10.307940,10.804610,11.098650,11.444980,11.671220,11.848690,11.783900", \ "10.203520,10.739510,11.055570,11.294330,11.699750,11.729520,11.663870", \ "10.196370,10.677770,10.980750,11.350830,11.495940,11.722710,11.805490", \ "10.178400,10.714510,10.994580,11.358660,11.631630,11.642020,11.850470", \ "10.267110,10.821840,11.115690,11.458270,11.709050,11.888000,11.829970", \ "10.317720,10.882730,11.203410,11.609060,11.796560,11.998610,11.956030"); } } internal_power () { related_pin : "A2"; when : "!A1 & B1 & B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.673824,8.320094,8.702200,9.101637,9.415996,9.585905,9.674788", \ "7.616909,8.284591,8.666012,9.068441,9.386951,9.561238,9.637594", \ "7.571431,8.246048,8.625914,9.023823,9.349262,9.521275,9.596729", \ "7.572786,8.208346,8.617126,9.018300,9.331009,9.506018,9.588200", \ "7.719887,8.295903,8.659213,9.083541,9.407337,9.590702,9.683212", \ "7.961703,8.521258,8.886526,9.305325,9.625481,9.792347,9.888591", \ "8.285282,8.843154,9.218138,9.647063,10.002870,10.171610,10.228840"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.298850,10.834090,11.107490,11.471930,11.733780,11.662450,11.868750", \ "10.298390,10.810850,11.077620,11.422720,11.626900,11.854930,11.790260", \ "10.215190,10.699500,11.037720,11.400890,11.663830,11.741020,11.782550", \ "10.147400,10.683980,10.949640,11.322990,11.540660,11.729860,11.665560", \ "10.181860,10.717430,11.012050,11.370130,11.565750,11.644860,11.851510", \ "10.266740,10.821400,11.111580,11.482570,11.627670,11.884330,11.827320", \ "10.309800,10.903250,11.235870,11.634410,11.938610,11.990940,12.220060"); } } internal_power () { related_pin : "B1"; when : "!A1 & A2 & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("8.042745,8.637268,9.028391,9.476501,9.845497,10.045300,10.149000", \ "8.054187,8.660821,9.038635,9.494098,9.859630,10.063500,10.171690", \ "8.057385,8.637213,9.027153,9.482478,9.848529,10.052250,10.163050", \ "8.029495,8.612290,8.992794,9.451017,9.820045,10.027630,10.132130", \ "7.972237,8.599851,9.004570,9.439169,9.791083,10.011720,10.120390", \ "8.045013,8.667489,9.051017,9.469237,9.847820,10.068240,10.178010", \ "8.262897,8.788403,9.189837,9.662196,10.044390,10.254290,10.343690"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.960990,11.659300,12.061320,12.653380,13.319370,13.635080,13.946440", \ "10.935400,11.631740,12.054740,12.648360,13.260190,13.669650,13.703090", \ "10.863490,11.562710,11.976720,12.550710,13.215720,13.486050,13.895010", \ "10.888190,11.566840,11.965690,12.543530,13.254200,13.458870,13.769340", \ "10.991820,11.655960,12.065650,12.651490,13.363290,13.622200,13.931550", \ "11.236720,11.932570,12.347130,12.940120,13.517470,13.968950,14.007370", \ "11.604210,12.281340,12.715510,13.273300,14.038070,14.276820,14.626290"); } } internal_power () { related_pin : "B1"; when : "!A1 & A2 & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.647758,8.269817,8.658352,9.077906,9.431362,9.618410,9.712331", \ "7.657951,8.284200,8.649231,9.093281,9.445358,9.632297,9.720073", \ "7.648487,8.266608,8.646452,9.075516,9.430089,9.615382,9.709294", \ "7.583168,8.205511,8.601139,9.043092,9.377305,9.575619,9.668975", \ "7.577202,8.179182,8.558420,9.019499,9.371043,9.567232,9.658771", \ "7.647446,8.273230,8.661073,9.073005,9.422761,9.630182,9.731391", \ "7.827708,8.430744,8.780525,9.256042,9.634283,9.842083,9.911323"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.886960,11.512000,11.863000,12.419320,12.910940,13.182350,13.210340", \ "10.861980,11.444060,11.865830,12.285910,12.684480,12.942800,13.218300", \ "10.790920,11.429440,11.788590,12.276560,12.749500,13.086740,13.038050", \ "10.813600,11.395320,11.756970,12.317660,12.777770,13.024150,13.010820", \ "10.857890,11.497640,11.856710,12.424740,12.816910,13.168290,13.171510", \ "11.190160,11.802220,12.158030,12.627880,13.021360,13.243770,13.520720", \ "11.457610,12.142860,12.515670,12.975770,13.568430,13.869340,13.879280"); } } internal_power () { related_pin : "B1"; when : "!A1 & A2 & !B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.662841,8.316201,8.700181,9.118478,9.445571,9.625049,9.706801", \ "7.684908,8.292106,8.715273,9.131370,9.462626,9.631407,9.721414", \ "7.708091,8.314495,8.698945,9.096436,9.444239,9.624478,9.706225", \ "7.646215,8.262476,8.619297,9.064599,9.398418,9.579706,9.659552", \ "7.635383,8.227604,8.619778,9.035000,9.379811,9.555048,9.647528", \ "7.677492,8.324241,8.698066,9.097399,9.441809,9.625095,9.716933", \ "7.873358,8.482367,8.857884,9.278094,9.644402,9.838593,9.900073"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.894010,11.510080,11.871460,12.352660,12.863940,13.188890,13.194440", \ "10.825470,11.464870,11.817590,12.367090,12.878010,12.963080,13.235380", \ "10.809890,11.392010,11.734250,12.229440,12.666370,13.050300,13.054090", \ "10.765130,11.413440,11.756290,12.238280,12.786480,13.035870,13.020570", \ "10.896410,11.497260,11.893350,12.317840,12.905370,13.167860,13.171090", \ "11.189150,11.799520,12.163150,12.664340,13.089680,13.234360,13.512330", \ "11.492630,12.176460,12.545420,13.065220,13.612330,13.846420,13.855870"); } } internal_power () { related_pin : "B1"; when : "A1 & !A2 & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.647758,8.269817,8.658352,9.077906,9.431362,9.618410,9.712331", \ "7.657951,8.284200,8.649231,9.093281,9.445358,9.632297,9.720073", \ "7.648487,8.266608,8.646452,9.075516,9.430089,9.615382,9.709294", \ "7.583168,8.205511,8.601139,9.043092,9.377305,9.575619,9.668975", \ "7.577202,8.179182,8.558420,9.019499,9.371043,9.567232,9.658771", \ "7.647446,8.273230,8.661073,9.073005,9.422761,9.630182,9.731391", \ "7.827708,8.430744,8.780525,9.256042,9.634283,9.842083,9.911323"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.886960,11.512000,11.863000,12.419320,12.910940,13.182350,13.210340", \ "10.861980,11.444060,11.865830,12.285910,12.684480,12.942800,13.218300", \ "10.790920,11.429440,11.788590,12.276560,12.749500,13.086740,13.038050", \ "10.813600,11.395320,11.756970,12.317660,12.777770,13.024150,13.010820", \ "10.857890,11.497640,11.856710,12.424740,12.816910,13.168290,13.171510", \ "11.190160,11.802220,12.158030,12.627880,13.021360,13.243770,13.520720", \ "11.457610,12.142860,12.515670,12.975770,13.568430,13.869340,13.879280"); } } internal_power () { related_pin : "B1"; when : "A1 & !A2 & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.270100,7.886534,8.271662,8.696215,9.025447,9.196747,9.274431", \ "7.279333,7.898649,8.290927,8.706534,9.042779,9.211659,9.288635", \ "7.256574,7.881187,8.256004,8.683219,9.010300,9.188780,9.256680", \ "7.199019,7.816359,8.204528,8.627917,8.957307,9.129406,9.206782", \ "7.195728,7.773822,8.160209,8.597424,8.943261,9.115390,9.194806", \ "7.262643,7.883907,8.250241,8.674110,9.013639,9.196105,9.279391", \ "7.448491,8.037518,8.438365,8.882272,9.236965,9.433123,9.487166"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.818830,11.341100,11.624310,12.043640,12.386340,12.460880,12.717920", \ "10.749340,11.325020,11.627130,12.045250,12.391160,12.493580,12.479180", \ "10.734500,11.246730,11.550900,11.903190,12.145390,12.312650,12.571040", \ "10.700390,11.268100,11.587050,11.944600,12.154650,12.284560,12.543110", \ "10.800340,11.312780,11.687640,12.077040,12.263760,12.445730,12.703800", \ "11.108050,11.622120,11.929090,12.221270,12.573240,12.794880,12.814350", \ "11.400130,11.961520,12.285500,12.683870,13.049900,13.196600,13.190220"); } } internal_power () { related_pin : "B1"; when : "A1 & !A2 & !B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.313801,7.931642,8.315197,8.713912,9.038630,9.207328,9.271775", \ "7.301371,7.951091,8.336666,8.731702,9.052872,9.216161,9.284925", \ "7.307242,7.931071,8.304650,8.710319,9.025302,9.190217,9.258154", \ "7.230457,7.872728,8.248336,8.653668,8.966424,9.128172,9.197210", \ "7.213487,7.819210,8.205277,8.601494,8.948700,9.118566,9.187511", \ "7.342239,7.923737,8.301905,8.711386,9.033235,9.186200,9.266491", \ "7.513265,8.082933,8.444031,8.909668,9.263906,9.435295,9.476741"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.780060,11.348320,11.629410,11.975230,12.302860,12.467750,12.454330", \ "10.769250,11.281880,11.642880,11.989030,12.332580,12.513260,12.496270", \ "10.701760,11.209190,11.561250,11.971560,12.299030,12.497750,12.586760", \ "10.709040,11.234060,11.577960,11.863050,12.074430,12.294080,12.552690", \ "10.822700,11.312540,11.652840,12.076220,12.403450,12.445290,12.702450", \ "11.099090,11.613220,11.930770,12.346860,12.604110,12.808350,12.774550", \ "11.434430,11.994500,12.310690,12.766610,12.943090,13.173520,13.165590"); } } internal_power () { related_pin : "B1"; when : "A1 & A2 & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.658004,8.287579,8.670539,9.099468,9.438701,9.616199,9.709507", \ "7.667440,8.297150,8.680058,9.111713,9.449768,9.641438,9.721491", \ "7.649825,8.288112,8.673569,9.096600,9.438369,9.622671,9.704414", \ "7.622230,8.234730,8.621700,9.055464,9.386346,9.579639,9.656713", \ "7.634563,8.211602,8.605287,9.029900,9.378005,9.566478,9.656458", \ "7.692808,8.303700,8.657864,9.100474,9.433389,9.630678,9.731332", \ "7.886836,8.465653,8.849908,9.284651,9.653145,9.851887,9.923764"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.115770,11.760270,12.131280,12.627560,13.160620,13.229770,13.522250", \ "11.107950,11.730940,12.080200,12.625180,13.158860,13.257780,13.534550", \ "11.018220,11.663610,12.054110,12.481380,13.033180,13.351740,13.357920", \ "11.045720,11.633720,12.025600,12.525100,12.924590,13.328280,13.335100", \ "11.091600,11.737260,12.122210,12.628320,13.045770,13.217520,13.606740", \ "11.372210,12.018000,12.394170,12.893860,13.425290,13.543490,13.822640", \ "11.704290,12.394930,12.802470,13.331540,13.814300,14.079480,14.092870"); } } internal_power () { related_pin : "B1"; when : "A1 & A2 & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.274824,7.907048,8.301508,8.698936,9.039663,9.206277,9.273798", \ "7.282559,7.928816,8.294450,8.726120,9.052629,9.211489,9.282327", \ "7.262559,7.891543,8.262409,8.692395,9.017326,9.183674,9.255378", \ "7.215648,7.819180,8.209223,8.635935,8.961831,9.130361,9.199181", \ "7.212597,7.793192,8.193985,8.601054,8.945685,9.116171,9.193282", \ "7.289627,7.905395,8.259810,8.693547,9.022121,9.206803,9.284016", \ "7.530816,8.064480,8.451023,8.889656,9.269488,9.450277,9.492083"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.056800,11.576650,11.917410,12.296510,12.628110,12.775600,12.762080", \ "11.027760,11.547530,11.848890,12.285110,12.626560,12.804090,12.793410", \ "10.960240,11.493410,11.843130,12.136870,12.423890,12.626850,12.886240", \ "10.929730,11.505110,11.814830,12.179820,12.396390,12.603010,12.861830", \ "11.032130,11.580150,11.914180,12.285530,12.502360,12.763790,12.750040", \ "11.334240,11.835730,12.193960,12.558060,12.902920,13.088230,13.078360", \ "11.636740,12.205120,12.528440,12.927600,13.176340,13.397230,13.661360"); } } internal_power () { related_pin : "B1"; when : "A1 & A2 & !B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.357311,7.937568,8.335867,8.745380,9.052186,9.209393,9.277199", \ "7.373395,7.963883,8.352001,8.758410,9.064973,9.221589,9.290259", \ "7.290657,7.954594,8.324981,8.729436,9.037235,9.193095,9.264764", \ "7.284692,7.858970,8.245808,8.663046,8.975865,9.129986,9.201038", \ "7.259535,7.857570,8.232837,8.626251,8.956158,9.118926,9.186599", \ "7.352503,7.944300,8.315922,8.727793,9.041778,9.195891,9.274839", \ "7.517671,8.121771,8.505879,8.924632,9.270992,9.450187,9.490037"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.009620,11.582560,11.912090,12.330810,12.534150,12.783610,12.769770", \ "10.991340,11.566820,11.855520,12.298890,12.545860,12.821280,12.810040", \ "10.923500,11.498800,11.775590,12.195520,12.527880,12.644640,12.629650", \ "10.939390,11.459300,11.795150,12.218880,12.550760,12.611900,12.871370", \ "11.031680,11.578210,11.866950,12.298080,12.630750,12.763240,12.749560", \ "11.304240,11.881580,12.182810,12.539230,12.884680,13.079290,13.068930", \ "11.670990,12.238350,12.539760,12.996770,13.221080,13.374850,13.638630"); } } internal_power () { related_pin : "B2"; when : "!A1 & A2 & !B1 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("8.384463,8.990018,9.395289,9.825835,10.219410,10.438180,10.564260", \ "8.308037,8.968703,9.367736,9.798729,10.185740,10.405060,10.530890", \ "8.322286,8.936834,9.316750,9.760444,10.144070,10.373400,10.498420", \ "8.312415,8.903395,9.310204,9.745266,10.136220,10.355270,10.477110", \ "8.330385,8.905574,9.282565,9.747251,10.137050,10.364470,10.489140", \ "8.366713,8.973645,9.344410,9.794278,10.177950,10.422380,10.552700", \ "8.572362,9.107116,9.531006,9.973634,10.375080,10.594190,10.707900"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.555110,12.193510,12.624650,13.135470,13.852310,14.283860,14.534730", \ "11.524550,12.220380,12.633040,13.157030,13.815890,14.298100,14.509130", \ "11.446430,12.143140,12.560450,13.190670,13.772410,14.069880,14.380700", \ "11.440600,12.136250,12.523590,13.117520,13.829340,14.139850,14.371920", \ "11.429200,12.107030,12.532140,13.117780,13.803170,14.242950,14.281140", \ "11.518920,12.232960,12.623090,13.146120,13.861440,14.304120,14.343170", \ "11.635830,12.373900,12.799320,13.416770,14.098460,14.580450,14.627480"); } } internal_power () { related_pin : "B2"; when : "!A1 & A2 & !B1 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("8.006920,8.599554,8.989612,9.442281,9.806396,10.013150,10.116570", \ "7.933618,8.584261,8.964480,9.408318,9.772764,9.980739,10.079850", \ "7.942436,8.531387,8.936303,9.373505,9.739411,9.941719,10.046570", \ "7.914537,8.523268,8.897311,9.354323,9.717342,9.930801,10.032890", \ "7.920386,8.496684,8.899522,9.357647,9.718247,9.923073,10.035890", \ "8.005735,8.582137,8.973626,9.407255,9.770925,9.991665,10.107400", \ "8.188180,8.757910,9.118213,9.586723,9.959465,10.178610,10.274100"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.437180,12.061960,12.406760,12.895510,13.289210,13.558010,13.833080", \ "11.450710,12.032590,12.427880,12.916340,13.442050,13.745060,13.749640", \ "11.373560,12.012170,12.391240,12.816350,13.208340,13.619450,13.621940", \ "11.367930,11.950200,12.318680,12.875010,13.267130,13.609890,13.613080", \ "11.336950,11.975880,12.327130,12.875580,13.134280,13.518340,13.794210", \ "11.448990,12.073240,12.421650,12.909940,13.311160,13.743060,13.859400", \ "11.528750,12.190320,12.564510,13.150990,13.655050,13.886230,13.898050"); } } internal_power () { related_pin : "B2"; when : "!A1 & A2 & !B1 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("8.016748,8.641482,9.045431,9.469343,9.811897,10.008110,10.111270", \ "7.999739,8.616947,8.989595,9.440262,9.780349,9.974212,10.080310", \ "7.937134,8.560012,8.953879,9.403107,9.745696,9.941599,10.038860", \ "7.958354,8.558559,8.939905,9.384814,9.722464,9.919422,10.021540", \ "7.919640,8.546045,8.929695,9.372792,9.711442,9.910193,10.018800", \ "8.051429,8.636569,9.016847,9.418457,9.776480,9.974645,10.088850", \ "8.178658,8.776399,9.164066,9.595821,9.972201,10.166540,10.244000"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.441410,12.068470,12.415710,12.964450,13.474030,13.564260,13.839200", \ "11.413190,12.051040,12.378880,12.859690,13.433510,13.492240,13.767730", \ "11.390730,11.991080,12.336390,12.885310,13.395010,13.631980,13.636040", \ "11.321110,11.960170,12.313170,12.794270,13.324520,13.618900,13.621750", \ "11.340940,11.979450,12.318190,12.776230,13.327580,13.566850,13.796720", \ "11.449520,12.048790,12.439150,12.918460,13.429340,13.582620,13.859580", \ "11.523180,12.207900,12.606330,13.125260,13.664130,13.880010,14.096530"); } } internal_power () { related_pin : "B2"; when : "A1 & !A2 & !B1 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("8.006920,8.599554,8.989612,9.442281,9.806396,10.013150,10.116570", \ "7.933618,8.584261,8.964480,9.408318,9.772764,9.980739,10.079850", \ "7.942436,8.531387,8.936303,9.373505,9.739411,9.941719,10.046570", \ "7.914537,8.523268,8.897311,9.354323,9.717342,9.930801,10.032890", \ "7.920386,8.496684,8.899522,9.357647,9.718247,9.923073,10.035890", \ "8.005735,8.582137,8.973626,9.407255,9.770925,9.991665,10.107400", \ "8.188180,8.757910,9.118213,9.586723,9.959465,10.178610,10.274100"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.437180,12.061960,12.406760,12.895510,13.289210,13.558010,13.833080", \ "11.450710,12.032590,12.427880,12.916340,13.442050,13.745060,13.749640", \ "11.373560,12.012170,12.391240,12.816350,13.208340,13.619450,13.621940", \ "11.367930,11.950200,12.318680,12.875010,13.267130,13.609890,13.613080", \ "11.336950,11.975880,12.327130,12.875580,13.134280,13.518340,13.794210", \ "11.448990,12.073240,12.421650,12.909940,13.311160,13.743060,13.859400", \ "11.528750,12.190320,12.564510,13.150990,13.655050,13.886230,13.898050"); } } internal_power () { related_pin : "B2"; when : "A1 & !A2 & !B1 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.561546,8.226352,8.593209,9.041058,9.397441,9.580769,9.676779", \ "7.554965,8.190403,8.567275,9.010299,9.363128,9.558598,9.642685", \ "7.557927,8.154278,8.522012,8.970358,9.326967,9.515455,9.607849", \ "7.541915,8.121122,8.523442,8.946136,9.298520,9.488715,9.580914", \ "7.508988,8.122050,8.498315,8.925369,9.293303,9.494822,9.581605", \ "7.642928,8.207152,8.582523,9.012145,9.361422,9.565420,9.659694", \ "7.762960,8.371840,8.757354,9.189210,9.561432,9.769474,9.838271"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.366360,11.880370,12.195640,12.614550,12.953400,13.108250,13.093200", \ "11.337050,11.905050,12.216130,12.501480,12.868150,13.023930,13.009990", \ "11.316740,11.857480,12.180240,12.531420,12.873650,12.898690,13.154120", \ "11.281270,11.822040,12.109010,12.460200,12.707670,12.995810,13.146440", \ "11.281010,11.793380,12.116110,12.461100,12.802430,13.067640,13.055300", \ "11.344500,11.909470,12.216700,12.633960,12.971160,13.135920,13.124780", \ "11.445790,12.028680,12.381230,12.781260,13.115320,13.198350,13.465840"); } } internal_power () { related_pin : "B2"; when : "A1 & !A2 & !B1 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.636470,8.262504,8.661624,9.081309,9.411012,9.584401,9.671702", \ "7.595310,8.207625,8.632021,9.044496,9.379666,9.553142,9.639927", \ "7.542633,8.211954,8.595800,8.997234,9.341078,9.516198,9.602481", \ "7.531700,8.171308,8.533187,8.968949,9.310343,9.481142,9.573447", \ "7.558634,8.162609,8.498959,8.959473,9.293393,9.479908,9.566091", \ "7.665534,8.232698,8.621951,9.043130,9.367978,9.545588,9.645177", \ "7.803933,8.379126,8.784658,9.205916,9.578215,9.760275,9.811823"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.372870,11.885050,12.210570,12.555600,12.881480,13.114350,13.099520", \ "11.355970,11.888420,12.174080,12.584810,12.787760,13.043570,13.029910", \ "11.277280,11.845030,12.132110,12.477080,12.803080,12.911280,13.168520", \ "11.264600,11.777090,12.109730,12.519470,12.844400,12.993590,13.155310", \ "11.284570,11.796920,12.096700,12.503910,12.704070,13.070310,13.057630", \ "11.346120,11.909960,12.239900,12.517500,12.847030,13.136320,13.124550", \ "11.440080,12.007490,12.371270,12.773800,13.149300,13.191240,13.459580"); } } internal_power () { related_pin : "B2"; when : "A1 & A2 & !B1 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("8.008750,8.600531,8.988445,9.458470,9.808037,10.008080,10.115380", \ "7.990656,8.582640,8.951717,9.425305,9.779612,9.975608,10.080050", \ "7.961838,8.527205,8.939544,9.389942,9.740392,9.936242,10.043020", \ "7.912113,8.505137,8.927660,9.365759,9.720061,9.913857,10.017520", \ "7.906850,8.521931,8.927260,9.348562,9.717258,9.917897,10.025770", \ "7.975079,8.607788,8.983000,9.412213,9.780049,9.992216,10.108010", \ "8.163438,8.772163,9.136389,9.612685,9.989177,10.187180,10.281280"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.652150,12.298110,12.655420,13.218770,13.749620,13.875910,14.154220", \ "11.672860,12.261000,12.669650,13.102290,13.653780,13.787240,14.065580", \ "11.599780,12.244980,12.635850,13.131700,13.663440,13.932230,14.018770", \ "11.598640,12.186280,12.564730,13.059320,13.528050,13.930080,13.935010", \ "11.566420,12.211640,12.567460,13.054990,13.588820,13.838850,13.902530", \ "11.656060,12.302500,12.655830,13.195880,13.725670,13.879360,14.159630", \ "11.743740,12.435550,12.846080,13.368400,13.930140,14.123610,14.134460"); } } internal_power () { related_pin : "B2"; when : "A1 & A2 & !B1 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.647496,8.246630,8.630497,9.067313,9.396560,9.582906,9.675341", \ "7.546859,8.222481,8.597049,9.032284,9.366974,9.549950,9.641180", \ "7.547467,8.179188,8.561932,8.994238,9.333746,9.521827,9.603068", \ "7.524109,8.151210,8.537306,8.964632,9.303203,9.481721,9.576070", \ "7.536565,8.146726,8.494456,8.958072,9.295734,9.486384,9.579283", \ "7.661229,8.225372,8.602082,9.026609,9.373262,9.573434,9.658519", \ "7.835554,8.361255,8.780629,9.217701,9.582308,9.777322,9.842807"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.623860,12.169270,12.479330,12.839490,13.185560,13.422320,13.410100", \ "11.614130,12.133840,12.426290,12.852500,13.068130,13.333990,13.320330", \ "11.541770,12.061770,12.393470,12.754190,13.100590,13.208310,13.466800", \ "11.483020,12.058420,12.389650,12.683140,12.938530,13.205530,13.192910", \ "11.508580,12.039990,12.329230,12.681460,13.029350,13.114420,13.373660", \ "11.601320,12.122620,12.470400,12.826390,13.172550,13.156410,13.418720", \ "11.654550,12.279890,12.645800,13.039880,13.407800,13.427800,13.695560"); } } internal_power () { related_pin : "B2"; when : "A1 & A2 & !B1 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.691094,8.308784,8.668451,9.087774,9.415951,9.587008,9.673340", \ "7.649997,8.277060,8.644262,9.053708,9.382694,9.554691,9.641489", \ "7.612335,8.216652,8.622412,9.014295,9.353077,9.520953,9.602943", \ "7.548849,8.180818,8.570308,8.986037,9.305399,9.484695,9.568222", \ "7.558070,8.177895,8.565205,8.968959,9.304516,9.481191,9.568954", \ "7.678708,8.260737,8.641224,9.056685,9.376812,9.553775,9.651304", \ "7.840441,8.417424,8.794200,9.226670,9.595505,9.770153,9.823605"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.600430,12.120270,12.467310,12.885470,13.086430,13.428800,13.416220", \ "11.577050,12.096810,12.426480,12.778190,13.032730,13.350750,13.338380", \ "11.502940,12.078810,12.386340,12.804890,13.004580,13.224710,13.211390", \ "11.492270,12.012160,12.364440,12.717230,13.047110,13.214780,13.202490", \ "11.512600,12.032320,12.348130,12.694000,13.036120,13.117140,13.376490", \ "11.601960,12.123050,12.480140,12.811670,13.126560,13.156470,13.418460", \ "11.708010,12.286070,12.635460,12.991780,13.301890,13.421930,13.689130"); } } internal_power () { related_pin : "C1"; when : "!A1 & A2 & !B1 & B2 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("8.041705,8.637000,9.019616,9.483728,9.845025,10.044390,10.152050", \ "8.015939,8.653123,9.034073,9.495562,9.846647,10.061570,10.171910", \ "8.033361,8.646147,9.050613,9.482733,9.849710,10.052120,10.160070", \ "8.023329,8.608801,8.990807,9.449673,9.804104,10.017640,10.132230", \ "7.992994,8.589194,8.964210,9.431980,9.789827,10.000130,10.107810", \ "8.012633,8.608731,8.984947,9.421168,9.800263,10.007540,10.115490", \ "8.127227,8.683136,9.095945,9.527909,9.924641,10.126050,10.214900"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.785700,12.502460,12.957190,13.505520,14.247290,14.748090,14.871770", \ "11.780010,12.496000,12.906420,13.525850,14.213630,14.797440,14.894410", \ "11.751880,12.448510,12.882790,13.502360,14.182640,14.602210,14.697260", \ "11.716940,12.441420,12.861930,13.407260,14.167920,14.578330,14.948270", \ "11.778270,12.527520,12.961800,13.521720,14.282170,14.750520,14.849620", \ "12.067960,12.763010,13.183430,13.756740,14.551030,15.026100,15.238020", \ "12.438550,13.182290,13.581440,14.144840,14.913030,15.253720,15.627720"); } } internal_power () { related_pin : "C1"; when : "!A1 & A2 & B1 & !B2 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.635036,8.264110,8.658033,9.079184,9.431610,9.624886,9.714278", \ "7.660450,8.280266,8.668810,9.083774,9.445964,9.632949,9.721773", \ "7.658097,8.240872,8.648064,9.072997,9.428167,9.615894,9.702777", \ "7.604146,8.213889,8.590032,9.041736,9.387040,9.583816,9.668913", \ "7.536801,8.185004,8.567720,9.008510,9.346491,9.548114,9.642945", \ "7.621340,8.210839,8.573190,9.020263,9.375091,9.564498,9.658752", \ "7.698225,8.307689,8.696816,9.118463,9.512422,9.707824,9.765386"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.712510,12.373500,12.730930,13.257930,13.825320,14.200950,14.359280", \ "11.705460,12.328160,12.715970,13.268100,13.842270,14.193140,14.134010", \ "11.653250,12.280890,12.658810,13.161930,13.649740,13.883020,14.214530", \ "11.643980,12.267180,12.625190,13.149710,13.623150,14.129480,14.190590", \ "11.712840,12.365330,12.734330,13.262350,13.700540,14.030680,14.362930", \ "11.991070,12.594320,12.953220,13.476260,14.046740,14.301920,14.633810", \ "12.329390,13.025970,13.424540,13.921330,14.508470,14.834880,14.899470"); } } internal_power () { related_pin : "C1"; when : "!A1 & A2 & B1 & B2 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.682815,8.284649,8.680069,9.110854,9.445652,9.616634,9.707028", \ "7.698342,8.312894,8.689454,9.129193,9.459790,9.636924,9.726334", \ "7.660117,8.304524,8.662380,9.105178,9.432694,9.623453,9.707006", \ "7.621375,8.251298,8.622431,9.063034,9.383782,9.572593,9.663825", \ "7.613786,8.201981,8.590948,9.025074,9.355367,9.544857,9.633064", \ "7.641955,8.246761,8.604018,9.049410,9.382266,9.559647,9.655576", \ "7.734125,8.328252,8.702466,9.167724,9.523394,9.703840,9.770799"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.898310,12.561000,12.945510,13.370840,13.948720,14.397630,14.465670", \ "11.887940,12.493910,12.879950,13.384070,13.961630,14.168430,14.505930", \ "11.806880,12.469760,12.846860,13.411690,13.935850,14.253970,14.318400", \ "11.832980,12.456130,12.808650,13.399500,13.967240,14.230090,14.296160", \ "11.893740,12.547100,12.908320,13.365100,13.906360,14.402300,14.469440", \ "12.113620,12.777130,13.173000,13.694360,14.191670,14.395550,14.733900", \ "12.515830,13.210090,13.595810,14.059070,14.534590,15.025610,15.206370"); } } internal_power () { related_pin : "C1"; when : "A1 & !A2 & !B1 & B2 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.635036,8.264110,8.658033,9.079184,9.431610,9.624886,9.714278", \ "7.660450,8.280266,8.668810,9.083774,9.445964,9.632949,9.721773", \ "7.658097,8.240872,8.648064,9.072997,9.428167,9.615894,9.702777", \ "7.604146,8.213889,8.590032,9.041736,9.387040,9.583816,9.668913", \ "7.536801,8.185004,8.567720,9.008510,9.346491,9.548114,9.642945", \ "7.621340,8.210839,8.573190,9.020263,9.375091,9.564498,9.658752", \ "7.698225,8.307689,8.696816,9.118463,9.512422,9.707824,9.765386"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.712510,12.373500,12.730930,13.257930,13.825320,14.200950,14.359280", \ "11.705460,12.328160,12.715970,13.268100,13.842270,14.193140,14.134010", \ "11.653250,12.280890,12.658810,13.161930,13.649740,13.883020,14.214530", \ "11.643980,12.267180,12.625190,13.149710,13.623150,14.129480,14.190590", \ "11.712840,12.365330,12.734330,13.262350,13.700540,14.030680,14.362930", \ "11.991070,12.594320,12.953220,13.476260,14.046740,14.301920,14.633810", \ "12.329390,13.025970,13.424540,13.921330,14.508470,14.834880,14.899470"); } } internal_power () { related_pin : "C1"; when : "A1 & !A2 & B1 & !B2 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.260049,7.884814,8.277235,8.697411,9.024072,9.199285,9.272234", \ "7.279595,7.900802,8.287177,8.709979,9.038036,9.215966,9.286137", \ "7.275588,7.875885,8.262970,8.679594,9.015353,9.182878,9.255532", \ "7.212758,7.797162,8.202870,8.609535,8.954748,9.125263,9.202945", \ "7.172688,7.768737,8.117685,8.585153,8.921611,9.096492,9.171743", \ "7.201507,7.775196,8.184091,8.598483,8.947169,9.118395,9.201792", \ "7.320391,7.896799,8.268431,8.721582,9.102400,9.281395,9.320946"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.657230,12.225090,12.525140,12.975830,13.324690,13.582060,13.621960", \ "11.595190,12.185280,12.476820,12.862260,13.286010,13.356790,13.668850", \ "11.565180,12.101240,12.452880,12.837830,13.228090,13.437590,13.476980", \ "11.530900,12.123420,12.419950,12.878860,13.263510,13.411520,13.452530", \ "11.647300,12.185160,12.527550,12.855760,13.204440,13.582820,13.624840", \ "11.875440,12.466750,12.746050,13.200460,13.453390,13.579290,13.892890", \ "12.247460,12.862100,13.152180,13.556390,13.953520,14.160690,14.191350"); } } internal_power () { related_pin : "C1"; when : "A1 & !A2 & B1 & B2 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.287291,7.903325,8.318420,8.711482,9.042100,9.199974,9.275842", \ "7.300195,7.942680,8.326964,8.733178,9.050928,9.217854,9.284904", \ "7.315015,7.911503,8.303390,8.695534,9.022681,9.192788,9.260606", \ "7.202686,7.854909,8.243273,8.646877,8.966705,9.130815,9.199362", \ "7.205347,7.788715,8.150191,8.600673,8.925589,9.101257,9.168008", \ "7.258838,7.825261,8.221726,8.623430,8.959627,9.117222,9.198137", \ "7.332970,7.943432,8.303880,8.755771,9.114864,9.288210,9.324530"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.785060,12.380740,12.726190,13.111940,13.438990,13.679580,13.727950", \ "11.774910,12.313910,12.674300,13.124110,13.458080,13.723770,13.769030", \ "11.714020,12.289550,12.584300,12.969570,13.405220,13.536040,13.853550", \ "11.719840,12.258890,12.613900,13.005500,13.409750,13.511670,13.830760", \ "11.779630,12.375410,12.722370,13.107200,13.490490,13.684040,13.730780", \ "12.055440,12.614370,12.962930,13.298880,13.759460,13.946170,13.992790", \ "12.448780,13.004890,13.371340,13.705420,13.963270,14.446040,14.496100"); } } internal_power () { related_pin : "C1"; when : "A1 & A2 & !B1 & B2 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.682376,8.286921,8.675096,9.099385,9.430771,9.618158,9.710002", \ "7.700672,8.300281,8.681159,9.114564,9.450789,9.640525,9.716953", \ "7.678926,8.288037,8.667298,9.097141,9.429633,9.624345,9.704573", \ "7.612853,8.206423,8.601986,9.053552,9.385347,9.570172,9.663100", \ "7.575693,8.161240,8.580037,9.017675,9.356869,9.543441,9.631928", \ "7.633202,8.221285,8.598289,9.040441,9.376333,9.567241,9.653610", \ "7.722733,8.293262,8.708502,9.131428,9.519105,9.709481,9.771483"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("12.007130,12.638540,13.000750,13.521020,14.042940,14.291890,14.621560", \ "11.951190,12.607560,13.018420,13.541770,13.990100,14.365730,14.670090", \ "11.940980,12.578180,12.924620,13.513880,13.970710,14.418570,14.477240", \ "11.936290,12.544770,12.956600,13.474510,13.994040,14.392000,14.451550", \ "11.997110,12.662450,12.992890,13.577640,14.101380,14.290070,14.623320", \ "12.219240,12.885690,13.269560,13.728480,14.227460,14.561680,14.893200", \ "12.606330,13.302420,13.687250,14.224700,14.809820,15.015040,15.349490"); } } internal_power () { related_pin : "C1"; when : "A1 & A2 & B1 & !B2 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.314321,7.905478,8.301133,8.696834,9.032938,9.198786,9.272943", \ "7.288358,7.929970,8.276008,8.721573,9.051436,9.218770,9.283839", \ "7.266911,7.899263,8.282078,8.686358,9.024196,9.184018,9.260125", \ "7.224809,7.844925,8.199804,8.642572,8.961430,9.124329,9.200762", \ "7.165037,7.785159,8.169492,8.595044,8.926063,9.092632,9.166510", \ "7.252817,7.811125,8.168704,8.628353,8.954880,9.123922,9.195954", \ "7.329879,7.916642,8.309146,8.731729,9.110167,9.288346,9.332152"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.891740,12.490870,12.805220,13.260460,13.653510,13.842400,13.880350", \ "11.883740,12.425630,12.754960,13.143660,13.475910,13.614840,13.926190", \ "11.854990,12.400940,12.728080,13.119480,13.539540,13.692650,13.732690", \ "11.835370,12.418630,12.692640,13.156600,13.412590,13.668520,13.981300", \ "11.937200,12.479690,12.797570,13.262690,13.519430,13.840360,13.880300", \ "12.159420,12.713040,13.072400,13.466850,13.852520,14.107250,14.147510", \ "12.514640,13.150340,13.459620,13.865910,14.267110,14.318080,14.633580"); } } internal_power () { related_pin : "C1"; when : "A1 & A2 & B1 & B2 & !C2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.347798,7.954199,8.340838,8.737163,9.050682,9.207261,9.276921", \ "7.365897,7.970801,8.350586,8.754833,9.063847,9.227412,9.289621", \ "7.282825,7.950391,8.308303,8.726862,9.039551,9.202010,9.265231", \ "7.278039,7.862744,8.265909,8.662450,8.975300,9.129536,9.199500", \ "7.235030,7.817012,8.204324,8.616388,8.927405,9.094498,9.163217", \ "7.269181,7.837910,8.239946,8.648210,8.964679,9.123966,9.194329", \ "7.357257,7.956864,8.344970,8.762565,9.123675,9.297272,9.331535"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("12.119200,12.664110,13.012810,13.401360,13.812590,13.924930,14.240960", \ "12.049190,12.650940,12.958470,13.414070,13.805400,13.967380,14.087250", \ "12.025790,12.570540,12.934070,13.257730,13.776720,13.776250,14.094620", \ "11.993750,12.595000,12.895330,13.293110,13.659890,13.753860,14.071940", \ "12.111810,12.659350,12.991760,13.390040,13.816770,13.926240,14.244020", \ "12.325400,12.891220,13.247460,13.575290,13.961480,14.188130,14.505310", \ "12.744660,13.319660,13.627350,14.031430,14.308920,14.607710,14.925990"); } } internal_power () { related_pin : "C2"; when : "!A1 & A2 & !B1 & B2 & !C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("8.397572,9.000538,9.396834,9.831887,10.218610,10.443920,10.564400", \ "8.367994,8.968332,9.356482,9.792839,10.185760,10.409300,10.533510", \ "8.336789,8.931691,9.314729,9.778181,10.154390,10.374000,10.496280", \ "8.302712,8.918598,9.302941,9.766316,10.136930,10.356550,10.483800", \ "8.295257,8.894246,9.272976,9.761945,10.125490,10.358050,10.487370", \ "8.311181,8.921781,9.327655,9.758378,10.143210,10.379140,10.505710", \ "8.456633,8.997288,9.388215,9.862990,10.264230,10.478960,10.582700"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("12.383760,13.099610,13.477460,14.169170,14.801470,15.126170,15.496760", \ "12.320050,13.046750,13.481000,14.072500,14.803380,15.338230,15.435400", \ "12.335940,13.027170,13.395310,14.086840,14.741980,15.191390,15.287320", \ "12.313150,12.991430,13.442450,13.996260,14.757940,15.259740,15.457180", \ "12.279140,12.992670,13.438400,13.985260,14.705820,15.061870,15.431750", \ "12.371080,13.087250,13.508840,14.078860,14.873120,15.326630,15.423840", \ "12.539340,13.256550,13.700010,14.331110,14.976510,15.366370,15.742140"); } } internal_power () { related_pin : "C2"; when : "!A1 & A2 & B1 & !B2 & !C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("8.006412,8.608542,8.994854,9.447668,9.806174,10.012240,10.112170", \ "7.968989,8.557946,8.953975,9.408791,9.772733,9.976816,10.087750", \ "7.887768,8.546662,8.918318,9.381157,9.743981,9.942847,10.051140", \ "7.919823,8.514188,8.901127,9.359046,9.717852,9.924389,10.029350", \ "7.857929,8.511105,8.901723,9.348319,9.701353,9.926216,10.029350", \ "7.932008,8.533621,8.896615,9.367430,9.711459,9.941730,10.052390", \ "8.040133,8.601970,9.021722,9.457237,9.851142,10.055330,10.142750"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("12.308930,12.924860,13.316860,13.841150,14.291540,14.679940,14.738640", \ "12.244170,12.905030,13.291150,13.809310,14.390530,14.619570,14.678410", \ "12.247190,12.877140,13.264480,13.821630,14.263250,14.471050,14.862740", \ "12.181430,12.842810,13.220520,13.732710,14.195200,14.446130,14.779180", \ "12.197380,12.858000,13.205100,13.716560,14.294230,14.616520,14.677250", \ "12.298260,12.901820,13.296680,13.811310,14.384960,14.605520,14.938790", \ "12.420300,13.106720,13.486150,13.950260,14.460850,14.940900,15.005330"); } } internal_power () { related_pin : "C2"; when : "!A1 & A2 & B1 & B2 & !C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("8.039567,8.639902,9.039915,9.465555,9.802298,10.005450,10.110460", \ "8.005413,8.610168,8.990933,9.432734,9.777625,9.978693,10.079600", \ "7.946131,8.578097,8.940867,9.401716,9.744845,9.941549,10.045140", \ "7.915864,8.553131,8.940050,9.377407,9.715063,9.924973,10.027400", \ "7.909288,8.538274,8.925297,9.363113,9.711264,9.908227,10.016790", \ "7.969926,8.546410,8.958983,9.367732,9.727171,9.931205,10.042470", \ "8.090849,8.648073,9.040695,9.492826,9.855060,10.056130,10.129090"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("12.436510,13.100500,13.485340,14.002770,14.579540,14.780180,15.115900", \ "12.425010,13.088150,13.454180,13.902840,14.481570,14.711610,15.048950", \ "12.389320,13.052440,13.397910,13.916020,14.492630,14.840560,14.905210", \ "12.369830,12.976230,13.387380,13.956410,14.523970,14.816310,14.883100", \ "12.385560,12.991640,13.421450,13.930890,14.369510,14.716040,15.054270", \ "12.477050,13.083000,13.488760,14.003000,14.446260,14.968060,15.035400", \ "12.564370,13.280290,13.686580,14.217640,14.666940,14.986930,15.329490"); } } internal_power () { related_pin : "C2"; when : "A1 & !A2 & !B1 & B2 & !C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("8.006412,8.608542,8.994854,9.447668,9.806174,10.012240,10.112170", \ "7.968989,8.557946,8.953975,9.408791,9.772733,9.976816,10.087750", \ "7.887768,8.546662,8.918318,9.381157,9.743981,9.942847,10.051140", \ "7.919823,8.514188,8.901127,9.359046,9.717852,9.924389,10.029350", \ "7.857929,8.511105,8.901723,9.348319,9.701353,9.926216,10.029350", \ "7.932008,8.533621,8.896615,9.367430,9.711459,9.941730,10.052390", \ "8.040133,8.601970,9.021722,9.457237,9.851142,10.055330,10.142750"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("12.308930,12.924860,13.316860,13.841150,14.291540,14.679940,14.738640", \ "12.244170,12.905030,13.291150,13.809310,14.390530,14.619570,14.678410", \ "12.247190,12.877140,13.264480,13.821630,14.263250,14.471050,14.862740", \ "12.181430,12.842810,13.220520,13.732710,14.195200,14.446130,14.779180", \ "12.197380,12.858000,13.205100,13.716560,14.294230,14.616520,14.677250", \ "12.298260,12.901820,13.296680,13.811310,14.384960,14.605520,14.938790", \ "12.420300,13.106720,13.486150,13.950260,14.460850,14.940900,15.005330"); } } internal_power () { related_pin : "C2"; when : "A1 & !A2 & B1 & !B2 & !C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.608862,8.203908,8.597295,9.049407,9.398156,9.580020,9.673068", \ "7.579302,8.184466,8.558454,9.007962,9.368328,9.551195,9.642524", \ "7.542244,8.165781,8.525568,8.976517,9.327708,9.521960,9.603040", \ "7.523181,8.108387,8.503709,8.955623,9.299883,9.488658,9.582293", \ "7.498834,8.084174,8.490079,8.915196,9.273757,9.479812,9.570607", \ "7.554993,8.118544,8.516363,8.948141,9.303700,9.503111,9.596522", \ "7.644731,8.229262,8.628837,9.055854,9.441336,9.626444,9.693669"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("12.196080,12.760870,13.084590,13.469570,13.861240,13.961980,14.271970", \ "12.188930,12.724680,13.058280,13.510710,13.866770,13.901070,14.214120", \ "12.150290,12.685790,13.002410,13.388140,13.784010,14.026880,14.067300", \ "12.126610,12.662590,12.978950,13.433120,13.819020,14.000590,14.041950", \ "12.142140,12.678150,12.971600,13.417200,13.675540,13.898830,14.213080", \ "12.185240,12.778200,13.061620,13.509270,13.908740,14.159230,14.202410", \ "12.325380,12.904340,13.275780,13.680140,14.078270,14.243270,14.286450"); } } internal_power () { related_pin : "C2"; when : "A1 & !A2 & B1 & B2 & !C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.626849,8.273313,8.660583,9.076268,9.404986,9.586766,9.668348", \ "7.616098,8.217513,8.596612,9.042373,9.377588,9.549446,9.640518", \ "7.558744,8.170857,8.561408,9.004828,9.336683,9.512840,9.602952", \ "7.562025,8.174443,8.533413,8.974298,9.312489,9.493985,9.577173", \ "7.533595,8.139804,8.481671,8.952688,9.292508,9.476432,9.557537", \ "7.586000,8.177290,8.541940,8.983524,9.313396,9.492983,9.581336", \ "7.672664,8.268839,8.655991,9.096945,9.450848,9.633960,9.693874"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("12.381570,12.920060,13.265760,13.716650,13.976220,14.334970,14.377890", \ "12.369400,12.907600,13.234670,13.620040,13.999690,14.266410,14.311780", \ "12.276250,12.872350,13.178510,13.630820,13.928040,14.122790,14.440750", \ "12.256900,12.852390,13.151800,13.535080,13.960230,14.098480,14.418040", \ "12.289690,12.867500,13.201390,13.644340,14.015440,14.270620,14.318230", \ "12.363810,12.905720,13.267380,13.714580,14.095410,14.250910,14.297540", \ "12.526370,13.106360,13.421850,13.821580,14.116540,14.555200,14.609640"); } } internal_power () { related_pin : "C2"; when : "A1 & A2 & !B1 & B2 & !C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("8.001925,8.628310,8.996496,9.457887,9.812033,10.005390,10.113310", \ "7.982161,8.576206,8.989801,9.425294,9.780455,9.973164,10.082320", \ "7.962843,8.528913,8.952239,9.392511,9.743287,9.942027,10.044870", \ "7.904692,8.519208,8.928044,9.369643,9.719783,9.917883,10.023290", \ "7.912301,8.499689,8.892062,9.336824,9.709069,9.916410,10.022180", \ "7.925191,8.545134,8.907371,9.379294,9.725428,9.926779,10.044630", \ "8.071271,8.635918,9.039688,9.469535,9.857356,10.058450,10.131490"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("12.545120,13.211040,13.559680,14.149460,14.599820,14.942090,14.999030", \ "12.535480,13.145620,13.529910,14.054200,14.554880,14.878410,15.207480", \ "12.496870,13.161970,13.542080,14.063050,14.511140,15.000840,15.058670", \ "12.473340,13.139270,13.515950,13.968620,14.548610,14.978870,15.037310", \ "12.487280,13.095340,13.506060,14.087930,14.477540,14.872670,14.932280", \ "12.576800,13.185050,13.598080,14.162560,14.735660,14.854520,15.187040", \ "12.712460,13.359080,13.769380,14.235900,14.759320,15.132070,15.468260"); } } internal_power () { related_pin : "C2"; when : "A1 & A2 & B1 & !B2 & !C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.634923,8.242998,8.632452,9.065471,9.401492,9.582719,9.675404", \ "7.583562,8.214642,8.606523,9.030291,9.363206,9.557020,9.638686", \ "7.564504,8.165326,8.565368,8.995399,9.331498,9.520805,9.604079", \ "7.542138,8.144837,8.532959,8.966542,9.298595,9.483826,9.575465", \ "7.507011,8.090336,8.501191,8.923178,9.288728,9.477385,9.566039", \ "7.565339,8.141103,8.531085,8.972986,9.295201,9.492393,9.590285", \ "7.689006,8.259871,8.598860,9.076105,9.447240,9.637417,9.695893"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("12.487760,13.029650,13.401760,13.723140,14.180200,14.219010,14.530810", \ "12.449970,13.019480,13.373320,13.762900,14.198790,14.426860,14.465470", \ "12.438410,12.980380,13.315900,13.770030,14.160690,14.278530,14.317880", \ "12.415710,12.957930,13.290220,13.677860,14.085300,14.256700,14.295640", \ "12.371760,12.970730,13.274360,13.655520,14.124230,14.151410,14.464600", \ "12.461350,13.060300,13.347630,13.733630,14.199710,14.404780,14.445240", \ "12.610890,13.216480,13.563610,13.968390,14.366580,14.426710,14.743230"); } } internal_power () { related_pin : "C2"; when : "A1 & A2 & B1 & B2 & !C1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.634501,8.260603,8.654527,9.093038,9.406834,9.590578,9.673279", \ "7.651445,8.258279,8.650355,9.051643,9.379608,9.557207,9.640080", \ "7.566266,8.229763,8.614823,9.021540,9.351913,9.522585,9.604894", \ "7.515470,8.195874,8.578677,8.984876,9.310973,9.487532,9.570046", \ "7.529210,8.139570,8.531032,8.955406,9.287520,9.470022,9.558816", \ "7.615526,8.191093,8.565491,8.981075,9.315252,9.488793,9.579845", \ "7.681218,8.278591,8.647255,9.102912,9.459273,9.639362,9.693202"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("12.683940,13.246170,13.533200,13.987810,14.397350,14.572980,14.617970", \ "12.641370,13.185990,13.566180,13.886010,14.281430,14.504800,14.820560", \ "12.607180,13.160450,13.529120,13.898850,14.288160,14.359690,14.677740", \ "12.587500,13.131860,13.481300,13.937220,14.320740,14.339880,14.658720", \ "12.601340,13.146040,13.458680,13.905560,14.161930,14.509610,14.555450", \ "12.681940,13.226880,13.576870,13.963860,14.214790,14.480880,14.798790", \ "12.798540,13.401530,13.738100,14.140250,14.402920,14.733390,14.780220"); } } } } /****************************************************************************************** Module : OR2_X1 Cell Description : Combinational cell (OR2_X1) with drive strength X1 *******************************************************************************************/ cell (OR2_X1) { drive_strength : 1; area : 1.064000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 17.731653; leakage_power () { when : "!A1 & !A2"; value : 20.783720; } leakage_power () { when : "!A1 & A2"; value : 15.916575; } leakage_power () { when : "A1 & !A2"; value : 16.588396; } leakage_power () { when : "A1 & A2"; value : 17.637918; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 0.904619; fall_capacitance : 0.767267; rise_capacitance : 0.904619; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 0.910052; fall_capacitance : 0.858727; rise_capacitance : 0.910052; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 60.577400; function : "(A1 | A2)"; timing () { related_pin : "A1"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.149710,0.164043,0.176850,0.196216,0.225618,0.272171,0.350958", \ "0.150978,0.165323,0.178145,0.197522,0.226931,0.273491,0.352279", \ "0.164279,0.178619,0.191418,0.210777,0.240173,0.286732,0.365525", \ "0.197058,0.211318,0.223981,0.243255,0.272659,0.319226,0.398025", \ "0.250409,0.264817,0.277631,0.296837,0.326323,0.372966,0.451805", \ "0.312503,0.328859,0.343130,0.364022,0.395035,0.442906,0.522270", \ "0.378999,0.397405,0.413536,0.436711,0.469909,0.519683,0.601038"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0405873,0.0548169,0.0714915,0.104036,0.168361,0.296308,0.551773", \ "0.0460064,0.0601929,0.0768507,0.109419,0.173764,0.301747,0.557212", \ "0.0640460,0.0779855,0.0944866,0.127011,0.191468,0.319635,0.575227", \ "0.0870091,0.101278,0.117633,0.149904,0.214182,0.342393,0.598087", \ "0.108328,0.123878,0.140278,0.172005,0.236075,0.363989,0.619690", \ "0.124436,0.142481,0.159877,0.191556,0.255031,0.382711,0.638183", \ "0.133331,0.154368,0.173825,0.206417,0.269495,0.396654,0.651832"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0267397,0.0313247,0.0359621,0.0441577,0.0591309,0.0876925,0.145053", \ "0.0267480,0.0313239,0.0359626,0.0441645,0.0591254,0.0876927,0.145046", \ "0.0267725,0.0313309,0.0359732,0.0441662,0.0591327,0.0876957,0.145052", \ "0.0267669,0.0313663,0.0360230,0.0442187,0.0591618,0.0877141,0.145059", \ "0.0286678,0.0326569,0.0369707,0.0448734,0.0595834,0.0879502,0.145172", \ "0.0357041,0.0394873,0.0432458,0.0502086,0.0637553,0.0906127,0.146347", \ "0.0433299,0.0473269,0.0510029,0.0573467,0.0697291,0.0955409,0.150524"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0135919,0.0253037,0.0403675,0.0708989,0.132181,0.254704,0.499589", \ "0.0135934,0.0253000,0.0403669,0.0709104,0.132158,0.254712,0.499591", \ "0.0138508,0.0253847,0.0403877,0.0709001,0.132172,0.254708,0.499591", \ "0.0159326,0.0264339,0.0409014,0.0710243,0.132136,0.254722,0.499591", \ "0.0199557,0.0289133,0.0421343,0.0715438,0.132393,0.254630,0.499592", \ "0.0255866,0.0337296,0.0450941,0.0725982,0.132809,0.254883,0.499591", \ "0.0321534,0.0405871,0.0505899,0.0751051,0.133527,0.255314,0.499694"); } } timing () { related_pin : "A2"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.163691,0.178006,0.190817,0.210181,0.239580,0.286131,0.364921", \ "0.167689,0.182025,0.194839,0.214211,0.243617,0.290171,0.368957", \ "0.184737,0.199066,0.211850,0.231215,0.260618,0.307173,0.385963", \ "0.215065,0.229366,0.241921,0.261151,0.290560,0.337121,0.415913", \ "0.259525,0.273933,0.286753,0.306071,0.335519,0.382139,0.460958", \ "0.312520,0.328128,0.341930,0.362305,0.393046,0.440749,0.520082", \ "0.372657,0.389518,0.404422,0.426325,0.458877,0.508576,0.589943"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0433759,0.0577852,0.0745814,0.107285,0.171767,0.299919,0.555511", \ "0.0486174,0.0629967,0.0797725,0.112470,0.176963,0.305130,0.560741", \ "0.0671479,0.0812760,0.0978984,0.130523,0.195082,0.323386,0.579109", \ "0.0924870,0.106841,0.123236,0.155613,0.220018,0.348344,0.604149", \ "0.116985,0.132446,0.148905,0.180619,0.244771,0.372855,0.628664", \ "0.137007,0.154645,0.171895,0.203644,0.267335,0.395165,0.650775", \ "0.150382,0.170793,0.189766,0.222159,0.285419,0.412772,0.668100"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0267516,0.0313256,0.0359639,0.0441604,0.0591311,0.0876921,0.145051", \ "0.0267741,0.0313254,0.0359624,0.0441586,0.0591333,0.0876891,0.145049", \ "0.0267645,0.0313295,0.0359668,0.0441581,0.0591336,0.0876953,0.145049", \ "0.0267640,0.0313390,0.0359859,0.0441807,0.0591438,0.0876985,0.145057", \ "0.0277914,0.0320840,0.0365299,0.0445511,0.0593720,0.0878373,0.145113", \ "0.0317550,0.0361038,0.0404573,0.0481807,0.0624003,0.0898341,0.146015", \ "0.0363575,0.0407900,0.0451585,0.0528066,0.0668428,0.0940424,0.149444"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0140817,0.0257127,0.0406943,0.0711496,0.132374,0.254863,0.499736", \ "0.0140550,0.0256998,0.0406892,0.0711477,0.132346,0.254864,0.499734", \ "0.0141424,0.0257155,0.0406855,0.0711332,0.132340,0.254862,0.499736", \ "0.0159464,0.0265702,0.0410673,0.0712395,0.132357,0.254887,0.499739", \ "0.0195327,0.0287389,0.0421605,0.0716910,0.132525,0.254839,0.499756", \ "0.0246416,0.0328963,0.0446510,0.0725690,0.132923,0.255007,0.499787", \ "0.0305729,0.0389588,0.0492687,0.0745568,0.133486,0.255420,0.499869"); } } internal_power () { related_pin : "A1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("1.887368,1.978547,2.064538,2.197970,2.332391,2.470724,2.538968", \ "1.857768,1.941649,2.030921,2.163210,2.311073,2.438070,2.505401", \ "1.829006,1.918553,2.006907,2.128012,2.284311,2.410276,2.478858", \ "1.854844,1.930665,2.016691,2.140282,2.292189,2.423577,2.492160", \ "1.956414,2.027166,2.097734,2.213671,2.358817,2.478741,2.555620", \ "2.098860,2.149011,2.210204,2.332521,2.466174,2.591780,2.668952", \ "2.304230,2.323434,2.360753,2.455004,2.587671,2.751077,2.837502"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("1.342819,1.397534,1.438372,1.452264,1.483864,1.448678,1.498071", \ "1.349547,1.403331,1.440792,1.456947,1.463499,1.497860,1.479492", \ "1.323376,1.362907,1.386289,1.401360,1.398155,1.441234,1.497386", \ "1.335334,1.357320,1.367847,1.394192,1.396948,1.446520,1.441619", \ "1.413654,1.439472,1.432681,1.427399,1.432412,1.413971,1.484560", \ "1.517917,1.569477,1.564733,1.559914,1.550885,1.525859,1.533293", \ "1.656173,1.756838,1.767174,1.752201,1.733537,1.691378,1.688600"); } } internal_power () { related_pin : "A2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("2.168840,2.259071,2.345393,2.466681,2.617740,2.752801,2.816085", \ "2.145419,2.236149,2.322084,2.457233,2.602959,2.731117,2.796640", \ "2.114695,2.211965,2.299304,2.428295,2.569207,2.703822,2.771136", \ "2.114586,2.202908,2.287809,2.408404,2.546903,2.688128,2.756631", \ "2.142139,2.219538,2.301286,2.422329,2.562455,2.689287,2.762923", \ "2.156547,2.232153,2.318099,2.447483,2.580575,2.726844,2.797523", \ "2.231202,2.281380,2.348871,2.461768,2.618398,2.796577,2.880277"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("1.488237,1.564958,1.599910,1.646606,1.655801,1.670928,1.730590", \ "1.477929,1.542027,1.583112,1.634761,1.655939,1.633018,1.693176", \ "1.443841,1.507003,1.528823,1.555238,1.578467,1.630347,1.627768", \ "1.465149,1.501148,1.521190,1.559104,1.549408,1.606305,1.609429", \ "1.539070,1.567784,1.568932,1.578114,1.608709,1.598197,1.676018", \ "1.640293,1.701154,1.688834,1.681074,1.681700,1.718587,1.733840", \ "1.791585,1.877187,1.873406,1.862111,1.843459,1.810973,1.885511"); } } } } /****************************************************************************************** Module : OR2_X2 Cell Description : Combinational cell (OR2_X2) with drive strength X2 *******************************************************************************************/ cell (OR2_X2) { drive_strength : 2; area : 1.330000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 35.637376; leakage_power () { when : "!A1 & !A2"; value : 41.886735; } leakage_power () { when : "!A1 & A2"; value : 31.938192; } leakage_power () { when : "A1 & !A2"; value : 33.305632; } leakage_power () { when : "A1 & A2"; value : 35.418945; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.652689; fall_capacitance : 1.370519; rise_capacitance : 1.652689; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.623151; fall_capacitance : 1.512870; rise_capacitance : 1.623151; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 121.051700; function : "(A1 | A2)"; timing () { related_pin : "A1"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.138428,0.153747,0.165781,0.184141,0.212341,0.257572,0.335108", \ "0.139626,0.154951,0.166989,0.185357,0.213567,0.258805,0.336344", \ "0.153098,0.168363,0.180368,0.198710,0.226914,0.272156,0.349696", \ "0.186097,0.201314,0.213183,0.231450,0.259653,0.304895,0.382393", \ "0.238738,0.254439,0.266554,0.284810,0.313136,0.358472,0.436072", \ "0.298342,0.316125,0.329703,0.349668,0.379578,0.426352,0.504620", \ "0.362477,0.382507,0.397851,0.420014,0.452004,0.500383,0.580415"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.0379461,0.0538947,0.0705420,0.103057,0.167309,0.295269,0.550768", \ "0.0433554,0.0592420,0.0758770,0.108428,0.172725,0.300697,0.556205", \ "0.0610457,0.0766324,0.0931175,0.125634,0.190074,0.318238,0.573888", \ "0.0827705,0.0986870,0.115000,0.147303,0.211568,0.339760,0.595511", \ "0.102418,0.119725,0.136028,0.167761,0.231814,0.359743,0.615477", \ "0.116639,0.136703,0.153825,0.185380,0.248922,0.376628,0.632117", \ "0.123514,0.146906,0.165984,0.198303,0.261355,0.388531,0.643740"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.0249302,0.0298517,0.0343368,0.0423721,0.0572146,0.0857806,0.143502", \ "0.0249407,0.0298515,0.0343378,0.0423728,0.0572144,0.0857776,0.143497", \ "0.0249402,0.0298587,0.0343486,0.0423873,0.0572229,0.0857830,0.143503", \ "0.0249484,0.0299127,0.0344141,0.0424416,0.0572586,0.0857990,0.143504", \ "0.0277735,0.0318769,0.0358391,0.0433885,0.0578415,0.0861231,0.143650", \ "0.0347101,0.0386557,0.0422187,0.0489494,0.0623455,0.0892742,0.145079", \ "0.0423053,0.0464798,0.0499145,0.0559986,0.0681181,0.0938090,0.149233"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.0125918,0.0257799,0.0408975,0.0714416,0.132658,0.255158,0.500055", \ "0.0125925,0.0257821,0.0408980,0.0714466,0.132644,0.255152,0.500062", \ "0.0129262,0.0258584,0.0409169,0.0714357,0.132638,0.255139,0.500064", \ "0.0150614,0.0268422,0.0414237,0.0715983,0.132664,0.255140,0.500062", \ "0.0191998,0.0291511,0.0425400,0.0720875,0.132951,0.255127,0.500076", \ "0.0248751,0.0338298,0.0453227,0.0730681,0.133367,0.255466,0.500077", \ "0.0313722,0.0407047,0.0506819,0.0754459,0.134090,0.255883,0.500252"); } } timing () { related_pin : "A2"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.152344,0.167647,0.179674,0.198032,0.226228,0.271458,0.348990", \ "0.156297,0.171605,0.183637,0.201999,0.230205,0.275437,0.352974", \ "0.173440,0.188722,0.200739,0.219094,0.247295,0.292530,0.370065", \ "0.203630,0.218890,0.230817,0.249122,0.277331,0.322577,0.400109", \ "0.247634,0.263173,0.275294,0.293535,0.321814,0.367133,0.444715", \ "0.298914,0.315755,0.328844,0.348376,0.378054,0.424646,0.502873", \ "0.357299,0.375558,0.389731,0.410664,0.442121,0.490605,0.570807"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.0406750,0.0568215,0.0735923,0.106277,0.170723,0.298847,0.554495", \ "0.0459174,0.0620233,0.0787733,0.111459,0.175917,0.304050,0.559717", \ "0.0642463,0.0800502,0.0966499,0.129272,0.193823,0.322098,0.577881", \ "0.0884908,0.104514,0.120930,0.153309,0.217707,0.346002,0.601874", \ "0.111519,0.128705,0.145076,0.176938,0.241114,0.369188,0.625045", \ "0.129836,0.149411,0.166408,0.198059,0.261794,0.389642,0.645297", \ "0.141370,0.164002,0.182576,0.214727,0.277978,0.405368,0.660745"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.0249454,0.0298509,0.0343348,0.0423719,0.0572109,0.0857798,0.143498", \ "0.0249423,0.0298508,0.0343360,0.0423707,0.0572111,0.0857802,0.143501", \ "0.0249392,0.0298551,0.0343394,0.0423754,0.0572174,0.0857805,0.143502", \ "0.0249319,0.0298768,0.0343692,0.0423991,0.0572323,0.0857881,0.143505", \ "0.0264589,0.0309738,0.0351759,0.0429416,0.0575617,0.0859706,0.143584", \ "0.0303465,0.0350067,0.0392089,0.0467708,0.0608881,0.0883438,0.144667", \ "0.0350239,0.0397097,0.0439111,0.0513854,0.0652749,0.0924336,0.148138"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.0130952,0.0261877,0.0412273,0.0716959,0.132857,0.255300,0.500211", \ "0.0130648,0.0261782,0.0412202,0.0716946,0.132854,0.255306,0.500204", \ "0.0131889,0.0261873,0.0412070,0.0716739,0.132845,0.255322,0.500208", \ "0.0150567,0.0269860,0.0415891,0.0717958,0.132867,0.255297,0.500210", \ "0.0187065,0.0289685,0.0425745,0.0722364,0.133088,0.255328,0.500229", \ "0.0238109,0.0329527,0.0448651,0.0730421,0.133467,0.255570,0.500256", \ "0.0296489,0.0389101,0.0492534,0.0748749,0.134041,0.255976,0.500417"); } } internal_power () { related_pin : "A1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("3.667560,3.861456,4.031928,4.253618,4.540731,4.746884,4.864141", \ "3.585578,3.794804,3.966643,4.206130,4.474366,4.692753,4.802664", \ "3.542022,3.740957,3.909008,4.142570,4.396759,4.622938,4.748439", \ "3.595310,3.783613,3.942189,4.156273,4.443665,4.658709,4.783819", \ "3.815385,3.960064,4.103756,4.316078,4.552352,4.784061,4.911015", \ "4.105727,4.193267,4.310491,4.531681,4.792050,5.024068,5.149898", \ "4.542096,4.549577,4.619387,4.776578,5.042717,5.327792,5.490561"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("2.652506,2.784247,2.856682,2.896355,2.942158,2.867583,2.958612", \ "2.663489,2.789373,2.854412,2.897983,2.928663,2.964620,2.921056", \ "2.615919,2.712391,2.768288,2.778186,2.779062,2.860075,2.964792", \ "2.647769,2.681077,2.703583,2.739809,2.810771,2.768765,2.888980", \ "2.796577,2.843728,2.846232,2.848980,2.799729,2.893357,2.890641", \ "2.998835,3.130300,3.128827,3.078765,3.095853,3.066438,3.053218", \ "3.302014,3.511672,3.538360,3.504860,3.466773,3.447933,3.439285"); } } internal_power () { related_pin : "A2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("4.237947,4.423152,4.605007,4.828276,5.113819,5.319214,5.442253", \ "4.190019,4.375804,4.560750,4.790692,5.051011,5.277145,5.394749", \ "4.126000,4.335761,4.504956,4.727586,4.993988,5.223401,5.346494", \ "4.130140,4.308423,4.478913,4.712354,4.966462,5.192675,5.316086", \ "4.168382,4.348988,4.502140,4.720633,4.988521,5.211696,5.328924", \ "4.198926,4.360942,4.513588,4.763479,5.037322,5.272794,5.399646", \ "4.380733,4.463296,4.584471,4.782286,5.083374,5.410866,5.579031"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("2.939974,3.118003,3.194722,3.228636,3.231510,3.314311,3.426091", \ "2.917763,3.068816,3.155137,3.245382,3.289436,3.367251,3.350240", \ "2.855090,2.976759,3.034380,3.102437,3.139188,3.237179,3.225799", \ "2.900175,2.964055,3.021269,3.046599,3.112086,3.219706,3.221227", \ "3.038462,3.125363,3.122741,3.081537,3.139270,3.262881,3.260558", \ "3.264325,3.377235,3.383564,3.352072,3.306223,3.414086,3.431864", \ "3.555582,3.731485,3.765474,3.758569,3.723677,3.656994,3.666628"); } } } } /****************************************************************************************** Module : OR2_X4 Cell Description : Combinational cell (OR2_X4) with drive strength X4 *******************************************************************************************/ cell (OR2_X4) { drive_strength : 4; area : 2.394000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 71.263917; leakage_power () { when : "!A1 & !A2"; value : 83.773565; } leakage_power () { when : "!A1 & A2"; value : 63.861945; } leakage_power () { when : "A1 & !A2"; value : 66.596710; } leakage_power () { when : "A1 & A2"; value : 70.823450; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.210084; fall_capacitance : 2.642982; rise_capacitance : 3.210084; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.299602; fall_capacitance : 3.078344; rise_capacitance : 3.299602; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 242.231500; function : "(A1 | A2)"; timing () { related_pin : "A1"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.132878,0.149098,0.160937,0.178988,0.206781,0.251544,0.328654", \ "0.134070,0.150287,0.162132,0.180202,0.208005,0.252772,0.329885", \ "0.147655,0.163808,0.175642,0.193712,0.221516,0.266225,0.343334", \ "0.180767,0.196856,0.208539,0.226474,0.254357,0.299159,0.376225", \ "0.232954,0.249721,0.261706,0.279662,0.307609,0.352522,0.429726", \ "0.291462,0.310407,0.323849,0.343529,0.373050,0.419418,0.497340", \ "0.354513,0.375858,0.391062,0.412926,0.444483,0.492353,0.571902"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.0359717,0.0529225,0.0695942,0.102120,0.166390,0.294357,0.549959", \ "0.0413772,0.0582544,0.0749164,0.107483,0.171800,0.299791,0.555391", \ "0.0589057,0.0754503,0.0919520,0.124495,0.188971,0.317164,0.572899", \ "0.0799651,0.0969206,0.113260,0.145561,0.209891,0.338147,0.594026", \ "0.0986744,0.117202,0.133509,0.165316,0.229410,0.357513,0.613375", \ "0.111814,0.133368,0.150495,0.182068,0.245627,0.373380,0.628954", \ "0.117542,0.142681,0.161776,0.194080,0.257147,0.384357,0.639686"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.0239700,0.0291261,0.0335705,0.0415570,0.0563654,0.0849693,0.142923", \ "0.0239560,0.0291286,0.0335716,0.0415572,0.0563673,0.0849691,0.142927", \ "0.0239547,0.0291360,0.0335845,0.0415695,0.0563741,0.0849737,0.142925", \ "0.0239709,0.0291953,0.0336544,0.0416334,0.0564115,0.0849886,0.142935", \ "0.0272152,0.0314940,0.0353566,0.0427396,0.0570819,0.0853583,0.143097", \ "0.0341801,0.0382352,0.0417217,0.0483534,0.0616639,0.0886931,0.144632", \ "0.0417167,0.0460482,0.0494040,0.0553802,0.0673792,0.0930516,0.148725"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.0115100,0.0254054,0.0405299,0.0710691,0.132299,0.254787,0.499748", \ "0.0115129,0.0254067,0.0405300,0.0710710,0.132302,0.254799,0.499747", \ "0.0119207,0.0254892,0.0405459,0.0710797,0.132283,0.254766,0.499747", \ "0.0141564,0.0264756,0.0410758,0.0712419,0.132290,0.254770,0.499736", \ "0.0184322,0.0287938,0.0421805,0.0717368,0.132637,0.254801,0.499736", \ "0.0240756,0.0335091,0.0449652,0.0727289,0.133022,0.255154,0.499750", \ "0.0305144,0.0404272,0.0503400,0.0750931,0.133773,0.255559,0.500002"); } } timing () { related_pin : "A2"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.146839,0.163024,0.174860,0.192906,0.220696,0.265455,0.342562", \ "0.150768,0.166972,0.178811,0.196870,0.224667,0.269428,0.346540", \ "0.167951,0.184130,0.195953,0.214000,0.241798,0.286560,0.363670", \ "0.198180,0.214293,0.226038,0.244023,0.271808,0.316620,0.393761", \ "0.241823,0.258384,0.270335,0.288239,0.316133,0.360997,0.438232", \ "0.292385,0.310292,0.323218,0.342460,0.371815,0.418034,0.495900", \ "0.349971,0.369402,0.383429,0.404092,0.435196,0.483262,0.563063"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.0386030,0.0557740,0.0725739,0.105271,0.169733,0.297885,0.553630", \ "0.0438526,0.0609762,0.0777551,0.110455,0.174933,0.303100,0.558860", \ "0.0621001,0.0788762,0.0954958,0.128141,0.192721,0.321047,0.576932", \ "0.0857459,0.102795,0.119246,0.151653,0.216070,0.344476,0.600475", \ "0.107957,0.126325,0.142710,0.174606,0.238801,0.366984,0.622998", \ "0.125269,0.146284,0.163284,0.194946,0.258705,0.386608,0.642330", \ "0.135781,0.160070,0.178641,0.210769,0.274035,0.401458,0.656948"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.0239614,0.0291286,0.0335699,0.0415548,0.0563631,0.0849693,0.142923", \ "0.0239638,0.0291290,0.0335715,0.0415569,0.0563628,0.0849700,0.142926", \ "0.0239534,0.0291301,0.0335754,0.0415603,0.0563679,0.0849720,0.142924", \ "0.0239533,0.0291574,0.0336052,0.0415874,0.0563839,0.0849772,0.142927", \ "0.0257152,0.0304453,0.0345599,0.0422259,0.0567714,0.0851874,0.143018", \ "0.0295793,0.0344501,0.0386086,0.0461121,0.0601912,0.0877017,0.144182", \ "0.0342690,0.0391761,0.0433222,0.0507313,0.0645742,0.0917364,0.147635"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.0120264,0.0258186,0.0408619,0.0713329,0.132490,0.254945,0.499883", \ "0.0119985,0.0258035,0.0408544,0.0713299,0.132503,0.254931,0.499887", \ "0.0121511,0.0258161,0.0408454,0.0713195,0.132495,0.254928,0.499879", \ "0.0141235,0.0266193,0.0412391,0.0714454,0.132517,0.254942,0.499886", \ "0.0178805,0.0285914,0.0422110,0.0718855,0.132773,0.254979,0.499912", \ "0.0229703,0.0325682,0.0444784,0.0726992,0.133132,0.255278,0.499945", \ "0.0287193,0.0385382,0.0488495,0.0745056,0.133704,0.255644,0.500158"); } } internal_power () { related_pin : "A1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.111043,7.562213,7.899476,8.367155,8.899848,9.321486,9.526230", \ "7.013750,7.424282,7.763520,8.227307,8.768077,9.189105,9.398721", \ "6.925560,7.322669,7.654208,8.111372,8.652214,9.068047,9.285458", \ "7.055290,7.410994,7.725593,8.146919,8.709730,9.141501,9.368274", \ "7.494178,7.769117,8.046879,8.454631,8.970353,9.394581,9.630572", \ "8.084012,8.222448,8.461371,8.866101,9.397379,9.872196,10.102540", \ "8.977989,8.969285,9.074147,9.387152,9.919028,10.479630,10.799670"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("4.971622,5.279205,5.395147,5.525910,5.426534,5.485126,5.652101", \ "4.957376,5.264574,5.400798,5.520126,5.564359,5.678478,5.575138", \ "4.874795,5.088774,5.203739,5.347397,5.328189,5.480241,5.401914", \ "4.970027,5.054889,5.081163,5.233668,5.228560,5.333521,5.559235", \ "5.246475,5.376965,5.379624,5.360137,5.446194,5.356554,5.604558", \ "5.638074,5.944540,5.926803,5.925344,5.824140,5.731003,5.987071", \ "6.264455,6.701547,6.758439,6.694238,6.627609,6.616500,6.558440"); } } internal_power () { related_pin : "A2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("8.313563,8.699688,9.048789,9.529857,10.045570,10.464350,10.674510", \ "8.223349,8.605468,8.954633,9.419576,9.959554,10.376200,10.587040", \ "8.097568,8.515667,8.863859,9.322391,9.854093,10.264610,10.485570", \ "8.081785,8.471050,8.803706,9.247051,9.792030,10.206270,10.432950", \ "8.195145,8.518659,8.847805,9.256058,9.786115,10.226230,10.452940", \ "8.233920,8.550623,8.859737,9.329263,9.913097,10.364610,10.605730", \ "8.604316,8.779261,9.001917,9.418108,9.986705,10.637820,10.970190"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("5.506323,5.901598,6.065950,6.186282,6.229646,6.385222,6.321451", \ "5.462912,5.802548,5.982413,6.103709,6.130452,6.232675,6.442449", \ "5.390735,5.669417,5.801063,5.920083,6.056632,6.239797,6.202102", \ "5.470857,5.618556,5.709308,5.857527,6.022947,5.961916,6.221804", \ "5.768226,5.907369,5.920672,5.888204,6.117498,6.060316,6.365265", \ "6.153647,6.425117,6.474185,6.430400,6.226547,6.442448,6.456778", \ "6.751582,7.189927,7.214118,7.188041,7.118400,7.156835,7.258883"); } } } } /****************************************************************************************** Module : OR3_X1 Cell Description : Combinational cell (OR3_X1) with drive strength X1 *******************************************************************************************/ cell (OR3_X1) { drive_strength : 1; area : 1.330000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 18.526670; leakage_power () { when : "!A1 & !A2 & !A3"; value : 26.869800; } leakage_power () { when : "!A1 & !A2 & A3"; value : 15.856516; } leakage_power () { when : "!A1 & A2 & !A3"; value : 15.928831; } leakage_power () { when : "!A1 & A2 & A3"; value : 17.624865; } leakage_power () { when : "A1 & !A2 & !A3"; value : 16.599986; } leakage_power () { when : "A1 & !A2 & A3"; value : 17.644949; } leakage_power () { when : "A1 & A2 & !A3"; value : 17.650553; } leakage_power () { when : "A1 & A2 & A3"; value : 20.037856; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 0.910973; fall_capacitance : 0.765508; rise_capacitance : 0.910973; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 0.907405; fall_capacitance : 0.831100; rise_capacitance : 0.907405; } pin (A3) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 0.892065; fall_capacitance : 0.855694; rise_capacitance : 0.892065; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 60.565250; function : "((A1 | A2) | A3)"; timing () { related_pin : "A1"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.238723,0.258396,0.276101,0.302359,0.340826,0.398544,0.489908", \ "0.238422,0.258113,0.275811,0.302117,0.340588,0.398311,0.489682", \ "0.248115,0.267794,0.285458,0.311733,0.350196,0.407917,0.499279", \ "0.276880,0.296514,0.314039,0.340203,0.378653,0.436376,0.527729", \ "0.326923,0.346547,0.364120,0.390196,0.428621,0.486330,0.577702", \ "0.399125,0.418893,0.436571,0.462741,0.501237,0.559019,0.650458", \ "0.480528,0.502092,0.521368,0.549376,0.589482,0.648733,0.741053"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0429422,0.0572796,0.0739826,0.106549,0.170884,0.298876,0.554359", \ "0.0483939,0.0626964,0.0793850,0.111961,0.176329,0.304341,0.559816", \ "0.0668900,0.0809711,0.0975105,0.130035,0.194477,0.322672,0.578269", \ "0.0914726,0.105960,0.122370,0.154653,0.218933,0.347146,0.602858", \ "0.114568,0.130436,0.146978,0.178755,0.242803,0.370756,0.626451", \ "0.132335,0.150751,0.168397,0.200356,0.263873,0.391563,0.647043", \ "0.142392,0.163857,0.183712,0.216730,0.279911,0.407086,0.662248"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0423738,0.0482542,0.0539080,0.0633725,0.0798148,0.109708,0.166720", \ "0.0423758,0.0482387,0.0539113,0.0633625,0.0798223,0.109713,0.166717", \ "0.0423738,0.0482544,0.0539115,0.0633709,0.0798158,0.109709,0.166720", \ "0.0423823,0.0482472,0.0539041,0.0633805,0.0798190,0.109720,0.166723", \ "0.0422956,0.0482267,0.0539151,0.0634267,0.0798759,0.109747,0.166739", \ "0.0449815,0.0501429,0.0553098,0.0643716,0.0805047,0.110150,0.166964", \ "0.0529731,0.0580025,0.0628318,0.0708399,0.0856382,0.113802,0.168983"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0138917,0.0254667,0.0404269,0.0709065,0.132180,0.254706,0.499598", \ "0.0138929,0.0254699,0.0404349,0.0709170,0.132176,0.254720,0.499599", \ "0.0141046,0.0255495,0.0404542,0.0709083,0.132132,0.254706,0.499594", \ "0.0162035,0.0266552,0.0409883,0.0710391,0.132119,0.254697,0.499597", \ "0.0201804,0.0292370,0.0423635,0.0715973,0.132357,0.254634,0.499604", \ "0.0257923,0.0341043,0.0454899,0.0727451,0.132780,0.254844,0.499597", \ "0.0323382,0.0410701,0.0511885,0.0754772,0.133510,0.255281,0.499691"); } } timing () { related_pin : "A2"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.280409,0.300063,0.317772,0.344049,0.382493,0.440203,0.531562", \ "0.281891,0.301595,0.319246,0.345543,0.384023,0.441741,0.533100", \ "0.295153,0.314789,0.332458,0.358748,0.397209,0.454921,0.546291", \ "0.323518,0.343165,0.360488,0.386709,0.425165,0.482890,0.574243", \ "0.366426,0.386050,0.403679,0.429818,0.468259,0.525982,0.617355", \ "0.426551,0.446414,0.464225,0.490495,0.529047,0.586858,0.678302", \ "0.497388,0.518449,0.537410,0.565197,0.605467,0.664890,0.757271"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0458709,0.0603913,0.0772159,0.109936,0.174440,0.302616,0.558228", \ "0.0512396,0.0657403,0.0825501,0.115262,0.179783,0.307968,0.563577", \ "0.0700632,0.0843455,0.101009,0.133645,0.198208,0.326524,0.582241", \ "0.0968138,0.111380,0.127807,0.160216,0.224632,0.352961,0.608770", \ "0.122846,0.138628,0.155208,0.186978,0.251128,0.379228,0.635051", \ "0.144314,0.162362,0.179882,0.211867,0.275572,0.403395,0.659044", \ "0.158691,0.179601,0.198983,0.231799,0.295142,0.422486,0.677832"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0423709,0.0482462,0.0539095,0.0633734,0.0798165,0.109711,0.166723", \ "0.0423758,0.0482426,0.0539140,0.0633749,0.0798155,0.109711,0.166719", \ "0.0423725,0.0482485,0.0538994,0.0633749,0.0798188,0.109712,0.166724", \ "0.0423745,0.0482544,0.0539146,0.0633664,0.0798248,0.109711,0.166718", \ "0.0423837,0.0482591,0.0539333,0.0634208,0.0798571,0.109735,0.166729", \ "0.0443302,0.0497709,0.0551138,0.0642425,0.0803942,0.110071,0.166923", \ "0.0492002,0.0548761,0.0602955,0.0692155,0.0847870,0.113281,0.168673"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0143694,0.0258816,0.0407641,0.0711692,0.132350,0.254867,0.499742", \ "0.0143491,0.0258719,0.0407582,0.0711524,0.132366,0.254876,0.499744", \ "0.0144057,0.0258814,0.0407585,0.0711576,0.132334,0.254860,0.499741", \ "0.0162190,0.0267768,0.0411515,0.0712367,0.132349,0.254863,0.499746", \ "0.0198057,0.0290685,0.0423853,0.0717265,0.132513,0.254838,0.499760", \ "0.0249352,0.0333660,0.0450692,0.0727247,0.132917,0.254996,0.499796", \ "0.0309538,0.0396039,0.0499716,0.0749479,0.133507,0.255368,0.499871"); } } timing () { related_pin : "A3"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.292328,0.311991,0.329694,0.355978,0.394420,0.452138,0.543492", \ "0.295556,0.315207,0.332894,0.359188,0.397671,0.455391,0.546747", \ "0.311331,0.330971,0.348641,0.374929,0.413387,0.471108,0.562468", \ "0.339759,0.359402,0.376750,0.402957,0.441403,0.499115,0.590478", \ "0.378895,0.398526,0.416070,0.441920,0.480381,0.538096,0.629465", \ "0.429081,0.448884,0.466685,0.492981,0.531505,0.589283,0.680700", \ "0.485071,0.505801,0.524526,0.552147,0.592082,0.651214,0.743479"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0462779,0.0612351,0.0784052,0.111500,0.176316,0.304743,0.560562", \ "0.0516422,0.0665411,0.0836679,0.116732,0.181555,0.310008,0.565815", \ "0.0708818,0.0854461,0.102358,0.135290,0.200135,0.328692,0.584603", \ "0.0990410,0.113791,0.130328,0.162961,0.227600,0.356150,0.612149", \ "0.127178,0.143059,0.159769,0.191726,0.256082,0.384373,0.640354", \ "0.151424,0.169436,0.186974,0.219106,0.283056,0.411060,0.666889", \ "0.169213,0.189952,0.209223,0.242087,0.305663,0.433243,0.688758"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0423779,0.0482423,0.0539063,0.0633684,0.0798032,0.109714,0.166720", \ "0.0423699,0.0482421,0.0539123,0.0633707,0.0798018,0.109715,0.166719", \ "0.0423721,0.0482400,0.0539013,0.0633612,0.0798042,0.109719,0.166722", \ "0.0423728,0.0482539,0.0539147,0.0633761,0.0798225,0.109713,0.166723", \ "0.0423734,0.0482555,0.0539235,0.0633837,0.0798321,0.109729,0.166722", \ "0.0435884,0.0492353,0.0546890,0.0639265,0.0801838,0.109950,0.166849", \ "0.0473657,0.0531370,0.0586703,0.0678079,0.0836752,0.112592,0.168351"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0149289,0.0265651,0.0414633,0.0717877,0.132814,0.255185,0.499997", \ "0.0148665,0.0265110,0.0414229,0.0717582,0.132818,0.255207,0.499994", \ "0.0147797,0.0263787,0.0412984,0.0716784,0.132781,0.255193,0.499990", \ "0.0164909,0.0271192,0.0415285,0.0716412,0.132716,0.255173,0.499982", \ "0.0199412,0.0292921,0.0426865,0.0720775,0.132813,0.255120,0.500000", \ "0.0248670,0.0333423,0.0451813,0.0730087,0.133216,0.255245,0.500028", \ "0.0306382,0.0392229,0.0497187,0.0750355,0.133796,0.255642,0.500098"); } } internal_power () { related_pin : "A1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("2.106895,2.142381,2.198895,2.305524,2.453986,2.628036,2.765436", \ "2.075535,2.113699,2.169845,2.268088,2.421446,2.595906,2.739874", \ "2.046199,2.084551,2.137274,2.244234,2.394416,2.566700,2.705620", \ "2.060468,2.098776,2.146757,2.252371,2.394412,2.575200,2.716434", \ "2.121488,2.157380,2.206457,2.302042,2.450108,2.619197,2.763957", \ "2.286398,2.299663,2.341982,2.422314,2.552196,2.723094,2.861418", \ "2.501203,2.497310,2.521083,2.585118,2.712742,2.867697,3.002566"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("1.340817,1.399617,1.424466,1.471902,1.456818,1.481342,1.463828", \ "1.349715,1.405010,1.435653,1.465589,1.498021,1.466441,1.516500", \ "1.341238,1.382401,1.390881,1.436775,1.438621,1.482520,1.472594", \ "1.358443,1.383551,1.380608,1.415766,1.420008,1.469322,1.465054", \ "1.418482,1.443410,1.441502,1.437017,1.419224,1.468916,1.471593", \ "1.506763,1.558100,1.549485,1.548747,1.491266,1.532282,1.539879", \ "1.630165,1.708589,1.730340,1.709829,1.688988,1.645704,1.641399"); } } internal_power () { related_pin : "A2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("2.385820,2.424196,2.477604,2.583788,2.727249,2.908799,3.048813", \ "2.369993,2.407872,2.457296,2.563881,2.717058,2.885371,3.026746", \ "2.338557,2.377231,2.434022,2.526611,2.680012,2.864166,3.002547", \ "2.333817,2.371497,2.420022,2.524110,2.672175,2.842602,2.983954", \ "2.339427,2.367260,2.425792,2.523428,2.669650,2.838826,2.982531", \ "2.399214,2.425879,2.466381,2.551320,2.695636,2.862765,3.003396", \ "2.487036,2.504083,2.542562,2.626802,2.761813,2.923075,3.065108"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("1.498768,1.561757,1.601200,1.652635,1.665045,1.710551,1.702860", \ "1.492963,1.564268,1.605209,1.617189,1.640514,1.686382,1.678777", \ "1.487162,1.538866,1.569994,1.608857,1.637801,1.689770,1.687559", \ "1.492143,1.526096,1.542925,1.589466,1.586450,1.642826,1.646744", \ "1.554261,1.592279,1.582996,1.596253,1.608083,1.666075,1.675703", \ "1.641684,1.699476,1.698293,1.670024,1.689497,1.672212,1.755484", \ "1.766420,1.844406,1.853448,1.836771,1.815952,1.782361,1.857220"); } } internal_power () { related_pin : "A3"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("2.643225,2.681314,2.728572,2.833194,2.978374,3.164575,3.303568", \ "2.619982,2.659027,2.716702,2.820838,2.966410,3.145412,3.284973", \ "2.602562,2.631713,2.684944,2.793374,2.933371,3.119894,3.257708", \ "2.586319,2.624327,2.675467,2.770945,2.923153,3.103360,3.243783", \ "2.584020,2.621432,2.673045,2.761792,2.919694,3.096739,3.236406", \ "2.617322,2.648360,2.697932,2.791170,2.924024,3.106143,3.245608", \ "2.652426,2.673902,2.725031,2.825304,2.961437,3.134854,3.278520"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("1.568338,1.671701,1.721334,1.765331,1.832641,1.855188,1.927534", \ "1.546660,1.647277,1.709046,1.773449,1.823940,1.815605,1.888203", \ "1.539114,1.611896,1.657687,1.700680,1.738349,1.803728,1.881231", \ "1.557380,1.615323,1.651366,1.670541,1.739191,1.806863,1.821823", \ "1.637569,1.683071,1.678290,1.719128,1.736034,1.804564,1.823826", \ "1.731840,1.794932,1.792979,1.784708,1.790735,1.843640,1.868371", \ "1.865779,1.947418,1.958292,1.948336,1.939196,1.911002,1.996382"); } } } } /****************************************************************************************** Module : OR3_X2 Cell Description : Combinational cell (OR3_X2) with drive strength X2 *******************************************************************************************/ cell (OR3_X2) { drive_strength : 2; area : 1.596000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 37.232962; leakage_power () { when : "!A1 & !A2 & !A3"; value : 54.210895; } leakage_power () { when : "!A1 & !A2 & A3"; value : 31.814645; } leakage_power () { when : "!A1 & A2 & !A3"; value : 31.963177; } leakage_power () { when : "!A1 & A2 & A3"; value : 35.390721; } leakage_power () { when : "A1 & !A2 & !A3"; value : 33.329192; } leakage_power () { when : "A1 & !A2 & A3"; value : 35.432815; } leakage_power () { when : "A1 & A2 & !A3"; value : 35.444595; } leakage_power () { when : "A1 & A2 & A3"; value : 40.277653; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.663416; fall_capacitance : 1.362989; rise_capacitance : 1.663416; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.622813; fall_capacitance : 1.461019; rise_capacitance : 1.622813; } pin (A3) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.605988; fall_capacitance : 1.529705; rise_capacitance : 1.605988; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 121.009600; function : "((A1 | A2) | A3)"; timing () { related_pin : "A1"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.218402,0.239327,0.255833,0.280531,0.317031,0.372457,0.461336", \ "0.217914,0.238855,0.255377,0.280092,0.316602,0.372031,0.460916", \ "0.227770,0.248643,0.265132,0.289817,0.326315,0.381742,0.470624", \ "0.256913,0.277795,0.294125,0.318697,0.355170,0.410596,0.499484", \ "0.307449,0.328268,0.344630,0.369099,0.405551,0.460957,0.549847", \ "0.378403,0.399814,0.416531,0.441269,0.477861,0.533403,0.622387", \ "0.456665,0.480061,0.498271,0.524827,0.563129,0.620261,0.710499"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.0398469,0.0558921,0.0725626,0.105098,0.169400,0.297365,0.552898", \ "0.0452872,0.0612864,0.0779429,0.110506,0.174838,0.302829,0.558361", \ "0.0634656,0.0791938,0.0957034,0.128222,0.192676,0.320853,0.576509", \ "0.0866385,0.102798,0.119162,0.151453,0.215732,0.343936,0.599724", \ "0.107861,0.125524,0.141933,0.173736,0.237799,0.365769,0.621529", \ "0.123385,0.143895,0.161271,0.193050,0.256619,0.384351,0.639853", \ "0.130922,0.154914,0.174368,0.207089,0.270246,0.397429,0.652629"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.0393693,0.0455785,0.0509698,0.0601362,0.0763026,0.106009,0.163052", \ "0.0393689,0.0455810,0.0509687,0.0601417,0.0763020,0.106004,0.163057", \ "0.0393682,0.0455809,0.0509667,0.0601430,0.0763066,0.106007,0.163056", \ "0.0393623,0.0455820,0.0509743,0.0601547,0.0763194,0.106014,0.163060", \ "0.0391790,0.0455463,0.0509989,0.0602061,0.0763706,0.106046,0.163076", \ "0.0433723,0.0487111,0.0534356,0.0618298,0.0773735,0.106653,0.163412", \ "0.0513273,0.0565695,0.0609294,0.0684917,0.0828262,0.110955,0.166058"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.0127804,0.0258343,0.0408740,0.0713879,0.132608,0.255079,0.500026", \ "0.0127790,0.0258388,0.0408779,0.0713784,0.132609,0.255089,0.500025", \ "0.0130603,0.0259188,0.0409006,0.0713876,0.132613,0.255105,0.500019", \ "0.0152360,0.0269580,0.0414295,0.0715244,0.132595,0.255090,0.500025", \ "0.0193320,0.0293741,0.0426629,0.0720584,0.132882,0.255079,0.500038", \ "0.0249776,0.0341389,0.0456114,0.0731239,0.133282,0.255384,0.500016", \ "0.0315466,0.0411239,0.0511823,0.0756929,0.134002,0.255802,0.500205"); } } timing () { related_pin : "A2"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.259878,0.280773,0.297284,0.321971,0.358468,0.413890,0.502766", \ "0.261255,0.282169,0.298679,0.323384,0.359888,0.415314,0.504196", \ "0.274542,0.295421,0.311920,0.336597,0.373096,0.428529,0.517409", \ "0.302865,0.323746,0.339997,0.364610,0.401100,0.456526,0.545411", \ "0.345871,0.366724,0.383182,0.407764,0.444213,0.499591,0.588481", \ "0.404873,0.426328,0.443075,0.467854,0.504537,0.560130,0.649132", \ "0.473526,0.496224,0.514066,0.540413,0.578932,0.636415,0.726726"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.0427215,0.0589730,0.0757695,0.108464,0.172938,0.301095,0.556767", \ "0.0480932,0.0643192,0.0810980,0.113795,0.178276,0.306439,0.562126", \ "0.0667546,0.0827085,0.0993412,0.131979,0.196532,0.324836,0.580630", \ "0.0922781,0.108528,0.124948,0.157373,0.221777,0.350094,0.605989", \ "0.116628,0.134174,0.150631,0.182590,0.246773,0.374874,0.630763", \ "0.136071,0.156135,0.173377,0.205212,0.268959,0.396833,0.652521", \ "0.148204,0.171445,0.190422,0.222963,0.286260,0.413627,0.669037"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.0393633,0.0455779,0.0509701,0.0601371,0.0763011,0.106011,0.163057", \ "0.0393648,0.0455792,0.0509697,0.0601367,0.0763037,0.106003,0.163051", \ "0.0393674,0.0455815,0.0509728,0.0601401,0.0763062,0.106014,0.163057", \ "0.0393678,0.0455811,0.0509760,0.0601493,0.0763151,0.106009,0.163058", \ "0.0393882,0.0456187,0.0510328,0.0602110,0.0763643,0.106038,0.163071", \ "0.0422742,0.0479835,0.0529179,0.0615187,0.0771994,0.106538,0.163346", \ "0.0470185,0.0529562,0.0580546,0.0666608,0.0819668,0.110421,0.165630"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.0132761,0.0262451,0.0412117,0.0716430,0.132809,0.255274,0.500169", \ "0.0132508,0.0262379,0.0412046,0.0716387,0.132794,0.255274,0.500176", \ "0.0133361,0.0262481,0.0411974,0.0716239,0.132794,0.255245,0.500169", \ "0.0152279,0.0270890,0.0415860,0.0717343,0.132803,0.255262,0.500173", \ "0.0188918,0.0292015,0.0426858,0.0721906,0.133024,0.255264,0.500190", \ "0.0240148,0.0333300,0.0451704,0.0731018,0.133402,0.255506,0.500213", \ "0.0299717,0.0394927,0.0498641,0.0751527,0.133971,0.255896,0.500368"); } } timing () { related_pin : "A3"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.271663,0.292561,0.309061,0.333760,0.370255,0.425679,0.514553", \ "0.274733,0.295656,0.312169,0.336882,0.373376,0.428806,0.517685", \ "0.290630,0.311506,0.328005,0.352696,0.389194,0.444619,0.533498", \ "0.318976,0.339853,0.356228,0.380894,0.417379,0.472812,0.561692", \ "0.358068,0.378949,0.395305,0.419665,0.456148,0.511577,0.600449", \ "0.407553,0.428796,0.445471,0.470167,0.506783,0.562320,0.651265", \ "0.461794,0.484086,0.501656,0.527767,0.565929,0.623048,0.713158"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.0430187,0.0597853,0.0769292,0.110006,0.174799,0.303195,0.559073", \ "0.0484034,0.0650887,0.0821860,0.115241,0.180039,0.308451,0.564342", \ "0.0675284,0.0838045,0.100683,0.133610,0.198443,0.326972,0.582995", \ "0.0945493,0.111007,0.127579,0.160160,0.224809,0.353325,0.609411", \ "0.121163,0.138825,0.155417,0.187404,0.251793,0.380083,0.636137", \ "0.143523,0.163544,0.180842,0.212851,0.276824,0.404882,0.660712", \ "0.159258,0.182310,0.201171,0.233751,0.297315,0.424960,0.680509"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.0393701,0.0455794,0.0509739,0.0601334,0.0763025,0.106003,0.163053", \ "0.0393711,0.0455816,0.0509689,0.0601353,0.0763009,0.106008,0.163053", \ "0.0393684,0.0455789,0.0509718,0.0601375,0.0763064,0.106008,0.163054", \ "0.0393689,0.0455791,0.0509755,0.0601433,0.0763122,0.106011,0.163057", \ "0.0393721,0.0455890,0.0510024,0.0601734,0.0763406,0.106023,0.163063", \ "0.0412501,0.0471274,0.0522352,0.0610444,0.0768871,0.106355,0.163244", \ "0.0448808,0.0509666,0.0562245,0.0650857,0.0807028,0.109519,0.165173"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.0138294,0.0269520,0.0419240,0.0722682,0.133266,0.255605,0.500431", \ "0.0137590,0.0268972,0.0418841,0.0722469,0.133266,0.255574,0.500430", \ "0.0136926,0.0267561,0.0417520,0.0721554,0.133217,0.255564,0.500418", \ "0.0155068,0.0274418,0.0419687,0.0721254,0.133180,0.255574,0.500412", \ "0.0190188,0.0294365,0.0430054,0.0725487,0.133329,0.255546,0.500420", \ "0.0239410,0.0332930,0.0452963,0.0733986,0.133720,0.255781,0.500457", \ "0.0296329,0.0390774,0.0496035,0.0752542,0.134283,0.256173,0.500587"); } } internal_power () { related_pin : "A1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("4.049590,4.112206,4.223211,4.419650,4.698747,5.019576,5.259163", \ "3.971832,4.054470,4.161396,4.350668,4.646015,4.961370,5.199122", \ "3.910640,3.994929,4.102648,4.291811,4.555149,4.890599,5.136398", \ "3.958181,4.021636,4.130166,4.322042,4.606808,4.912049,5.162762", \ "4.085759,4.151246,4.252433,4.429300,4.710015,5.022780,5.262239", \ "4.422431,4.459970,4.517874,4.666089,4.920960,5.218779,5.466566", \ "4.872604,4.832182,4.871723,4.997415,5.224079,5.513606,5.760991"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("2.650819,2.774810,2.845837,2.858973,2.872780,2.935403,2.891856", \ "2.665442,2.778471,2.819078,2.913882,2.876867,2.903797,2.995923", \ "2.631135,2.716735,2.781582,2.798345,2.861495,2.943958,2.912175", \ "2.676626,2.729973,2.748981,2.755088,2.861074,2.820772,2.940863", \ "2.788670,2.857549,2.845820,2.858419,2.781846,2.876356,2.873007", \ "2.963986,3.087612,3.085927,3.062016,2.992207,3.078940,3.080239", \ "3.200379,3.411371,3.444512,3.374823,3.336803,3.246523,3.370073"); } } internal_power () { related_pin : "A2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("4.607912,4.680247,4.786970,4.988139,5.272487,5.590346,5.833133", \ "4.576313,4.639748,4.752012,4.945721,5.227656,5.545558,5.790240", \ "4.519871,4.594541,4.684796,4.892280,5.176255,5.494667,5.738000", \ "4.503574,4.566727,4.674658,4.867635,5.122653,5.458885,5.706121", \ "4.519408,4.576812,4.667155,4.868996,5.118586,5.458771,5.705272", \ "4.660295,4.690797,4.775043,4.939814,5.182538,5.503242,5.750131", \ "4.824749,4.830981,4.903394,5.052657,5.313270,5.637338,5.880613"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("2.948627,3.112001,3.190358,3.214213,3.310341,3.394880,3.371426", \ "2.943184,3.092197,3.189086,3.275493,3.261554,3.346538,3.323982", \ "2.916801,3.039708,3.090720,3.191871,3.258770,3.221996,3.345997", \ "2.949350,3.026391,3.055764,3.068286,3.188727,3.299908,3.298010", \ "3.072851,3.147671,3.150012,3.134649,3.145987,3.256565,3.267763", \ "3.242900,3.360472,3.345007,3.333941,3.364425,3.335266,3.352056", \ "3.475223,3.659839,3.680792,3.665142,3.625333,3.623514,3.631628"); } } internal_power () { related_pin : "A3"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("5.134272,5.201167,5.309814,5.509646,5.781037,6.106938,6.355257", \ "5.087656,5.160007,5.271979,5.465463,5.760202,6.067002,6.316003", \ "5.031465,5.114690,5.222828,5.417791,5.699915,6.013050,6.261636", \ "5.019660,5.086431,5.189445,5.390581,5.673201,5.989850,6.224682", \ "5.017637,5.081092,5.179219,5.372733,5.651104,5.970767,6.211992", \ "5.078106,5.141239,5.228828,5.392170,5.674450,5.990554,6.229873", \ "5.123992,5.161575,5.258769,5.446110,5.737045,6.055107,6.301209"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("3.066640,3.308884,3.416028,3.501446,3.576734,3.686964,3.686291", \ "3.048199,3.258354,3.380701,3.520750,3.629839,3.605926,3.742971", \ "3.013355,3.185884,3.287482,3.406024,3.460259,3.584701,3.596650", \ "3.062152,3.192664,3.250937,3.316608,3.355556,3.508657,3.644989", \ "3.212864,3.331950,3.351846,3.391097,3.395791,3.527260,3.557603", \ "3.414776,3.542881,3.575704,3.550903,3.542197,3.667837,3.704313", \ "3.685317,3.878895,3.884659,3.873847,3.848024,3.868157,3.894493"); } } } } /****************************************************************************************** Module : OR3_X4 Cell Description : Combinational cell (OR3_X4) with drive strength X4 *******************************************************************************************/ cell (OR3_X4) { drive_strength : 4; area : 2.926000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 74.452070; leakage_power () { when : "!A1 & !A2 & !A3"; value : 108.412100; } leakage_power () { when : "!A1 & !A2 & A3"; value : 63.614945; } leakage_power () { when : "!A1 & A2 & !A3"; value : 63.911915; } leakage_power () { when : "!A1 & A2 & A3"; value : 70.766925; } leakage_power () { when : "A1 & !A2 & !A3"; value : 66.643925; } leakage_power () { when : "A1 & !A2 & A3"; value : 70.851190; } leakage_power () { when : "A1 & A2 & !A3"; value : 70.874655; } leakage_power () { when : "A1 & A2 & A3"; value : 80.540905; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.188274; fall_capacitance : 2.577061; rise_capacitance : 3.188274; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.234320; fall_capacitance : 2.906461; rise_capacitance : 3.234320; } pin (A3) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.273249; fall_capacitance : 3.116444; rise_capacitance : 3.273249; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 242.085600; function : "((A1 | A2) | A3)"; timing () { related_pin : "A1"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.206126,0.227868,0.243868,0.267804,0.303288,0.357515,0.445084", \ "0.205573,0.227307,0.243319,0.267286,0.302783,0.357016,0.444591", \ "0.215612,0.237301,0.253269,0.277203,0.312719,0.366911,0.454482", \ "0.244977,0.266651,0.282518,0.306317,0.341825,0.396015,0.483580", \ "0.295832,0.317422,0.333280,0.356951,0.392372,0.446599,0.534160", \ "0.365782,0.388192,0.404532,0.428683,0.464340,0.518722,0.606414", \ "0.442277,0.466786,0.484582,0.510463,0.547799,0.603731,0.692910"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.0375027,0.0545169,0.0712049,0.103750,0.168043,0.296040,0.551668", \ "0.0429364,0.0598934,0.0765704,0.109148,0.173484,0.301507,0.557134", \ "0.0608937,0.0775475,0.0940698,0.126614,0.191106,0.319312,0.575077", \ "0.0831114,0.100247,0.116625,0.148969,0.213317,0.341598,0.597498", \ "0.103060,0.121848,0.138243,0.170088,0.234190,0.362341,0.618250", \ "0.117042,0.138952,0.156272,0.188013,0.251600,0.379371,0.634951", \ "0.122960,0.148552,0.167949,0.200565,0.263688,0.390904,0.646260"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.0376221,0.0440185,0.0492829,0.0582996,0.0743384,0.103948,0.161091", \ "0.0376158,0.0440211,0.0492824,0.0583000,0.0743375,0.103946,0.161086", \ "0.0376241,0.0440197,0.0492806,0.0583009,0.0743396,0.103950,0.161090", \ "0.0376201,0.0440158,0.0492906,0.0583208,0.0743543,0.103955,0.161091", \ "0.0373797,0.0439798,0.0493120,0.0583804,0.0744052,0.103993,0.161105", \ "0.0424022,0.0478574,0.0524292,0.0605547,0.0757074,0.104765,0.161530", \ "0.0504517,0.0557081,0.0598732,0.0671658,0.0812175,0.109314,0.164582"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.0117429,0.0255289,0.0406002,0.0711319,0.132350,0.254839,0.499828", \ "0.0117367,0.0255303,0.0406029,0.0711257,0.132335,0.254866,0.499832", \ "0.0120880,0.0256149,0.0406233,0.0711269,0.132337,0.254856,0.499840", \ "0.0143500,0.0266438,0.0411655,0.0712895,0.132343,0.254847,0.499827", \ "0.0185588,0.0290323,0.0423531,0.0718002,0.132656,0.254850,0.499830", \ "0.0242040,0.0338175,0.0452664,0.0728549,0.133053,0.255194,0.499827", \ "0.0307215,0.0408537,0.0508446,0.0753824,0.133796,0.255599,0.500087"); } } timing () { related_pin : "A2"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.247648,0.269375,0.285368,0.309302,0.344783,0.399006,0.486575", \ "0.248979,0.270712,0.286711,0.310663,0.346153,0.400383,0.487952", \ "0.262329,0.284025,0.300015,0.323937,0.359418,0.413650,0.501221", \ "0.290716,0.312427,0.328160,0.351995,0.387479,0.441737,0.529275", \ "0.333764,0.355405,0.371346,0.394985,0.430429,0.484665,0.572327", \ "0.391874,0.414306,0.430692,0.454849,0.490601,0.545048,0.632776", \ "0.459333,0.483008,0.500416,0.526084,0.563726,0.620148,0.709431"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.0402637,0.0575056,0.0743209,0.107033,0.171513,0.299683,0.555463", \ "0.0456426,0.0628520,0.0796500,0.112369,0.176865,0.305047,0.560828", \ "0.0642039,0.0810983,0.0977442,0.130404,0.194990,0.323334,0.579234", \ "0.0888701,0.106092,0.122569,0.154994,0.219436,0.347836,0.603884", \ "0.112092,0.130719,0.147170,0.179148,0.243364,0.371527,0.627599", \ "0.130152,0.151531,0.168714,0.200534,0.264309,0.392233,0.647989", \ "0.140790,0.165555,0.184449,0.216871,0.280177,0.407615,0.663113"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.0376220,0.0440218,0.0492839,0.0582990,0.0743325,0.103946,0.161084", \ "0.0376182,0.0440205,0.0492821,0.0583026,0.0743364,0.103948,0.161089", \ "0.0376217,0.0440207,0.0492852,0.0583075,0.0743369,0.103949,0.161086", \ "0.0376235,0.0440244,0.0492905,0.0583186,0.0743482,0.103952,0.161094", \ "0.0376549,0.0440876,0.0493673,0.0583888,0.0744053,0.103992,0.161112", \ "0.0410206,0.0469590,0.0517596,0.0601024,0.0754740,0.104620,0.161451", \ "0.0458200,0.0518453,0.0567920,0.0652287,0.0803697,0.108825,0.164081"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.0122426,0.0259449,0.0409364,0.0713727,0.132561,0.255001,0.499976", \ "0.0122186,0.0259297,0.0409298,0.0713846,0.132555,0.254995,0.499985", \ "0.0123322,0.0259445,0.0409208,0.0713762,0.132540,0.255001,0.499986", \ "0.0143142,0.0267747,0.0413197,0.0714772,0.132562,0.255019,0.499980", \ "0.0180609,0.0288400,0.0423745,0.0719412,0.132805,0.255041,0.500003", \ "0.0231754,0.0329402,0.0448016,0.0728225,0.133169,0.255334,0.500037", \ "0.0290664,0.0391016,0.0494355,0.0748099,0.133755,0.255684,0.500249"); } } timing () { related_pin : "A3"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.259443,0.281172,0.297158,0.321095,0.356582,0.410800,0.498366", \ "0.262473,0.284204,0.300206,0.324157,0.359649,0.413880,0.501449", \ "0.278424,0.300126,0.316109,0.340037,0.375526,0.429756,0.517329", \ "0.306827,0.328513,0.344406,0.368282,0.403755,0.458001,0.545575", \ "0.345938,0.367630,0.383489,0.407081,0.442542,0.496771,0.584369", \ "0.394865,0.417074,0.433313,0.457272,0.492929,0.547308,0.635014", \ "0.448202,0.471430,0.488567,0.513941,0.551201,0.607238,0.696256"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.0404795,0.0582908,0.0754623,0.108558,0.173370,0.301785,0.557760", \ "0.0458843,0.0635999,0.0807210,0.113803,0.178617,0.307058,0.563051", \ "0.0649698,0.0822126,0.0991085,0.132066,0.196931,0.325512,0.581619", \ "0.0911841,0.108643,0.125267,0.157917,0.222598,0.351208,0.607452", \ "0.116756,0.135516,0.152109,0.184103,0.248530,0.376966,0.633250", \ "0.137850,0.159177,0.176410,0.208399,0.272404,0.400533,0.656461", \ "0.152224,0.176768,0.195541,0.228033,0.291615,0.419291,0.674987"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.0376149,0.0440205,0.0492816,0.0583035,0.0743257,0.103944,0.161088", \ "0.0376171,0.0440219,0.0492850,0.0583015,0.0743282,0.103945,0.161085", \ "0.0376229,0.0440230,0.0492843,0.0583019,0.0743316,0.103946,0.161087", \ "0.0376141,0.0440236,0.0492871,0.0583081,0.0743402,0.103954,0.161086", \ "0.0376395,0.0440482,0.0493229,0.0583491,0.0743651,0.103966,0.161095", \ "0.0398374,0.0459200,0.0508759,0.0594686,0.0750712,0.104385,0.161322", \ "0.0434599,0.0497017,0.0548242,0.0635403,0.0790320,0.107815,0.163516"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.0127833,0.0266598,0.0416595,0.0720104,0.133029,0.255354,0.500245", \ "0.0127151,0.0265981,0.0416139,0.0719933,0.133012,0.255348,0.500240", \ "0.0126735,0.0264515,0.0414787,0.0719018,0.132970,0.255335,0.500236", \ "0.0145911,0.0271407,0.0417132,0.0718841,0.132942,0.255319,0.500224", \ "0.0181937,0.0290768,0.0427008,0.0723020,0.133126,0.255336,0.500238", \ "0.0230880,0.0328960,0.0449256,0.0731239,0.133491,0.255577,0.500270", \ "0.0287015,0.0386637,0.0491600,0.0749221,0.134069,0.255961,0.500453"); } } internal_power () { related_pin : "A1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.757020,7.889514,8.085800,8.483676,9.030957,9.630789,10.103110", \ "7.600556,7.769175,7.959761,8.358270,8.907383,9.530687,9.972510", \ "7.518456,7.649722,7.859231,8.243536,8.794142,9.388416,9.859077", \ "7.567505,7.727538,7.911059,8.298886,8.842769,9.461596,9.911983", \ "7.871781,7.986614,8.180103,8.530678,9.059224,9.652011,10.123780", \ "8.605552,8.608660,8.729678,9.030607,9.471001,10.071090,10.525810", \ "9.476727,9.364969,9.417892,9.629514,10.102030,10.666280,11.118350"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("5.007531,5.255424,5.400289,5.514739,5.587642,5.674764,5.568514", \ "5.024845,5.277075,5.430906,5.487436,5.551198,5.611360,5.504024", \ "4.965285,5.168959,5.287803,5.358143,5.547598,5.448635,5.621971", \ "5.056585,5.153960,5.216735,5.266359,5.328696,5.508074,5.458093", \ "5.275589,5.460930,5.406056,5.412017,5.508325,5.416614,5.661778", \ "5.590130,5.924678,5.938456,5.848594,5.741187,5.912030,5.891367", \ "6.107686,6.547275,6.613941,6.607649,6.537519,6.355866,6.489333"); } } internal_power () { related_pin : "A2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("8.871875,9.035034,9.241316,9.627441,10.190960,10.798930,11.241840", \ "8.810963,8.944270,9.147060,9.553471,10.092520,10.698480,11.161640", \ "8.680121,8.843726,9.054845,9.423806,9.997769,10.609960,11.052490", \ "8.662709,8.806004,8.998366,9.367008,9.925617,10.551820,11.000770", \ "8.701241,8.811869,9.025107,9.381397,9.915661,10.528850,10.994970", \ "8.969672,9.059710,9.210542,9.522439,10.007660,10.616010,11.090170", \ "9.306983,9.329146,9.465239,9.752327,10.285970,10.891720,11.355590"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("5.561240,5.948846,6.128677,6.195829,6.442517,6.329250,6.534126", \ "5.572923,5.908299,6.100223,6.266715,6.346120,6.504532,6.439266", \ "5.529601,5.805671,5.952571,6.112106,6.129677,6.264970,6.493011", \ "5.595836,5.741983,5.866954,6.010155,6.031554,6.184881,6.440601", \ "5.834959,6.014032,6.037834,6.040951,6.091514,6.162867,6.437202", \ "6.178332,6.451292,6.470287,6.396123,6.457547,6.398048,6.681196", \ "6.630694,7.068309,7.090614,7.052561,6.973569,7.054398,7.061469"); } } internal_power () { related_pin : "A3"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("9.925254,10.070340,10.270520,10.664540,11.208710,11.847100,12.282500", \ "9.832755,9.996827,10.202200,10.603890,11.137490,11.758160,12.207010", \ "9.761693,9.892923,10.081930,10.481320,11.029390,11.649130,12.092190", \ "9.695674,9.846084,10.031520,10.428880,10.992300,11.572120,12.038390", \ "9.693700,9.834840,10.033970,10.403620,10.957800,11.541620,12.011380", \ "9.874870,9.947223,10.135180,10.461780,10.997260,11.584660,12.051020", \ "9.906080,9.992352,10.158600,10.511370,11.080760,11.722080,12.194250"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("5.801401,6.341067,6.605175,6.891554,6.978637,7.186315,7.165298", \ "5.766322,6.288572,6.523037,6.728133,6.895633,7.025266,7.280434", \ "5.712661,6.103798,6.309270,6.502105,6.753636,6.993032,6.997527", \ "5.810815,6.091932,6.215409,6.464352,6.617482,6.835643,6.864970", \ "6.150044,6.375139,6.393529,6.473237,6.714214,6.730048,7.011487", \ "6.509815,6.852319,6.874110,6.858814,6.919491,7.050381,7.099301", \ "7.076490,7.490197,7.508418,7.564210,7.492704,7.521351,7.567190"); } } } } /****************************************************************************************** Module : OR4_X1 Cell Description : Combinational cell (OR4_X1) with drive strength X1 *******************************************************************************************/ cell (OR4_X1) { drive_strength : 1; area : 1.596000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 19.109487; leakage_power () { when : "!A1 & !A2 & !A3 & !A4"; value : 32.938305; } leakage_power () { when : "!A1 & !A2 & !A3 & A4"; value : 15.829004; } leakage_power () { when : "!A1 & !A2 & A3 & !A4"; value : 15.868866; } leakage_power () { when : "!A1 & !A2 & A3 & A4"; value : 17.629511; } leakage_power () { when : "!A1 & A2 & !A3 & !A4"; value : 15.941085; } leakage_power () { when : "!A1 & A2 & !A3 & A4"; value : 17.631895; } leakage_power () { when : "!A1 & A2 & A3 & !A4"; value : 17.637500; } leakage_power () { when : "!A1 & A2 & A3 & A4"; value : 20.037789; } leakage_power () { when : "A1 & !A2 & !A3 & !A4"; value : 16.611576; } leakage_power () { when : "A1 & !A2 & !A3 & A4"; value : 17.651883; } leakage_power () { when : "A1 & !A2 & A3 & !A4"; value : 17.657488; } leakage_power () { when : "A1 & !A2 & A3 & A4"; value : 20.044981; } leakage_power () { when : "A1 & A2 & !A3 & !A4"; value : 17.663188; } leakage_power () { when : "A1 & A2 & !A3 & A4"; value : 20.045931; } leakage_power () { when : "A1 & A2 & A3 & !A4"; value : 20.050491; } leakage_power () { when : "A1 & A2 & A3 & A4"; value : 22.512292; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 0.890768; fall_capacitance : 0.745316; rise_capacitance : 0.890768; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 0.901455; fall_capacitance : 0.813680; rise_capacitance : 0.901455; } pin (A3) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 0.892374; fall_capacitance : 0.829995; rise_capacitance : 0.892374; } pin (A4) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 0.883900; fall_capacitance : 0.854708; rise_capacitance : 0.883900; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 60.524360; function : "(((A1 | A2) | A3) | A4)"; timing () { related_pin : "A1"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.320883,0.344917,0.366948,0.399558,0.446676,0.515433,0.620320", \ "0.319253,0.343306,0.365337,0.397991,0.445110,0.513894,0.618788", \ "0.326634,0.350630,0.372599,0.405222,0.452392,0.521178,0.626063", \ "0.353196,0.377177,0.398966,0.431565,0.478651,0.547427,0.652305", \ "0.400655,0.424648,0.446568,0.479081,0.526162,0.594907,0.699789", \ "0.471637,0.495474,0.517316,0.549726,0.596690,0.665397,0.770262", \ "0.564613,0.588871,0.611021,0.643655,0.690569,0.759251,0.864148"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0442814,0.0586985,0.0754259,0.107996,0.172348,0.300371,0.555836", \ "0.0497369,0.0641241,0.0808356,0.113425,0.177781,0.305817,0.561301", \ "0.0684173,0.0825938,0.0991622,0.131686,0.196143,0.324344,0.579925", \ "0.0938782,0.108501,0.124911,0.157225,0.221504,0.349731,0.605455", \ "0.118039,0.134085,0.150654,0.182542,0.246577,0.374530,0.630290", \ "0.136791,0.155408,0.173178,0.205244,0.268850,0.396565,0.652040", \ "0.147441,0.169177,0.189220,0.222516,0.285777,0.412953,0.668107"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0581149,0.0651387,0.0719767,0.0828567,0.101042,0.133001,0.191759", \ "0.0581195,0.0651318,0.0719630,0.0828503,0.101036,0.133003,0.191759", \ "0.0581225,0.0651453,0.0719651,0.0828588,0.101030,0.132994,0.191764", \ "0.0581162,0.0651363,0.0719479,0.0828475,0.101033,0.133002,0.191763", \ "0.0580566,0.0650792,0.0719138,0.0828239,0.101024,0.132995,0.191760", \ "0.0570942,0.0644506,0.0714689,0.0826144,0.100946,0.132961,0.191742", \ "0.0623625,0.0685441,0.0746305,0.0845618,0.101987,0.133594,0.192138"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0140785,0.0255875,0.0404785,0.0709294,0.132179,0.254738,0.499605", \ "0.0140788,0.0255872,0.0404841,0.0709146,0.132134,0.254704,0.499603", \ "0.0142663,0.0256650,0.0405136,0.0709253,0.132174,0.254738,0.499606", \ "0.0163730,0.0267931,0.0410554,0.0710344,0.132149,0.254695,0.499605", \ "0.0203108,0.0294383,0.0425122,0.0716267,0.132330,0.254634,0.499607", \ "0.0258944,0.0343391,0.0457206,0.0728542,0.132772,0.254847,0.499602", \ "0.0324868,0.0413173,0.0515184,0.0756982,0.133522,0.255253,0.499693"); } } timing () { related_pin : "A2"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.392352,0.416375,0.438410,0.470997,0.518108,0.586889,0.691767", \ "0.392702,0.416733,0.438750,0.471404,0.518504,0.587300,0.692191", \ "0.402789,0.426779,0.448809,0.481403,0.528510,0.597293,0.702182", \ "0.428357,0.452356,0.473914,0.506533,0.553622,0.622410,0.727296", \ "0.468336,0.492325,0.514276,0.546736,0.593828,0.662605,0.767502", \ "0.526650,0.550609,0.572612,0.605181,0.652224,0.720997,0.825900", \ "0.604026,0.628615,0.651081,0.684187,0.731639,0.800696,0.905804"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0472375,0.0618397,0.0786891,0.111413,0.175931,0.304126,0.559747", \ "0.0526236,0.0672124,0.0840504,0.116771,0.181281,0.309488,0.565120", \ "0.0716213,0.0860047,0.102702,0.135346,0.199917,0.328229,0.583953", \ "0.0991778,0.113877,0.130330,0.162760,0.227168,0.355510,0.611334", \ "0.126150,0.142109,0.158715,0.190611,0.254778,0.382879,0.638704", \ "0.148498,0.166753,0.184375,0.216527,0.280271,0.408091,0.663736", \ "0.163368,0.184548,0.204147,0.237236,0.300639,0.427988,0.683326"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0581174,0.0651435,0.0719903,0.0828774,0.101047,0.133004,0.191767", \ "0.0581196,0.0651347,0.0719695,0.0828639,0.101049,0.133013,0.191764", \ "0.0581201,0.0651491,0.0719810,0.0828705,0.101055,0.133013,0.191764", \ "0.0581359,0.0651490,0.0719665,0.0828669,0.101057,0.133016,0.191770", \ "0.0581348,0.0651453,0.0719872,0.0828776,0.101061,0.133017,0.191770", \ "0.0581997,0.0652450,0.0720625,0.0829987,0.101177,0.133095,0.191823", \ "0.0621988,0.0687796,0.0751582,0.0853195,0.102686,0.134022,0.192367"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0145486,0.0259996,0.0408236,0.0711773,0.132369,0.254901,0.499750", \ "0.0145342,0.0259880,0.0408184,0.0711775,0.132347,0.254866,0.499750", \ "0.0145802,0.0260047,0.0408165,0.0711685,0.132363,0.254867,0.499752", \ "0.0163840,0.0269128,0.0412249,0.0712520,0.132358,0.254879,0.499750", \ "0.0199645,0.0292753,0.0425301,0.0717618,0.132504,0.254842,0.499766", \ "0.0251022,0.0336274,0.0453221,0.0728280,0.132904,0.254991,0.499801", \ "0.0311821,0.0399344,0.0503711,0.0751964,0.133515,0.255350,0.499875"); } } timing () { related_pin : "A3"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.430708,0.454726,0.476733,0.509383,0.556469,0.625249,0.730135", \ "0.431391,0.455422,0.477449,0.510107,0.557217,0.625986,0.730876", \ "0.443431,0.467435,0.489415,0.522045,0.569149,0.637940,0.742828", \ "0.470704,0.494704,0.516297,0.548912,0.596009,0.664783,0.769672", \ "0.509281,0.533276,0.555143,0.587432,0.634516,0.703298,0.808181", \ "0.559518,0.583492,0.605489,0.638035,0.685118,0.753894,0.858791", \ "0.621885,0.646344,0.668732,0.701795,0.749223,0.818225,0.923278"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0477596,0.0627918,0.0799841,0.113093,0.177918,0.306361,0.562190", \ "0.0532330,0.0682182,0.0853731,0.118454,0.183285,0.311751,0.567569", \ "0.0726123,0.0872862,0.104231,0.137176,0.202025,0.330588,0.586503", \ "0.101518,0.116389,0.132971,0.165602,0.230258,0.358803,0.614820", \ "0.130511,0.146560,0.163297,0.195380,0.259726,0.388033,0.644027", \ "0.155516,0.173745,0.191399,0.223709,0.287658,0.415662,0.671483", \ "0.173724,0.194733,0.214206,0.247348,0.310962,0.438538,0.694061"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0581362,0.0651481,0.0719673,0.0828732,0.101046,0.133006,0.191760", \ "0.0581193,0.0651396,0.0719622,0.0828808,0.101054,0.133006,0.191767", \ "0.0581213,0.0651462,0.0719674,0.0828769,0.101052,0.133013,0.191766", \ "0.0581171,0.0651427,0.0719601,0.0828681,0.101052,0.133010,0.191762", \ "0.0581372,0.0651488,0.0719721,0.0828830,0.101052,0.133009,0.191765", \ "0.0581629,0.0651886,0.0720145,0.0829295,0.101106,0.133053,0.191792", \ "0.0613099,0.0679455,0.0744256,0.0847384,0.102293,0.133780,0.192219"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0151200,0.0266786,0.0415176,0.0718026,0.132808,0.255182,0.500001", \ "0.0150655,0.0266366,0.0414904,0.0717709,0.132793,0.255213,0.500002", \ "0.0149633,0.0265058,0.0413675,0.0716941,0.132779,0.255196,0.499994", \ "0.0166458,0.0272438,0.0415898,0.0716537,0.132743,0.255153,0.499991", \ "0.0200873,0.0294783,0.0428201,0.0720973,0.132812,0.255127,0.500003", \ "0.0250154,0.0335934,0.0454263,0.0730992,0.133205,0.255233,0.500030", \ "0.0308517,0.0395713,0.0501144,0.0752681,0.133819,0.255635,0.500102"); } } timing () { related_pin : "A4"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.442109,0.466119,0.488138,0.520759,0.567866,0.636646,0.741531", \ "0.444512,0.468556,0.490577,0.523199,0.570316,0.639101,0.743993", \ "0.458983,0.482979,0.504983,0.537611,0.584719,0.653500,0.758391", \ "0.486654,0.510644,0.532258,0.564875,0.611979,0.680754,0.785643", \ "0.524367,0.548361,0.570060,0.602280,0.649376,0.718152,0.823050", \ "0.570832,0.594814,0.616804,0.649133,0.696217,0.764991,0.869889", \ "0.625956,0.650211,0.672439,0.705329,0.752616,0.821538,0.926532"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0473176,0.0625564,0.0800077,0.113531,0.178867,0.307731,0.563903", \ "0.0527789,0.0679494,0.0853463,0.118828,0.184148,0.313024,0.569202", \ "0.0724021,0.0872326,0.104377,0.137665,0.202930,0.331885,0.588145", \ "0.101991,0.117020,0.133752,0.166626,0.231612,0.360525,0.616819", \ "0.132245,0.148465,0.165346,0.197655,0.262266,0.390870,0.647125", \ "0.159137,0.177481,0.195265,0.227777,0.292011,0.420297,0.676344", \ "0.179827,0.200895,0.220431,0.253698,0.317616,0.445484,0.701219"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0581156,0.0651328,0.0719711,0.0828642,0.101052,0.133000,0.191761", \ "0.0581230,0.0651452,0.0719752,0.0828654,0.101047,0.133003,0.191763", \ "0.0581290,0.0651399,0.0719769,0.0828808,0.101043,0.133006,0.191766", \ "0.0581151,0.0651355,0.0719644,0.0828754,0.101053,0.133006,0.191767", \ "0.0581370,0.0651486,0.0719709,0.0828685,0.101058,0.133010,0.191767", \ "0.0581310,0.0651552,0.0720068,0.0828855,0.101082,0.133025,0.191779", \ "0.0601425,0.0668780,0.0735032,0.0840231,0.101813,0.133493,0.192049"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0152658,0.0270413,0.0420793,0.0725445,0.133557,0.255751,0.500424", \ "0.0152133,0.0269826,0.0420250,0.0724794,0.133524,0.255756,0.500419", \ "0.0151233,0.0268143,0.0418315,0.0723224,0.133417,0.255714,0.500398", \ "0.0168676,0.0275429,0.0419649,0.0721422,0.133272,0.255677,0.500368", \ "0.0203651,0.0298003,0.0431933,0.0725313,0.133265,0.255571,0.500365", \ "0.0252684,0.0338655,0.0457613,0.0735178,0.133654,0.255636,0.500367", \ "0.0310140,0.0397379,0.0503321,0.0756167,0.134268,0.256022,0.500428"); } } internal_power () { related_pin : "A1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("2.310743,2.298936,2.322870,2.370883,2.487768,2.665435,2.854066", \ "2.278084,2.273873,2.290914,2.353588,2.458703,2.643662,2.824175", \ "2.250211,2.245896,2.259373,2.311437,2.439630,2.615661,2.792803", \ "2.260728,2.253196,2.267446,2.327979,2.444174,2.619201,2.801694", \ "2.301789,2.298195,2.312544,2.363677,2.485290,2.661883,2.845737", \ "2.407050,2.396295,2.409271,2.458460,2.572464,2.744674,2.922821", \ "2.635326,2.601114,2.604103,2.632605,2.728411,2.881291,3.051738"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("1.365106,1.404711,1.435444,1.462702,1.492982,1.463147,1.512628", \ "1.372182,1.412370,1.428134,1.462094,1.477484,1.514679,1.497912", \ "1.361958,1.395560,1.414772,1.446328,1.419784,1.465967,1.521107", \ "1.378763,1.393631,1.403191,1.404574,1.462177,1.444545,1.508191", \ "1.432896,1.458451,1.454512,1.443673,1.445395,1.494242,1.497892", \ "1.497870,1.555708,1.552954,1.549718,1.521121,1.533130,1.540273", \ "1.608801,1.694314,1.698790,1.680065,1.659881,1.625369,1.678898"); } } internal_power () { related_pin : "A2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("2.586557,2.582606,2.596090,2.660241,2.778309,2.953116,3.128478", \ "2.572331,2.560666,2.581984,2.642672,2.752640,2.934385,3.114736", \ "2.542860,2.538906,2.558067,2.614097,2.728073,2.905559,3.088707", \ "2.533992,2.526884,2.538716,2.600640,2.712017,2.890755,3.072516", \ "2.532779,2.520977,2.541537,2.596628,2.703309,2.879851,3.066100", \ "2.558807,2.552912,2.567400,2.619924,2.725263,2.898879,3.081649", \ "2.672423,2.655647,2.651680,2.698838,2.796891,2.951087,3.130018"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("1.498563,1.567555,1.611722,1.657119,1.643009,1.690234,1.682012", \ "1.503004,1.560205,1.600789,1.622696,1.688987,1.668078,1.728797", \ "1.502054,1.543761,1.576910,1.619739,1.626620,1.679432,1.677065", \ "1.514233,1.553009,1.572768,1.607947,1.637890,1.626904,1.698927", \ "1.565307,1.610904,1.604486,1.612645,1.643659,1.633363,1.710959", \ "1.642249,1.698792,1.706685,1.680888,1.699706,1.681683,1.764797", \ "1.742639,1.828201,1.828763,1.818722,1.796802,1.761931,1.836461"); } } internal_power () { related_pin : "A3"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("2.846306,2.838038,2.853415,2.911191,3.022031,3.206026,3.386167", \ "2.821309,2.817650,2.837766,2.889137,3.012664,3.189809,3.365479", \ "2.801052,2.795474,2.808637,2.866918,2.981603,3.161966,3.343457", \ "2.786000,2.781946,2.792016,2.855591,2.966111,3.140035,3.328778", \ "2.785100,2.777764,2.788002,2.841170,2.968227,3.131063,3.317831", \ "2.791704,2.785899,2.799630,2.852129,2.968327,3.138350,3.318459", \ "2.865568,2.845358,2.849486,2.891947,3.000353,3.157481,3.339999"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("1.572828,1.671310,1.736009,1.795045,1.851176,1.841753,1.914547", \ "1.573185,1.672961,1.729770,1.801263,1.823801,1.883732,1.888277", \ "1.572531,1.645379,1.702581,1.734743,1.813339,1.810407,1.888164", \ "1.581180,1.638389,1.676656,1.725756,1.733381,1.801451,1.885058", \ "1.656636,1.705891,1.713869,1.735030,1.778717,1.778790,1.866185", \ "1.737857,1.800080,1.813683,1.801178,1.798592,1.859428,1.883919", \ "1.846607,1.936425,1.941558,1.949516,1.929412,1.964671,1.981644"); } } internal_power () { related_pin : "A4"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("3.093392,3.089003,3.105074,3.164077,3.282811,3.459795,3.638424", \ "3.078864,3.071010,3.089209,3.146120,3.256645,3.441140,3.618630", \ "3.046017,3.042269,3.055003,3.120511,3.234877,3.408225,3.592699", \ "3.036739,3.032622,3.043878,3.094580,3.222992,3.390282,3.573997", \ "3.034214,3.027513,3.043510,3.098415,3.217457,3.386444,3.567323", \ "3.032484,3.027971,3.038267,3.098694,3.213828,3.389311,3.567137", \ "3.083134,3.064285,3.071794,3.123344,3.224502,3.397779,3.583042"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("1.574623,1.704239,1.796684,1.903983,1.955645,2.033162,2.053604", \ "1.568559,1.694513,1.790332,1.848064,1.945505,1.992658,2.081952", \ "1.568783,1.663089,1.743030,1.818420,1.893660,1.977073,2.002792", \ "1.599426,1.673383,1.736348,1.801379,1.877063,1.961205,1.991182", \ "1.687846,1.748688,1.767372,1.794077,1.838368,1.922715,1.955562", \ "1.790717,1.856381,1.882325,1.889671,1.903343,1.979550,2.016551", \ "1.917977,2.012877,2.026072,2.030746,2.027934,2.052526,2.082485"); } } } } /****************************************************************************************** Module : OR4_X2 Cell Description : Combinational cell (OR4_X2) with drive strength X2 *******************************************************************************************/ cell (OR4_X2) { drive_strength : 2; area : 1.862000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 38.405310; leakage_power () { when : "!A1 & !A2 & !A3 & !A4"; value : 66.499525; } leakage_power () { when : "!A1 & !A2 & !A3 & A4"; value : 31.757246; } leakage_power () { when : "!A1 & !A2 & A3 & !A4"; value : 31.839725; } leakage_power () { when : "!A1 & !A2 & A3 & A4"; value : 35.398491; } leakage_power () { when : "!A1 & A2 & !A3 & !A4"; value : 31.988077; } leakage_power () { when : "!A1 & A2 & !A3 & A4"; value : 35.404600; } leakage_power () { when : "!A1 & A2 & A3 & !A4"; value : 35.416275; } leakage_power () { when : "!A1 & A2 & A3 & A4"; value : 40.275791; } leakage_power () { when : "A1 & !A2 & !A3 & !A4"; value : 33.352752; } leakage_power () { when : "A1 & !A2 & !A3 & A4"; value : 35.446780; } leakage_power () { when : "A1 & !A2 & A3 & !A4"; value : 35.458465; } leakage_power () { when : "A1 & !A2 & A3 & A4"; value : 40.291809; } leakage_power () { when : "A1 & A2 & !A3 & !A4"; value : 35.470150; } leakage_power () { when : "A1 & A2 & !A3 & A4"; value : 40.293993; } leakage_power () { when : "A1 & A2 & A3 & !A4"; value : 40.303303; } leakage_power () { when : "A1 & A2 & A3 & A4"; value : 45.287972; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.631826; fall_capacitance : 1.328989; rise_capacitance : 1.631826; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.602007; fall_capacitance : 1.418949; rise_capacitance : 1.602007; } pin (A3) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.578355; fall_capacitance : 1.447502; rise_capacitance : 1.578355; } pin (A4) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.571510; fall_capacitance : 1.511158; rise_capacitance : 1.571510; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 120.850000; function : "(((A1 | A2) | A3) | A4)"; timing () { related_pin : "A1"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.291517,0.317005,0.337403,0.367912,0.412314,0.477856,0.579049", \ "0.289595,0.315119,0.335525,0.366075,0.410469,0.476026,0.577222", \ "0.297196,0.322613,0.342965,0.373474,0.417866,0.483415,0.584610", \ "0.324203,0.349622,0.369793,0.400275,0.444638,0.510176,0.611373", \ "0.372234,0.397664,0.417992,0.448338,0.492667,0.558180,0.659361", \ "0.444308,0.469332,0.489474,0.519656,0.563853,0.629289,0.730444", \ "0.534682,0.560735,0.581505,0.612272,0.656798,0.722336,0.823596"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.0410619,0.0571273,0.0737749,0.106240,0.170378,0.298070,0.552950", \ "0.0465080,0.0625326,0.0791650,0.111654,0.175821,0.303518,0.558414", \ "0.0648971,0.0806644,0.0971517,0.129599,0.193881,0.321738,0.576771", \ "0.0888979,0.105140,0.121483,0.153732,0.217855,0.345740,0.600890", \ "0.111108,0.128900,0.145287,0.177082,0.241004,0.368643,0.623769", \ "0.127482,0.148150,0.165599,0.197446,0.260863,0.388281,0.643168", \ "0.135450,0.159617,0.179219,0.212094,0.275120,0.401979,0.656577"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.0541076,0.0614730,0.0678238,0.0782454,0.0959687,0.127519,0.185942", \ "0.0541082,0.0614803,0.0678129,0.0782354,0.0959639,0.127518,0.185941", \ "0.0541012,0.0614822,0.0678199,0.0782283,0.0959650,0.127515,0.185936", \ "0.0540924,0.0614676,0.0677914,0.0782252,0.0959635,0.127511,0.185932", \ "0.0539626,0.0613494,0.0677063,0.0781698,0.0959423,0.127507,0.185922", \ "0.0528370,0.0605772,0.0671873,0.0778942,0.0958215,0.127444,0.185893", \ "0.0600684,0.0663926,0.0719098,0.0812606,0.0978552,0.128571,0.186561"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.0129825,0.0259283,0.0408785,0.0712856,0.132338,0.254554,0.498845", \ "0.0129885,0.0259331,0.0408848,0.0712838,0.132351,0.254537,0.498837", \ "0.0132295,0.0260118,0.0409028,0.0712864,0.132337,0.254526,0.498843", \ "0.0154179,0.0270796,0.0414461,0.0714219,0.132342,0.254526,0.498848", \ "0.0194736,0.0295529,0.0427579,0.0719814,0.132596,0.254497,0.498853", \ "0.0250764,0.0343460,0.0457930,0.0730971,0.133011,0.254796,0.498837", \ "0.0316739,0.0413709,0.0514772,0.0757891,0.133743,0.255210,0.499019"); } } timing () { related_pin : "A2"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.362615,0.388044,0.408436,0.438945,0.483332,0.548879,0.650073", \ "0.362775,0.388258,0.408678,0.439187,0.483583,0.549134,0.650331", \ "0.372892,0.398329,0.418716,0.449220,0.493602,0.559154,0.660349", \ "0.398509,0.423945,0.443895,0.474394,0.518769,0.584310,0.685506", \ "0.438562,0.464002,0.484336,0.514688,0.559064,0.624605,0.725802", \ "0.496971,0.522350,0.542697,0.573061,0.617406,0.682962,0.784183", \ "0.572253,0.598568,0.619707,0.651000,0.696101,0.762138,0.863681"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.0439568,0.0602296,0.0770007,0.109625,0.173950,0.301787,0.556828", \ "0.0493467,0.0655973,0.0823500,0.114978,0.179312,0.307167,0.562208", \ "0.0681997,0.0841958,0.100810,0.133368,0.197769,0.325739,0.580908", \ "0.0944841,0.110810,0.127232,0.159579,0.223828,0.351833,0.607086", \ "0.119693,0.137363,0.153806,0.185766,0.249791,0.377587,0.632838", \ "0.139877,0.160101,0.177418,0.209319,0.272923,0.400477,0.655513", \ "0.152345,0.175794,0.194932,0.227619,0.290808,0.417874,0.672616"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.0541033,0.0614835,0.0678267,0.0782505,0.0959735,0.127523,0.185942", \ "0.0541065,0.0614755,0.0678306,0.0782533,0.0959820,0.127528,0.185937", \ "0.0541074,0.0614835,0.0678317,0.0782501,0.0959813,0.127528,0.185939", \ "0.0541047,0.0614874,0.0678189,0.0782579,0.0959895,0.127529,0.185939", \ "0.0541111,0.0614881,0.0678377,0.0782713,0.0959960,0.127540,0.185950", \ "0.0543264,0.0617065,0.0680633,0.0784854,0.0961712,0.127665,0.186018", \ "0.0590939,0.0661815,0.0721768,0.0818840,0.0985103,0.129076,0.186842"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.0134683,0.0263431,0.0412193,0.0715359,0.132532,0.254679,0.498995", \ "0.0134531,0.0263321,0.0412109,0.0715410,0.132535,0.254713,0.498990", \ "0.0135234,0.0263455,0.0412101,0.0715302,0.132553,0.254691,0.498982", \ "0.0154072,0.0272067,0.0416041,0.0716193,0.132534,0.254700,0.498982", \ "0.0190604,0.0293822,0.0427807,0.0721148,0.132766,0.254694,0.499012", \ "0.0241919,0.0335752,0.0453668,0.0730827,0.133129,0.254925,0.499030", \ "0.0301915,0.0398352,0.0502216,0.0752699,0.133725,0.255311,0.499190"); } } timing () { related_pin : "A3"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.400630,0.426096,0.446486,0.476997,0.521391,0.586936,0.688128", \ "0.401157,0.426644,0.447048,0.477572,0.521973,0.587521,0.688715", \ "0.413233,0.438663,0.459047,0.489549,0.533934,0.599490,0.700689", \ "0.440459,0.465893,0.485916,0.516414,0.560793,0.626337,0.727535", \ "0.479074,0.504506,0.524670,0.554815,0.599190,0.664732,0.765923", \ "0.529328,0.554731,0.575086,0.605447,0.649810,0.715351,0.816555", \ "0.589909,0.616102,0.637117,0.668335,0.713284,0.779247,0.880718"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.0443667,0.0611450,0.0782639,0.111266,0.175913,0.303997,0.559246", \ "0.0498562,0.0665721,0.0836497,0.116631,0.181281,0.309386,0.564651", \ "0.0691556,0.0854838,0.102347,0.135204,0.199881,0.328098,0.583480", \ "0.0968825,0.113410,0.129942,0.162492,0.226979,0.355198,0.610645", \ "0.124254,0.142039,0.158609,0.190589,0.254812,0.382799,0.638221", \ "0.147264,0.167441,0.184794,0.216878,0.280696,0.408433,0.663637", \ "0.163238,0.186503,0.205512,0.238248,0.301685,0.428977,0.683918"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.0541082,0.0614775,0.0678267,0.0782483,0.0959694,0.127524,0.185940", \ "0.0541087,0.0614835,0.0678250,0.0782465,0.0959738,0.127521,0.185940", \ "0.0541036,0.0614758,0.0678165,0.0782427,0.0959716,0.127522,0.185938", \ "0.0541051,0.0614875,0.0678144,0.0782482,0.0959794,0.127531,0.185939", \ "0.0541103,0.0614858,0.0678210,0.0782583,0.0959870,0.127532,0.185948", \ "0.0542215,0.0616075,0.0679463,0.0783793,0.0960963,0.127607,0.185989", \ "0.0580259,0.0651758,0.0712190,0.0810425,0.0979196,0.128712,0.186630"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.0140345,0.0270467,0.0419290,0.0721568,0.133018,0.255013,0.499239", \ "0.0139777,0.0269988,0.0418992,0.0721538,0.133012,0.255015,0.499248", \ "0.0138858,0.0268586,0.0417627,0.0720691,0.132970,0.254993,0.499230", \ "0.0156705,0.0275514,0.0419859,0.0720217,0.132932,0.254980,0.499235", \ "0.0191795,0.0296030,0.0430810,0.0724650,0.133071,0.254979,0.499256", \ "0.0240922,0.0335299,0.0454804,0.0733662,0.133445,0.255183,0.499268", \ "0.0298591,0.0394225,0.0499457,0.0753532,0.134028,0.255583,0.499398"); } } timing () { related_pin : "A4"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.411895,0.437356,0.457751,0.488258,0.532657,0.598199,0.699388", \ "0.414114,0.439601,0.460006,0.490528,0.534931,0.600483,0.701679", \ "0.428695,0.454126,0.474510,0.505016,0.549407,0.614957,0.716154", \ "0.456283,0.481712,0.501959,0.532453,0.576842,0.642381,0.743576", \ "0.493918,0.519346,0.539499,0.569699,0.614078,0.679620,0.780802", \ "0.540382,0.565802,0.586051,0.616315,0.660701,0.726232,0.827435", \ "0.594288,0.620209,0.640983,0.671749,0.716473,0.782272,0.883645"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.0438878,0.0608955,0.0782744,0.111710,0.176853,0.305375,0.560961", \ "0.0493706,0.0662927,0.0836118,0.117001,0.182143,0.310671,0.566269", \ "0.0689144,0.0854167,0.102481,0.135683,0.200788,0.329408,0.585111", \ "0.0973446,0.114055,0.130739,0.163530,0.228356,0.356905,0.612670", \ "0.126050,0.144018,0.160741,0.192950,0.257441,0.385708,0.641408", \ "0.151034,0.171355,0.188843,0.221127,0.285243,0.413268,0.668713", \ "0.169608,0.192945,0.212029,0.244929,0.308655,0.436261,0.691405"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.0541037,0.0614758,0.0678287,0.0782469,0.0959666,0.127518,0.185939", \ "0.0541091,0.0614834,0.0678265,0.0782455,0.0959764,0.127525,0.185939", \ "0.0541044,0.0614680,0.0678271,0.0782456,0.0959801,0.127521,0.185937", \ "0.0541033,0.0614827,0.0678239,0.0782520,0.0959755,0.127526,0.185941", \ "0.0541048,0.0614694,0.0678241,0.0782549,0.0959824,0.127526,0.185946", \ "0.0541548,0.0615329,0.0678815,0.0783106,0.0960324,0.127565,0.185966", \ "0.0567316,0.0638778,0.0700006,0.0800104,0.0971919,0.128273,0.186372"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.0141519,0.0274193,0.0425118,0.0729186,0.133772,0.255613,0.499671", \ "0.0140962,0.0273518,0.0424491,0.0728705,0.133724,0.255596,0.499651", \ "0.0140310,0.0271765,0.0422413,0.0726792,0.133624,0.255551,0.499634", \ "0.0158998,0.0278598,0.0423697,0.0725183,0.133475,0.255484,0.499603", \ "0.0194607,0.0299341,0.0434716,0.0729115,0.133529,0.255431,0.499617", \ "0.0243588,0.0338262,0.0458273,0.0737980,0.133912,0.255588,0.499616", \ "0.0300374,0.0396096,0.0501797,0.0757167,0.134491,0.255990,0.499720"); } } internal_power () { related_pin : "A1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.392197,4.370064,4.396987,4.521585,4.748694,5.084253,5.404300", \ "4.327153,4.312102,4.339715,4.450245,4.694723,5.018692,5.347223", \ "4.268548,4.239649,4.273750,4.401433,4.629724,4.948148,5.281139", \ "4.291793,4.257752,4.305849,4.417904,4.645158,4.980124,5.306095", \ "4.379796,4.353708,4.389065,4.498035,4.720097,5.069756,5.398318", \ "4.608117,4.591429,4.615874,4.704646,4.905756,5.241563,5.567619", \ "5.079345,5.007587,5.001249,5.052857,5.237556,5.500938,5.825067"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("2.693219,2.812427,2.832617,2.876552,2.862265,2.951580,2.950911", \ "2.704652,2.801812,2.846828,2.895091,2.964807,2.919725,2.919959", \ "2.685105,2.762765,2.797898,2.848444,2.926773,2.961191,2.973591", \ "2.708553,2.770125,2.763748,2.803674,2.844803,2.825438,2.988710", \ "2.829816,2.878818,2.876478,2.839007,2.870689,2.849695,3.025341", \ "2.961937,3.083201,3.075745,3.073144,3.033721,3.003316,3.046708", \ "3.175670,3.377653,3.402199,3.343874,3.318177,3.247090,3.277566"); } } internal_power () { related_pin : "A2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.959020,4.929315,4.963299,5.083956,5.306903,5.646811,5.976268", \ "4.913213,4.900193,4.931138,5.039483,5.263228,5.596120,5.939011", \ "4.872215,4.841958,4.880382,4.996935,5.209872,5.539428,5.874231", \ "4.846720,4.823073,4.849834,4.969426,5.192805,5.508139,5.845839", \ "4.834715,4.820965,4.850683,4.956320,5.190738,5.513572,5.844011", \ "4.919103,4.881944,4.900639,5.014320,5.222300,5.544052,5.865018", \ "5.155957,5.102114,5.105925,5.162791,5.343806,5.641553,5.982011"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("2.974064,3.113395,3.203313,3.257427,3.299977,3.407037,3.426992", \ "2.960527,3.098096,3.170003,3.249254,3.256088,3.364213,3.384422", \ "2.949003,3.063310,3.123791,3.199812,3.269214,3.254299,3.420990", \ "2.994560,3.060337,3.115632,3.182964,3.189537,3.319998,3.363399", \ "3.108964,3.185130,3.179986,3.203705,3.250353,3.246740,3.301441", \ "3.236316,3.387777,3.381269,3.376913,3.287196,3.412916,3.472891", \ "3.447459,3.648355,3.655158,3.658218,3.627506,3.606099,3.559940"); } } internal_power () { related_pin : "A3"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.476867,5.458538,5.481536,5.606481,5.841584,6.167932,6.495052", \ "5.443850,5.413337,5.443827,5.569701,5.794201,6.132780,6.451549", \ "5.394065,5.356877,5.386749,5.516725,5.745885,6.058389,6.393938", \ "5.363329,5.342097,5.374747,5.488225,5.719814,6.043507,6.372346", \ "5.354204,5.324593,5.360411,5.476516,5.701522,6.025513,6.355826", \ "5.375801,5.356744,5.379420,5.491817,5.711673,6.030944,6.360006", \ "5.527875,5.469884,5.490743,5.568288,5.771937,6.086373,6.401520"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("3.106754,3.322371,3.456471,3.555880,3.581556,3.714443,3.757114", \ "3.089841,3.324514,3.455449,3.537479,3.662822,3.660860,3.705290", \ "3.092602,3.267166,3.377647,3.433551,3.506370,3.653259,3.707951", \ "3.145233,3.267479,3.321608,3.404313,3.514897,3.531596,3.733796", \ "3.261143,3.381382,3.391727,3.405868,3.514820,3.532028,3.606009", \ "3.442834,3.569274,3.578813,3.607737,3.610679,3.621966,3.700163", \ "3.666130,3.852638,3.891596,3.876159,3.858830,3.899115,3.969200"); } } internal_power () { related_pin : "A4"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.993521,5.967912,5.992119,6.108587,6.345865,6.671863,7.002105", \ "5.954140,5.923453,5.954988,6.078097,6.314708,6.640558,6.966957", \ "5.903850,5.873016,5.907449,6.024751,6.252452,6.589046,6.915288", \ "5.872900,5.852968,5.873820,5.992261,6.221540,6.551212,6.878989", \ "5.864424,5.837417,5.863736,5.978745,6.208782,6.532697,6.862454", \ "5.865119,5.848763,5.876536,5.986183,6.208410,6.531043,6.859667", \ "5.966042,5.931667,5.946034,6.031169,6.246907,6.556429,6.879834"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("3.084471,3.415882,3.595299,3.776156,3.927652,3.961280,4.172072", \ "3.072405,3.367353,3.527999,3.716479,3.844155,4.015554,4.091417", \ "3.079155,3.303313,3.470700,3.617091,3.804383,3.850912,3.937081", \ "3.166702,3.331215,3.448485,3.553970,3.662851,3.849447,3.944937", \ "3.318572,3.464817,3.527855,3.615667,3.724535,3.816676,3.975914", \ "3.535341,3.702124,3.705415,3.779746,3.834992,3.855355,3.960579", \ "3.776991,3.997756,4.043490,4.065592,4.066258,4.067285,4.162129"); } } } } /****************************************************************************************** Module : OR4_X4 Cell Description : Combinational cell (OR4_X4) with drive strength X4 *******************************************************************************************/ cell (OR4_X4) { drive_strength : 4; area : 3.458000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 76.797068; leakage_power () { when : "!A1 & !A2 & !A3 & !A4"; value : 132.999050; } leakage_power () { when : "!A1 & !A2 & !A3 & A4"; value : 63.500185; } leakage_power () { when : "!A1 & !A2 & A3 & !A4"; value : 63.665010; } leakage_power () { when : "!A1 & !A2 & A3 & A4"; value : 70.782600; } leakage_power () { when : "!A1 & A2 & !A3 & !A4"; value : 63.961695; } leakage_power () { when : "!A1 & A2 & !A3 & A4"; value : 70.794760; } leakage_power () { when : "!A1 & A2 & A3 & !A4"; value : 70.818130; } leakage_power () { when : "!A1 & A2 & A3 & A4"; value : 80.537105; } leakage_power () { when : "A1 & !A2 & !A3 & !A4"; value : 66.691140; } leakage_power () { when : "A1 & !A2 & !A3 & A4"; value : 70.879025; } leakage_power () { when : "A1 & !A2 & A3 & !A4"; value : 70.902395; } leakage_power () { when : "A1 & !A2 & A3 & A4"; value : 80.569120; } leakage_power () { when : "A1 & A2 & !A3 & !A4"; value : 70.925860; } leakage_power () { when : "A1 & A2 & !A3 & A4"; value : 80.573395; } leakage_power () { when : "A1 & A2 & A3 & !A4"; value : 80.592110; } leakage_power () { when : "A1 & A2 & A3 & A4"; value : 90.561505; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.159725; fall_capacitance : 2.547170; rise_capacitance : 3.159725; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.214479; fall_capacitance : 2.853306; rise_capacitance : 3.214479; } pin (A3) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.347783; fall_capacitance : 3.090810; rise_capacitance : 3.347783; } pin (A4) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.485361; fall_capacitance : 3.360539; rise_capacitance : 3.485361; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 241.699000; function : "(((A1 | A2) | A3) | A4)"; timing () { related_pin : "A1"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); values ("0.281102,0.307849,0.327906,0.357873,0.401504,0.466125,0.566282", \ "0.279152,0.305914,0.325975,0.355976,0.399628,0.464256,0.564422", \ "0.286872,0.313507,0.333580,0.363532,0.407185,0.471792,0.571951", \ "0.314049,0.340718,0.360585,0.390521,0.434132,0.498708,0.598885", \ "0.362292,0.388993,0.408954,0.438720,0.482289,0.546878,0.647016", \ "0.434784,0.460962,0.480697,0.510288,0.553700,0.618209,0.718315", \ "0.524101,0.551579,0.572025,0.602286,0.646165,0.710837,0.811077"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); values ("0.0393275,0.0563674,0.0730295,0.105511,0.169667,0.297359,0.552372", \ "0.0447696,0.0617623,0.0784119,0.110919,0.175110,0.302824,0.557839", \ "0.0630548,0.0797641,0.0962655,0.128736,0.193062,0.320960,0.576103", \ "0.0864535,0.103697,0.120071,0.152345,0.216541,0.344515,0.599792", \ "0.107827,0.126778,0.143178,0.175020,0.238973,0.366824,0.622118", \ "0.123172,0.145253,0.162690,0.194543,0.257998,0.385468,0.640440", \ "0.130034,0.155847,0.175430,0.208278,0.271326,0.398226,0.652952"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); values ("0.0526130,0.0602467,0.0664893,0.0767867,0.0944037,0.125874,0.184275", \ "0.0526142,0.0602449,0.0664845,0.0767835,0.0944059,0.125875,0.184275", \ "0.0526105,0.0602441,0.0664810,0.0767822,0.0943977,0.125866,0.184278", \ "0.0526078,0.0602231,0.0664620,0.0767707,0.0944054,0.125871,0.184277", \ "0.0524183,0.0600701,0.0663462,0.0767068,0.0943690,0.125847,0.184260", \ "0.0514239,0.0593197,0.0658149,0.0763990,0.0942216,0.125785,0.184231", \ "0.0591962,0.0656380,0.0709899,0.0802046,0.0966246,0.127114,0.185006"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); values ("0.0120981,0.0257541,0.0407228,0.0711342,0.132197,0.254407,0.498786", \ "0.0120995,0.0257555,0.0407268,0.0711420,0.132217,0.254413,0.498786", \ "0.0123904,0.0258387,0.0407475,0.0711462,0.132195,0.254414,0.498781", \ "0.0146638,0.0269099,0.0413007,0.0712909,0.132201,0.254402,0.498788", \ "0.0188001,0.0293547,0.0425838,0.0718338,0.132503,0.254402,0.498790", \ "0.0243851,0.0341507,0.0455936,0.0729575,0.132887,0.254721,0.498777", \ "0.0309084,0.0412097,0.0512801,0.0756177,0.133625,0.255114,0.499029"); } } timing () { related_pin : "A2"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); values ("0.352208,0.378927,0.398978,0.428936,0.472568,0.537191,0.637347", \ "0.352370,0.379111,0.399174,0.429151,0.472793,0.537418,0.637582", \ "0.362543,0.389240,0.409285,0.439234,0.482857,0.547484,0.647648", \ "0.388168,0.414855,0.434508,0.464447,0.508073,0.572723,0.672911", \ "0.428290,0.454973,0.474975,0.504659,0.548270,0.612880,0.713051", \ "0.486712,0.513323,0.533307,0.563105,0.606706,0.671327,0.771525", \ "0.561225,0.588912,0.609684,0.640547,0.685051,0.750276,0.850838"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); values ("0.0421225,0.0593930,0.0761803,0.108821,0.173160,0.301031,0.556190", \ "0.0475170,0.0647618,0.0815360,0.114179,0.178534,0.306409,0.561573", \ "0.0663380,0.0832950,0.0999231,0.132501,0.196934,0.324966,0.580241", \ "0.0920742,0.109405,0.125865,0.158240,0.222518,0.350595,0.606021", \ "0.116532,0.135340,0.151799,0.183780,0.247846,0.375696,0.631130", \ "0.135783,0.157366,0.174669,0.206574,0.270197,0.397808,0.652964", \ "0.147206,0.172262,0.191370,0.224017,0.287229,0.414348,0.669230"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); values ("0.0526148,0.0602503,0.0664938,0.0768029,0.0944144,0.125874,0.184278", \ "0.0526155,0.0602522,0.0664945,0.0767963,0.0944216,0.125875,0.184285", \ "0.0526132,0.0602488,0.0664928,0.0768035,0.0944216,0.125876,0.184278", \ "0.0526228,0.0602501,0.0664943,0.0768027,0.0944267,0.125879,0.184284", \ "0.0526218,0.0602556,0.0665052,0.0768213,0.0944442,0.125900,0.184285", \ "0.0529097,0.0605611,0.0667953,0.0770854,0.0946421,0.126028,0.184368", \ "0.0579245,0.0652316,0.0711864,0.0808209,0.0973021,0.127639,0.185303"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); values ("0.0125943,0.0261706,0.0410601,0.0714028,0.132414,0.254571,0.498927", \ "0.0125747,0.0261578,0.0410545,0.0714039,0.132400,0.254549,0.498934", \ "0.0126653,0.0261727,0.0410489,0.0713944,0.132413,0.254552,0.498926", \ "0.0146325,0.0270325,0.0414644,0.0714979,0.132407,0.254558,0.498929", \ "0.0183522,0.0291758,0.0426077,0.0719817,0.132649,0.254589,0.498941", \ "0.0234610,0.0333437,0.0451572,0.0729286,0.133007,0.254876,0.498984", \ "0.0294141,0.0396066,0.0499741,0.0750838,0.133615,0.255211,0.499191"); } } timing () { related_pin : "A3"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); values ("0.390261,0.416988,0.437035,0.466993,0.510624,0.575248,0.675406", \ "0.390762,0.417501,0.437563,0.467540,0.511188,0.575816,0.675977", \ "0.402897,0.429577,0.449620,0.479574,0.523203,0.587837,0.687998", \ "0.430142,0.456826,0.476543,0.506485,0.550118,0.614745,0.714905", \ "0.468793,0.495479,0.515303,0.544932,0.588542,0.653152,0.753327", \ "0.519057,0.545709,0.565699,0.595499,0.639083,0.703713,0.803901", \ "0.579014,0.606553,0.627261,0.657992,0.702313,0.767428,0.867903"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); values ("0.0424676,0.0602887,0.0774308,0.110459,0.175125,0.303238,0.558599", \ "0.0479731,0.0657210,0.0828189,0.115830,0.180500,0.308639,0.564006", \ "0.0672802,0.0845931,0.101477,0.134357,0.199077,0.327337,0.582826", \ "0.0944898,0.112049,0.128667,0.161247,0.225764,0.354071,0.609675", \ "0.121174,0.140093,0.156679,0.188697,0.252968,0.381084,0.636750", \ "0.143310,0.164844,0.182180,0.214249,0.278112,0.405915,0.661239", \ "0.158344,0.183166,0.202146,0.234849,0.298311,0.425678,0.680723"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); values ("0.0526211,0.0602500,0.0664922,0.0767955,0.0944101,0.125872,0.184274", \ "0.0526239,0.0602498,0.0664940,0.0767980,0.0944113,0.125873,0.184276", \ "0.0526138,0.0602517,0.0664897,0.0767980,0.0944196,0.125876,0.184284", \ "0.0526153,0.0602536,0.0664950,0.0767975,0.0944176,0.125882,0.184277", \ "0.0526166,0.0602516,0.0664999,0.0768094,0.0944283,0.125887,0.184283", \ "0.0527708,0.0604103,0.0666552,0.0769563,0.0945409,0.125970,0.184330", \ "0.0567540,0.0641606,0.0701707,0.0799046,0.0966114,0.127234,0.185059"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); values ("0.0131433,0.0268793,0.0417848,0.0720248,0.132882,0.254899,0.499187", \ "0.0130805,0.0268306,0.0417455,0.0720004,0.132882,0.254898,0.499187", \ "0.0130096,0.0266865,0.0416101,0.0719121,0.132822,0.254881,0.499177", \ "0.0148949,0.0273797,0.0418396,0.0718949,0.132803,0.254869,0.499166", \ "0.0184594,0.0293961,0.0429086,0.0723342,0.132963,0.254877,0.499196", \ "0.0233688,0.0332943,0.0452720,0.0732184,0.133331,0.255119,0.499200", \ "0.0290483,0.0391796,0.0496914,0.0751642,0.133916,0.255485,0.499391"); } } timing () { related_pin : "A4"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); values ("0.401536,0.428254,0.448305,0.478266,0.521900,0.586523,0.686681", \ "0.403732,0.430466,0.450532,0.480508,0.524158,0.588784,0.688945", \ "0.418381,0.445076,0.465105,0.495061,0.538696,0.603319,0.703481", \ "0.445985,0.472671,0.492567,0.522524,0.566141,0.630794,0.730947", \ "0.483636,0.510320,0.530165,0.559814,0.603436,0.668060,0.768207", \ "0.530116,0.556785,0.576672,0.606398,0.650010,0.714630,0.814803", \ "0.583610,0.610875,0.631304,0.661593,0.705632,0.770543,0.870910"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); values ("0.0419960,0.0600580,0.0774663,0.110922,0.176098,0.304657,0.560342", \ "0.0474941,0.0654590,0.0828036,0.116219,0.181384,0.309960,0.565665", \ "0.0670448,0.0845391,0.101622,0.134849,0.200010,0.328681,0.584487", \ "0.0949778,0.112725,0.129480,0.162299,0.227166,0.355844,0.611758", \ "0.123021,0.142143,0.158895,0.191134,0.255667,0.384091,0.639973", \ "0.147162,0.168862,0.186334,0.218626,0.282783,0.410876,0.666414", \ "0.164841,0.189759,0.208819,0.241698,0.305456,0.433105,0.688394"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); values ("0.0526181,0.0602509,0.0664931,0.0768003,0.0944055,0.125871,0.184275", \ "0.0526225,0.0602512,0.0664928,0.0767979,0.0944133,0.125878,0.184278", \ "0.0526137,0.0602492,0.0664936,0.0768025,0.0944174,0.125878,0.184283", \ "0.0526208,0.0602510,0.0664944,0.0768003,0.0944137,0.125878,0.184276", \ "0.0526212,0.0602513,0.0664973,0.0768040,0.0944229,0.125886,0.184280", \ "0.0526749,0.0603192,0.0665696,0.0768800,0.0944785,0.125924,0.184304", \ "0.0554004,0.0628376,0.0688825,0.0787661,0.0957882,0.126722,0.184776"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); values ("0.0132468,0.0272512,0.0423670,0.0727846,0.133649,0.255505,0.499607", \ "0.0131910,0.0271839,0.0422999,0.0727334,0.133619,0.255487,0.499619", \ "0.0131476,0.0270043,0.0420925,0.0725550,0.133490,0.255431,0.499595", \ "0.0151227,0.0276948,0.0422301,0.0723850,0.133346,0.255361,0.499554", \ "0.0187496,0.0297340,0.0433005,0.0727856,0.133411,0.255317,0.499536", \ "0.0236321,0.0335960,0.0456289,0.0736567,0.133791,0.255510,0.499551", \ "0.0292464,0.0393663,0.0499339,0.0755415,0.134387,0.255908,0.499725"); } } internal_power () { related_pin : "A1"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); values ("8.604016,8.545790,8.616834,8.847689,9.319840,9.959168,10.606990", \ "8.473210,8.435996,8.485587,8.731080,9.185409,9.839992,10.492300", \ "8.390517,8.313359,8.379722,8.607812,9.097750,9.746209,10.366300", \ "8.420438,8.334065,8.429157,8.651973,9.102000,9.762257,10.414640", \ "8.604376,8.551538,8.627775,8.835315,9.289235,9.942139,10.599890", \ "9.109524,9.003322,9.058263,9.243692,9.674012,10.288450,10.941880", \ "10.041200,9.879019,9.850247,9.960374,10.307440,10.860230,11.485400"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); values ("5.138309,5.399493,5.469879,5.620519,5.540405,5.702012,5.684664", \ "5.158212,5.375590,5.477019,5.617146,5.509395,5.640224,5.622448", \ "5.125138,5.283783,5.350646,5.503447,5.532315,5.730678,5.737461", \ "5.195150,5.291227,5.352152,5.400943,5.538064,5.490627,5.525344", \ "5.373685,5.555844,5.529624,5.435978,5.411630,5.580144,5.756125", \ "5.672021,5.952109,5.946790,5.952771,5.781686,5.940278,6.003372", \ "6.075028,6.528164,6.530420,6.559144,6.496621,6.209292,6.260091"); } } internal_power () { related_pin : "A2"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); values ("9.736871,9.680263,9.747406,9.989850,10.469160,11.121220,11.742650", \ "9.682796,9.620660,9.671479,9.927588,10.370290,11.028880,11.677320", \ "9.562882,9.513029,9.560483,9.806432,10.273790,10.928860,11.574770", \ "9.531598,9.467656,9.511910,9.761591,10.212010,10.867630,11.516550", \ "9.524222,9.463563,9.512528,9.736067,10.207510,10.840260,11.491070", \ "9.704642,9.591035,9.654036,9.860483,10.275650,10.912910,11.558430", \ "10.164620,10.027510,10.042850,10.161950,10.534850,11.138020,11.769720"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); values ("5.693232,6.002403,6.173182,6.190647,6.423346,6.350226,6.662611", \ "5.666169,6.013086,6.179748,6.223634,6.370669,6.536345,6.556578", \ "5.664906,5.903514,6.072361,6.084716,6.363035,6.324408,6.637476", \ "5.724041,5.916487,6.020626,6.051896,6.227339,6.206799,6.547576", \ "5.971593,6.150246,6.102991,6.047932,6.165659,6.368003,6.455645", \ "6.213551,6.521111,6.522245,6.421298,6.516597,6.485790,6.571447", \ "6.645711,7.057460,7.113264,7.091097,6.965629,6.988732,7.077336"); } } internal_power () { related_pin : "A3"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); values ("10.773010,10.731560,10.777350,11.043130,11.505420,12.137720,12.790820", \ "10.707050,10.648350,10.717350,10.941520,11.435450,12.087600,12.702530", \ "10.626290,10.535510,10.618340,10.840870,11.309220,11.967080,12.614530", \ "10.565520,10.505560,10.558140,10.805520,11.246050,11.890980,12.549980", \ "10.525790,10.470580,10.538680,10.774970,11.211800,11.860160,12.513050", \ "10.612100,10.539810,10.595500,10.788750,11.242090,11.872300,12.521770", \ "10.894400,10.780680,10.809160,10.961120,11.380440,11.971590,12.606070"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); values ("5.928448,6.419691,6.691678,6.873206,7.138616,7.239253,7.304482", \ "5.909552,6.423354,6.681946,6.864186,7.146376,7.132685,7.199511", \ "5.926052,6.311857,6.505453,6.671095,6.909901,7.123683,7.213404", \ "6.017232,6.301913,6.458785,6.515654,6.877811,6.903885,7.016101", \ "6.300502,6.538434,6.567520,6.689312,6.638756,6.934343,7.061285", \ "6.618233,6.948271,6.976234,7.003475,6.866094,7.156759,7.290332", \ "7.070987,7.505464,7.553225,7.569710,7.548006,7.483749,7.693415"); } } internal_power () { related_pin : "A4"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); values ("11.824110,11.731390,11.803950,12.037050,12.515140,13.160550,13.804390", \ "11.734680,11.668380,11.732390,11.966970,12.426610,13.079520,13.733410", \ "11.626020,11.570710,11.628750,11.855700,12.324830,12.981470,13.630940", \ "11.588770,11.501040,11.566980,11.821150,12.260710,12.912180,13.568750", \ "11.568210,11.490080,11.546310,11.767420,12.227490,12.873710,13.527350", \ "11.587450,11.521130,11.556890,11.774710,12.242290,12.871750,13.520960", \ "11.762830,11.670900,11.711360,11.892300,12.312330,12.946510,13.588370"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); values ("5.885983,6.604034,6.982865,7.340699,7.674916,7.921689,7.859106", \ "5.863726,6.533466,6.840336,7.248796,7.507712,7.840535,7.971451", \ "5.846593,6.411941,6.702903,6.941280,7.317750,7.519141,7.670343", \ "6.065330,6.439558,6.633280,6.924442,7.172974,7.538082,7.740115", \ "6.379462,6.721692,6.806361,6.889882,7.141945,7.499211,7.682883", \ "6.795886,7.164441,7.235522,7.341883,7.389490,7.617921,7.803961", \ "7.328778,7.738451,7.856825,7.812395,7.954395,8.081907,8.259938"); } } } } /****************************************************************************************** Module : SDFFRS_X1 Cell Description : Pos.edge D-Flip-Flop with active low reset, and active high scan, and active low set, and drive strength X1 *******************************************************************************************/ cell (SDFFRS_X1) { drive_strength : 1; ff ("IQ" , "IQN") { next_state : "((SE * SI) + (D * !SE))"; clocked_on : "CK"; preset : "!SN"; clear : "!RN"; clear_preset_var1 : L; clear_preset_var2 : L; } area : 7.714000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 76.844856; leakage_power () { when : "!CK & !D & !RN & !SE & !SI & !SN & !Q & !QN"; value : 59.787585; } leakage_power () { when : "!CK & !D & !RN & !SE & !SI & SN & !Q & QN"; value : 65.900426; } leakage_power () { when : "!CK & !D & !RN & !SE & SI & !SN & !Q & !QN"; value : 66.820169; } leakage_power () { when : "!CK & !D & !RN & !SE & SI & SN & !Q & QN"; value : 72.933011; } leakage_power () { when : "!CK & !D & !RN & SE & !SI & !SN & !Q & !QN"; value : 57.203509; } leakage_power () { when : "!CK & !D & !RN & SE & !SI & SN & !Q & QN"; value : 63.316255; } leakage_power () { when : "!CK & !D & !RN & SE & SI & !SN & !Q & !QN"; value : 71.031110; } leakage_power () { when : "!CK & !D & !RN & SE & SI & SN & !Q & QN"; value : 79.956797; } leakage_power () { when : "!CK & !D & RN & !SE & !SI & !SN & Q & !QN"; value : 70.478733; } leakage_power () { when : "!CK & !D & RN & !SE & !SI & SN & !Q & QN"; value : 77.240567; } leakage_power () { when : "!CK & !D & RN & !SE & !SI & SN & Q & !QN"; value : 78.436266; } leakage_power () { when : "!CK & !D & RN & !SE & SI & !SN & Q & !QN"; value : 77.511222; } leakage_power () { when : "!CK & !D & RN & !SE & SI & SN & !Q & QN"; value : 84.273151; } leakage_power () { when : "!CK & !D & RN & !SE & SI & SN & Q & !QN"; value : 85.468850; } leakage_power () { when : "!CK & !D & RN & SE & !SI & !SN & Q & !QN"; value : 67.894562; } leakage_power () { when : "!CK & !D & RN & SE & !SI & SN & !Q & QN"; value : 74.656396; } leakage_power () { when : "!CK & !D & RN & SE & !SI & SN & Q & !QN"; value : 75.852189; } leakage_power () { when : "!CK & !D & RN & SE & SI & !SN & Q & !QN"; value : 73.096410; } leakage_power () { when : "!CK & !D & RN & SE & SI & SN & !Q & QN"; value : 86.135170; } leakage_power () { when : "!CK & !D & RN & SE & SI & SN & Q & !QN"; value : 81.343408; } leakage_power () { when : "!CK & D & !RN & !SE & !SI & !SN & !Q & !QN"; value : 74.282599; } leakage_power () { when : "!CK & D & !RN & !SE & !SI & SN & !Q & QN"; value : 83.208286; } leakage_power () { when : "!CK & D & !RN & !SE & SI & !SN & !Q & !QN"; value : 75.329357; } leakage_power () { when : "!CK & D & !RN & !SE & SI & SN & !Q & QN"; value : 84.254949; } leakage_power () { when : "!CK & D & !RN & SE & !SI & !SN & !Q & !QN"; value : 66.521470; } leakage_power () { when : "!CK & D & !RN & SE & !SI & SN & !Q & QN"; value : 72.634311; } leakage_power () { when : "!CK & D & !RN & SE & SI & !SN & !Q & !QN"; value : 72.394398; } leakage_power () { when : "!CK & D & !RN & SE & SI & SN & !Q & QN"; value : 81.319991; } leakage_power () { when : "!CK & D & RN & !SE & !SI & !SN & Q & !QN"; value : 76.347994; } leakage_power () { when : "!CK & D & RN & !SE & !SI & SN & !Q & QN"; value : 89.386659; } leakage_power () { when : "!CK & D & RN & !SE & !SI & SN & Q & !QN"; value : 84.594897; } leakage_power () { when : "!CK & D & RN & !SE & SI & !SN & Q & !QN"; value : 77.394657; } leakage_power () { when : "!CK & D & RN & !SE & SI & SN & !Q & QN"; value : 90.433416; } leakage_power () { when : "!CK & D & RN & !SE & SI & SN & Q & !QN"; value : 85.641559; } leakage_power () { when : "!CK & D & RN & SE & !SI & !SN & Q & !QN"; value : 77.212523; } leakage_power () { when : "!CK & D & RN & SE & !SI & SN & !Q & QN"; value : 83.974452; } leakage_power () { when : "!CK & D & RN & SE & !SI & SN & Q & !QN"; value : 85.170151; } leakage_power () { when : "!CK & D & RN & SE & SI & !SN & Q & !QN"; value : 74.459698; } leakage_power () { when : "!CK & D & RN & SE & SI & SN & !Q & QN"; value : 87.498458; } leakage_power () { when : "!CK & D & RN & SE & SI & SN & Q & !QN"; value : 82.706601; } leakage_power () { when : "CK & !D & !RN & !SE & !SI & !SN & !Q & !QN"; value : 71.578757; } leakage_power () { when : "CK & !D & !RN & !SE & !SI & SN & !Q & QN"; value : 66.219161; } leakage_power () { when : "CK & !D & !RN & !SE & SI & !SN & !Q & !QN"; value : 78.609821; } leakage_power () { when : "CK & !D & !RN & !SE & SI & SN & !Q & QN"; value : 73.250510; } leakage_power () { when : "CK & !D & !RN & SE & !SI & !SN & !Q & !QN"; value : 68.993921; } leakage_power () { when : "CK & !D & !RN & SE & !SI & SN & !Q & QN"; value : 63.634515; } leakage_power () { when : "CK & !D & !RN & SE & SI & !SN & !Q & !QN"; value : 64.531847; } leakage_power () { when : "CK & !D & !RN & SE & SI & SN & !Q & QN"; value : 65.142516; } leakage_power () { when : "CK & !D & RN & !SE & !SI & !SN & Q & !QN"; value : 79.844108; } leakage_power () { when : "CK & !D & RN & !SE & !SI & SN & !Q & QN"; value : 76.708092; } leakage_power () { when : "CK & !D & RN & !SE & !SI & SN & Q & !QN"; value : 88.939731; } leakage_power () { when : "CK & !D & RN & !SE & SI & !SN & Q & !QN"; value : 86.875077; } leakage_power () { when : "CK & !D & RN & !SE & SI & SN & !Q & QN"; value : 83.739441; } leakage_power () { when : "CK & !D & RN & !SE & SI & SN & Q & !QN"; value : 95.970795; } leakage_power () { when : "CK & !D & RN & SE & !SI & !SN & Q & !QN"; value : 77.259272; } leakage_power () { when : "CK & !D & RN & SE & !SI & SN & !Q & QN"; value : 74.123446; } leakage_power () { when : "CK & !D & RN & SE & !SI & SN & Q & !QN"; value : 86.354895; } leakage_power () { when : "CK & !D & RN & SE & SI & !SN & Q & !QN"; value : 72.781428; } leakage_power () { when : "CK & !D & RN & SE & SI & SN & !Q & QN"; value : 75.632692; } leakage_power () { when : "CK & !D & RN & SE & SI & SN & Q & !QN"; value : 81.878951; } leakage_power () { when : "CK & D & !RN & !SE & !SI & !SN & !Q & !QN"; value : 67.783611; } leakage_power () { when : "CK & D & !RN & !SE & !SI & SN & !Q & QN"; value : 68.394281; } leakage_power () { when : "CK & D & !RN & !SE & SI & !SN & !Q & !QN"; value : 68.830559; } leakage_power () { when : "CK & D & !RN & !SE & SI & SN & !Q & QN"; value : 69.441418; } leakage_power () { when : "CK & D & !RN & SE & !SI & !SN & !Q & !QN"; value : 78.309127; } leakage_power () { when : "CK & D & !RN & SE & !SI & SN & !Q & QN"; value : 72.950291; } leakage_power () { when : "CK & D & !RN & SE & SI & !SN & !Q & !QN"; value : 65.895610; } leakage_power () { when : "CK & D & !RN & SE & SI & SN & !Q & QN"; value : 66.506374; } leakage_power () { when : "CK & D & RN & !SE & !SI & !SN & Q & !QN"; value : 76.033193; } leakage_power () { when : "CK & D & RN & !SE & !SI & SN & !Q & QN"; value : 78.884552; } leakage_power () { when : "CK & D & RN & !SE & !SI & SN & Q & !QN"; value : 85.130621; } leakage_power () { when : "CK & D & RN & !SE & SI & !SN & Q & !QN"; value : 77.080236; } leakage_power () { when : "CK & D & RN & !SE & SI & SN & !Q & QN"; value : 79.931594; } leakage_power () { when : "CK & D & RN & !SE & SI & SN & Q & !QN"; value : 86.177663; } leakage_power () { when : "CK & D & RN & SE & !SI & !SN & Q & !QN"; value : 86.574478; } leakage_power () { when : "CK & D & RN & SE & !SI & SN & !Q & QN"; value : 83.439222; } leakage_power () { when : "CK & D & RN & SE & !SI & SN & Q & !QN"; value : 95.670101; } leakage_power () { when : "CK & D & RN & SE & SI & !SN & Q & !QN"; value : 74.145191; } leakage_power () { when : "CK & D & RN & SE & SI & SN & !Q & QN"; value : 76.996550; } leakage_power () { when : "CK & D & RN & SE & SI & SN & Q & !QN"; value : 83.242619; } pin (D) { direction : input; nextstate_type : data; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.110505; fall_capacitance : 1.051279; rise_capacitance : 1.110505; timing () { related_pin : "CK"; timing_type : hold_rising; when : "RN & !SE & SN"; sdf_cond : "RN_AND_NEG_SE_AND_SN === 1'b1"; fall_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.062470,-0.011632,0.043524", \ "-0.106527,-0.054493,0.003970", \ "0.158270,0.214532,0.277779"); } rise_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.022054,0.014743,0.048930", \ "-0.023762,0.007750,0.020239", \ "0.114400,0.143772,0.117753"); } } timing () { related_pin : "CK"; timing_type : setup_rising; when : "RN & !SE & SN"; sdf_cond : "RN_AND_NEG_SE_AND_SN === 1'b1"; fall_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.234010,0.205158,0.222690", \ "0.291716,0.262956,0.280568", \ "0.444818,0.415329,0.431341"); } rise_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.234795,0.179050,0.115116", \ "0.278718,0.222709,0.158518", \ "0.342486,0.286229,0.223008"); } } internal_power () { when : "!CK & !RN & !SE & !SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.947970,3.925948,3.904759,3.888836,3.896112,3.951214,4.068661"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.259589,3.236546,3.207635,3.183096,3.183884,3.236093,3.356837"); } } internal_power () { when : "!CK & !RN & !SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.944838,3.926186,3.905229,3.889153,3.896741,3.951631,4.071529"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.283982,3.261048,3.232015,3.209933,3.207356,3.262164,3.383017"); } } internal_power () { when : "!CK & !RN & !SE & SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.939874,3.917702,3.896585,3.880474,3.887456,3.942040,4.058874"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.261859,3.239066,3.210104,3.186694,3.186228,3.241338,3.361251"); } } internal_power () { when : "!CK & !RN & !SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.936961,3.921864,3.897280,3.881159,3.888359,3.942018,4.060219"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.282675,3.259634,3.230429,3.206877,3.207811,3.260828,3.379011"); } } internal_power () { when : "!CK & !RN & SE & !SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.547386,0.524448,0.515143,0.511644,0.509515,0.508942,0.508997"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.443491,-0.446964,-0.451169,-0.454799,-0.457010,-0.458614,-0.459753"); } } internal_power () { when : "!CK & !RN & SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.546912,0.523981,0.514680,0.511193,0.509071,0.508537,0.508606"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.446228,-0.449690,-0.453886,-0.457497,-0.459681,-0.461239,-0.462316"); } } internal_power () { when : "!CK & !RN & SE & SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.479698,0.483078,0.483878,0.484467,0.484494,0.486197,0.484938"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.329770,-0.362282,-0.401182,-0.420824,-0.431133,-0.437449,-0.442079"); } } internal_power () { when : "!CK & !RN & SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.479906,0.483300,0.484093,0.484684,0.484676,0.486431,0.485127"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.329738,-0.362215,-0.401111,-0.420746,-0.431044,-0.437344,-0.441953"); } } internal_power () { when : "!CK & RN & !SE & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.276768,5.258029,5.234004,5.221412,5.226505,5.277832,5.395402"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.962957,3.941372,3.912438,3.888407,3.888570,3.936546,4.055340"); } } internal_power () { when : "!CK & RN & !SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.359871,5.342477,5.317902,5.303402,5.311438,5.363060,5.478856"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.135833,4.113125,4.084112,4.060123,4.060526,4.107153,4.229912"); } } internal_power () { when : "!CK & RN & !SE & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.328172,5.308504,5.285788,5.270928,5.277390,5.331184,5.445343"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.977217,3.954301,3.925433,3.902010,3.902604,3.953149,4.074364"); } } internal_power () { when : "!CK & RN & !SE & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.268779,5.249955,5.225840,5.213157,5.217844,5.267794,5.386033"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.967625,3.944060,3.914928,3.892362,3.890900,3.941038,4.058610"); } } internal_power () { when : "!CK & RN & !SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.351788,5.334390,5.309729,5.295038,5.302738,5.353965,5.469278"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.138523,4.115811,4.086589,4.062533,4.062842,4.110104,4.230204"); } } internal_power () { when : "!CK & RN & !SE & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.320125,5.300421,5.277619,5.262559,5.268749,5.322289,5.435778"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.979639,3.956447,3.927936,3.904347,3.904920,3.953713,4.075328"); } } internal_power () { when : "!CK & RN & SE & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.546978,0.524061,0.514752,0.511267,0.509114,0.508626,0.508655"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.446017,-0.449448,-0.453646,-0.457262,-0.459452,-0.461019,-0.462108"); } } internal_power () { when : "!CK & RN & SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.543463,0.523365,0.514054,0.510093,0.508354,0.507932,0.507938"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.447967,-0.451384,-0.455581,-0.459191,-0.461373,-0.462924,-0.463994"); } } internal_power () { when : "!CK & RN & SE & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.543940,0.523848,0.514536,0.510563,0.508833,0.508443,0.508448"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.447289,-0.450692,-0.454885,-0.458490,-0.460665,-0.462207,-0.463263"); } } internal_power () { when : "!CK & RN & SE & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.479873,0.483243,0.484049,0.484638,0.484692,0.486350,0.485141"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.329632,-0.362164,-0.401062,-0.420698,-0.430998,-0.437302,-0.441914"); } } internal_power () { when : "!CK & RN & SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.479762,0.483155,0.483947,0.484538,0.484531,0.486282,0.484978"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.330020,-0.362496,-0.401392,-0.421027,-0.431324,-0.437623,-0.442269"); } } internal_power () { when : "!CK & RN & SE & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.479727,0.483108,0.483909,0.484070,0.484486,0.486239,0.484983"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.330226,-0.362732,-0.401628,-0.421261,-0.431555,-0.437849,-0.442450"); } } internal_power () { when : "CK & !RN & !SE & !SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.231590,2.213230,2.188498,2.176942,2.189237,2.254394,2.368179"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.187336,1.165785,1.137982,1.118242,1.122776,1.172283,1.291030"); } } internal_power () { when : "CK & !RN & !SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.270709,2.252097,2.228066,2.215319,2.227345,2.293152,2.401591"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.149800,1.126211,1.098288,1.080212,1.086028,1.138370,1.259365"); } } internal_power () { when : "CK & !RN & !SE & SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.223032,2.204691,2.179670,2.167918,2.179414,2.243923,2.358028"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.187261,1.164204,1.137898,1.118252,1.122463,1.171099,1.291447"); } } internal_power () { when : "CK & !RN & !SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.263520,2.245023,2.221509,2.208487,2.219717,2.284776,2.393649"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.153709,1.130390,1.102505,1.084515,1.090341,1.143401,1.265110"); } } internal_power () { when : "CK & !RN & SE & !SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.542963,0.522813,0.513036,0.510000,0.507810,0.507330,0.507285"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.449734,-0.452035,-0.456180,-0.459801,-0.462066,-0.463483,-0.464869"); } } internal_power () { when : "CK & !RN & SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.543309,0.523141,0.513440,0.510367,0.508257,0.507757,0.507844"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.449822,-0.452164,-0.456312,-0.459930,-0.462189,-0.463598,-0.464972"); } } internal_power () { when : "CK & !RN & SE & SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.478938,0.482033,0.482486,0.483381,0.483422,0.484942,0.483672"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.330736,-0.363293,-0.402176,-0.421769,-0.432103,-0.438413,-0.443040"); } } internal_power () { when : "CK & !RN & SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.478197,0.481227,0.481764,0.482672,0.482759,0.484340,0.483161"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.331908,-0.364624,-0.403371,-0.422980,-0.433343,-0.439689,-0.444366"); } } internal_power () { when : "CK & RN & !SE & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.232237,2.213877,2.189042,2.177571,2.189838,2.253373,2.368900"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.186016,1.164469,1.137229,1.117828,1.122872,1.169609,1.291079"); } } internal_power () { when : "CK & RN & !SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.271061,2.252588,2.228973,2.216313,2.228340,2.293779,2.402688"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.194456,1.170590,1.143196,1.124694,1.129423,1.181648,1.304414"); } } internal_power () { when : "CK & RN & !SE & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.231460,2.213095,2.188273,2.176828,2.189122,2.254772,2.368250"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.235577,1.212003,1.184643,1.164582,1.167790,1.217976,1.337173"); } } internal_power () { when : "CK & RN & !SE & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.223674,2.205341,2.180209,2.168565,2.180162,2.245385,2.358748"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.185941,1.162919,1.137146,1.117712,1.119522,1.171266,1.291495"); } } } pin (RN) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 2.231244; fall_capacitance : 2.074671; rise_capacitance : 2.231244; timing () { related_pin : "CK"; timing_type : recovery_rising; when : "SN"; sdf_cond : "SN === 1'b1"; rise_constraint(Recovery_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.198479,-0.248834,-0.311771", \ "-0.185174,-0.236900,-0.298792", \ "-0.093336,-0.161515,-0.245487"); } } timing () { related_pin : "CK"; timing_type : removal_rising; when : "SN"; sdf_cond : "SN === 1'b1"; rise_constraint(Removal_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.569176,0.621548,0.684768", \ "0.708336,0.760589,0.822511", \ "1.193839,1.243450,1.307431"); } } timing () { related_pin : "RN"; timing_type : min_pulse_width; when : "SN"; sdf_cond : "SN === 1'b1"; fall_constraint(Pulse_width_3) { index_1 ("0.00231025,0.112657,0.500000"); values ("0.492900,0.573614,0.967991"); } } internal_power () { when : "!CK & !D & !SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.944156,0.923691,0.913152,0.909990,0.906696,0.905226,0.903600"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.827456,-0.828228,-0.834542,-0.838065,-0.839257,-0.840034,-0.840528"); } } internal_power () { when : "!CK & !D & !SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.944728,0.923629,0.913312,0.910241,0.907100,0.905094,0.902082"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.709813,-0.713594,-0.718716,-0.720228,-0.719846,-0.721664,-0.720282"); } } internal_power () { when : "!CK & !D & SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.944674,0.923550,0.913247,0.910175,0.907093,0.905010,0.902078"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.709851,-0.713689,-0.718810,-0.720323,-0.719941,-0.721760,-0.720377"); } } internal_power () { when : "!CK & !D & SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.980480,1.923068,1.882555,1.914148,2.002425,2.149811,2.367265"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.032199,0.015587,-0.008628,-0.001596,0.059973,0.189657,0.398137"); } } internal_power () { when : "!CK & D & !SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.980532,1.923129,1.882604,1.914199,2.002429,2.149892,2.367268"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.032230,0.015665,-0.008553,-0.001522,0.060048,0.189618,0.398212"); } } internal_power () { when : "!CK & D & !SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.980522,1.923117,1.882594,1.914189,2.002428,2.149879,2.367306"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.032224,0.015650,-0.008568,-0.001536,0.060033,0.189604,0.398197"); } } internal_power () { when : "!CK & D & SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.944707,0.923598,0.913287,0.910215,0.907096,0.905061,0.902080"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.709827,-0.713630,-0.718752,-0.720264,-0.719883,-0.721702,-0.720319"); } } internal_power () { when : "!CK & D & SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.980468,1.923052,1.882541,1.914135,2.002423,2.149794,2.367264"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.032191,0.015567,-0.008647,-0.001616,0.059954,0.189637,0.398118"); } } internal_power () { when : "CK & !D & !SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.923308,0.910410,0.904564,0.902762,0.899917,0.899028,0.896357"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.707649,-0.710844,-0.715850,-0.716583,-0.719135,-0.714942,-0.715542"); } } internal_power () { when : "CK & !D & !SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.924172,0.909752,0.904253,0.902005,0.899151,0.898319,0.895626"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.704892,-0.708489,-0.712506,-0.713725,-0.716161,-0.711914,-0.713158"); } } internal_power () { when : "CK & !D & SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.922718,0.909520,0.903637,0.901768,0.898952,0.898017,0.895408"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.710896,-0.714214,-0.718913,-0.719385,-0.722564,-0.717982,-0.718721"); } } internal_power () { when : "CK & !D & SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.921777,0.908857,0.903094,0.901239,0.898464,0.897522,0.894955"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.698603,-0.699816,-0.704066,-0.712228,-0.723260,-0.719052,-0.719634"); } } internal_power () { when : "CK & D & !SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.922947,0.909758,0.903841,0.902003,0.899159,0.898262,0.895618"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.710647,-0.713939,-0.718636,-0.719109,-0.722276,-0.717689,-0.718419"); } } internal_power () { when : "CK & D & !SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.922465,0.909558,0.903743,0.901925,0.899103,0.898198,0.895566"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.709852,-0.713068,-0.718077,-0.718804,-0.721353,-0.717152,-0.717743"); } } internal_power () { when : "CK & D & SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.923128,0.910233,0.904384,0.902595,0.899752,0.898885,0.896221"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.708564,-0.711754,-0.716759,-0.717487,-0.720034,-0.715830,-0.716417"); } } internal_power () { when : "CK & D & SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.928248,0.912092,0.905498,0.902735,0.899792,0.898714,0.896085"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.682562,-0.683740,-0.687898,-0.695873,-0.708173,-0.713486,-0.714128"); } } } pin (SE) { direction : input; nextstate_type : scan_enable; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 2.065332; fall_capacitance : 1.823259; rise_capacitance : 2.065332; timing () { related_pin : "CK"; timing_type : hold_rising; when : "RN & SN"; sdf_cond : "RN_AND_SN === 1'b1"; fall_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.044166,-0.009347,0.018671", \ "-0.091285,-0.067765,-0.036178", \ "0.166794,0.210392,0.195512"); } rise_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.057837,-0.007818,0.054642", \ "-0.086061,-0.035018,0.027151", \ "0.031918,0.088082,0.127447"); } } timing () { related_pin : "CK"; timing_type : setup_rising; when : "RN & SN"; sdf_cond : "RN_AND_SN === 1'b1"; fall_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.276021,0.219766,0.185404", \ "0.339473,0.283186,0.238527", \ "0.468846,0.412688,0.390290"); } rise_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.258015,0.228722,0.245360", \ "0.292303,0.262867,0.279219", \ "0.333962,0.290370,0.305280"); } } internal_power () { when : "!CK & !D & !RN & !SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.713115,1.671809,1.662397,1.713233,1.813076,1.977948,2.216688"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.027406,-0.051762,-0.072866,-0.051787,0.034605,0.189159,0.421563"); } } internal_power () { when : "!CK & !D & !RN & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.712327,1.670918,1.661477,1.712349,1.812187,1.977055,2.213511"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.034436,-0.054905,-0.079772,-0.056940,0.029535,0.186112,0.421260"); } } internal_power () { when : "!CK & !D & !RN & SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.511800,4.439933,4.400718,4.477229,4.661737,4.978016,5.429749"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.815727,2.789768,2.742354,2.756843,2.893808,3.186617,3.639725"); } } internal_power () { when : "!CK & !D & !RN & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.512286,4.440425,4.398397,4.474682,4.662422,4.981916,5.430707"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.835287,2.810900,2.761020,2.777748,2.912565,3.203810,3.659480"); } } internal_power () { when : "!CK & !D & RN & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.713043,1.671440,1.662022,1.712873,1.812691,1.977646,2.216049"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.029834,-0.054172,-0.075259,-0.054162,0.032245,0.186839,0.419292"); } } internal_power () { when : "!CK & !D & RN & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.712144,1.670788,1.661298,1.711753,1.811940,1.976952,2.213378"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.035669,-0.056111,-0.080966,-0.058128,0.028344,0.184932,0.418185"); } } internal_power () { when : "!CK & !D & RN & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.712601,1.671225,1.661779,1.712156,1.812419,1.977464,2.216153"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.035004,-0.055420,-0.080271,-0.058048,0.029051,0.185649,0.418146"); } } internal_power () { when : "!CK & !D & RN & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.840615,5.769243,5.730668,5.807458,5.992539,6.309300,6.757187"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.519863,3.493462,3.446317,3.462657,3.596069,3.883849,4.330658"); } } internal_power () { when : "!CK & !D & RN & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.925082,5.852808,5.814480,5.890665,6.075821,6.392166,6.841333"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.691047,3.665645,3.618741,3.634673,3.767775,4.053869,4.505410"); } } internal_power () { when : "!CK & !D & RN & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.893144,5.821096,5.781911,5.857684,6.044076,6.359748,6.807480"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.532113,3.506657,3.458450,3.474175,3.609965,3.897631,4.352639"); } } internal_power () { when : "!CK & D & !RN & !SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.826794,4.792329,4.806044,4.895284,5.042977,5.259448,5.568644"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.381995,3.376627,3.319765,3.303988,3.359446,3.498237,3.736819"); } } internal_power () { when : "!CK & D & !RN & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.826707,4.791388,4.804649,4.894575,5.042887,5.258510,5.571873"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.327426,3.322380,3.267077,3.251198,3.305570,3.446553,3.682916"); } } internal_power () { when : "!CK & D & !RN & SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.577356,1.540287,1.534935,1.584309,1.687101,1.854281,2.090787"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.032592,0.013952,-0.006030,0.013617,0.096354,0.249979,0.486472"); } } internal_power () { when : "!CK & D & !RN & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.578015,1.540780,1.535243,1.585067,1.687407,1.854679,2.090768"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.032803,0.014180,-0.005864,0.013719,0.096608,0.250230,0.486763"); } } internal_power () { when : "!CK & D & RN & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.532361,5.496984,5.512831,5.601284,5.748943,5.966675,6.268746"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.700405,4.697469,4.641076,4.621395,4.678721,4.816999,5.055046"); } } internal_power () { when : "!CK & D & RN & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.511267,5.475690,5.491921,5.579922,5.729791,5.945622,6.243285"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.745696,4.741274,4.685315,4.665504,4.724344,4.861028,5.097620"); } } internal_power () { when : "!CK & D & RN & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.539684,5.504072,5.520497,5.608823,5.758883,5.975098,6.277300"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.763366,4.762047,4.706276,4.684438,4.739935,4.885320,5.116886"); } } internal_power () { when : "!CK & D & RN & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.577983,1.540948,1.535203,1.585007,1.687444,1.854555,2.091097"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.032858,0.014203,-0.005775,0.013885,0.096631,0.250265,0.486780"); } } internal_power () { when : "!CK & D & RN & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.577636,1.540685,1.535099,1.584468,1.687232,1.854497,2.090948"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.032469,0.013874,-0.006147,0.013516,0.096373,0.249902,0.486467"); } } internal_power () { when : "!CK & D & RN & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.577835,1.540815,1.535063,1.584443,1.687238,1.854431,2.090939"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.032264,0.013635,-0.006341,0.013322,0.096072,0.249717,0.486245"); } } internal_power () { when : "CK & !D & !RN & !SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.712044,1.670472,1.661974,1.711699,1.811437,1.976625,2.212774"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.032604,-0.054738,-0.075129,-0.055452,0.033216,0.188627,0.421166"); } } internal_power () { when : "CK & !D & !RN & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.712018,1.670451,1.662007,1.712489,1.811465,1.976593,2.212828"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.030873,-0.053060,-0.072808,-0.053783,0.034442,0.190128,0.422591"); } } internal_power () { when : "CK & !D & !RN & SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.788950,2.717441,2.680372,2.762937,2.958553,3.282072,3.733909"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.716466,0.691951,0.648231,0.670431,0.810092,1.098500,1.546285"); } } internal_power () { when : "CK & !D & !RN & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.831166,2.759015,2.723865,2.803473,2.996609,3.320215,3.773976"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.685294,0.660853,0.617756,0.642622,0.784031,1.074760,1.525110"); } } internal_power () { when : "CK & !D & RN & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.712536,1.670975,1.661122,1.712227,1.811973,1.977236,2.215652"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.032509,-0.054620,-0.074472,-0.055325,0.033349,0.188769,0.420701"); } } internal_power () { when : "CK & !D & RN & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.712188,1.672104,1.660735,1.712816,1.811799,1.976871,2.215496"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.036296,-0.056783,-0.081461,-0.058714,0.024787,0.181268,0.416865"); } } internal_power () { when : "CK & !D & RN & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.711771,1.670752,1.660890,1.712152,1.811949,1.977094,2.215368"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.034671,-0.056777,-0.081629,-0.059271,0.024913,0.183045,0.417195"); } } internal_power () { when : "CK & !D & RN & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.789251,2.718091,2.680934,2.763495,2.957119,3.284409,3.734639"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.716462,0.692084,0.648244,0.670848,0.810398,1.096972,1.546343"); } } internal_power () { when : "CK & !D & RN & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.831810,2.758432,2.722947,2.803050,2.993660,3.318477,3.773383"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.749597,0.722564,0.681203,0.705647,0.843083,1.130076,1.581116"); } } internal_power () { when : "CK & !D & RN & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.789211,2.717980,2.680774,2.763448,2.956965,3.280301,3.734390"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.788420,0.763367,0.719548,0.740036,0.878637,1.164661,1.607517"); } } internal_power () { when : "CK & D & !RN & !SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.772456,2.736614,2.750480,2.839441,2.988446,3.205169,3.496791"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.535165,1.539003,1.514131,1.515309,1.584674,1.736488,1.965255"); } } internal_power () { when : "CK & D & !RN & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.735099,2.699172,2.713921,2.803157,2.951972,3.169615,3.464663"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.572406,1.576019,1.550727,1.553046,1.623359,1.769833,2.006004"); } } } pin (SI) { direction : input; nextstate_type : scan_in; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 0.843744; fall_capacitance : 0.797095; rise_capacitance : 0.843744; timing () { related_pin : "CK"; timing_type : hold_rising; when : "RN & SE & SN"; sdf_cond : "RN_AND_SE_AND_SN === 1'b1"; fall_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.074453,-0.022904,0.031301", \ "-0.113997,-0.060074,-0.001723", \ "0.137211,0.193803,0.256994"); } rise_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.022655,0.013549,0.046891", \ "-0.026154,0.006487,0.018247", \ "0.128675,0.159575,0.135341"); } } timing () { related_pin : "CK"; timing_type : setup_rising; when : "RN & SE & SN"; sdf_cond : "RN_AND_SE_AND_SN === 1'b1"; fall_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.266766,0.237314,0.254095", \ "0.324699,0.294715,0.311412", \ "0.487161,0.456529,0.471255"); } rise_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.239173,0.182670,0.119674", \ "0.287832,0.230808,0.167839", \ "0.363546,0.306960,0.243794"); } } internal_power () { when : "!CK & !D & !RN & !SE & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.415179,0.398560,0.391077,0.387852,0.385643,0.385252,0.384244"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.339866,-0.341858,-0.344846,-0.346526,-0.347410,-0.348164,-0.348420"); } } internal_power () { when : "!CK & !D & !RN & !SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.412172,0.397668,0.390185,0.386961,0.384750,0.384359,0.383340"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.343004,-0.344994,-0.347974,-0.349639,-0.350498,-0.351209,-0.351442"); } } internal_power () { when : "!CK & !D & !RN & SE & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.913940,3.902136,3.883768,3.873189,3.879270,3.912473,3.992797"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.914767,2.898366,2.878723,2.861585,2.856109,2.889122,2.973546"); } } internal_power () { when : "!CK & !D & !RN & SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.914488,3.902681,3.884366,3.873755,3.879718,3.913637,3.998084"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.935201,2.918819,2.898996,2.881462,2.878077,2.908619,2.994491"); } } internal_power () { when : "!CK & !D & RN & !SE & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.414788,0.398189,0.390704,0.387491,0.385258,0.384951,0.383916"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.342305,-0.344252,-0.347235,-0.348902,-0.349765,-0.350483,-0.350692"); } } internal_power () { when : "!CK & !D & RN & !SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.411971,0.397494,0.390005,0.386289,0.384495,0.384256,0.383200"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.344254,-0.346191,-0.349169,-0.350831,-0.351686,-0.352389,-0.352578"); } } internal_power () { when : "!CK & !D & RN & !SE & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.412446,0.397976,0.390487,0.386760,0.384975,0.384768,0.383710"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.343576,-0.345498,-0.348474,-0.350131,-0.350978,-0.351672,-0.351847"); } } internal_power () { when : "!CK & !D & RN & SE & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.248259,5.235158,5.216409,5.205912,5.212485,5.244098,5.319354"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.619901,3.603507,3.584734,3.566394,3.561862,3.591771,3.679627"); } } internal_power () { when : "!CK & !D & RN & SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.329653,5.317597,5.300811,5.288097,5.294320,5.326258,5.409146"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.790679,3.774103,3.754672,3.737491,3.733306,3.760147,3.842432"); } } internal_power () { when : "!CK & !D & RN & SE & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.300768,5.288061,5.269368,5.257705,5.263137,5.294677,5.372785"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.631540,3.615311,3.595764,3.578941,3.575091,3.603022,3.692776"); } } internal_power () { when : "!CK & D & !RN & !SE & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.357058,0.360545,0.361589,0.361400,0.360921,0.361354,0.361134"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.250644,-0.272238,-0.302873,-0.317084,-0.324625,-0.329288,-0.332476"); } } internal_power () { when : "!CK & D & !RN & !SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.357267,0.360767,0.361805,0.361617,0.361103,0.361589,0.361322"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.250613,-0.272171,-0.302802,-0.317006,-0.324535,-0.329183,-0.332350"); } } internal_power () { when : "!CK & D & !RN & SE & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.903109,3.892764,3.873533,3.861454,3.867583,3.900170,3.984301"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.941794,2.925042,2.905809,2.887879,2.882157,2.914248,2.998186"); } } internal_power () { when : "!CK & D & !RN & SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.903659,3.891811,3.874193,3.862449,3.868096,3.901300,3.985513"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.937766,2.921333,2.901657,2.884465,2.880555,2.911021,2.999091"); } } internal_power () { when : "!CK & D & RN & !SE & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.357233,0.360711,0.361761,0.361572,0.361119,0.361520,0.361337"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.250504,-0.272122,-0.302753,-0.316958,-0.324489,-0.329140,-0.332311"); } } internal_power () { when : "!CK & D & RN & !SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.357120,0.360623,0.361660,0.360949,0.360905,0.361440,0.361174"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.250894,-0.272454,-0.303084,-0.317287,-0.324815,-0.329461,-0.332626"); } } internal_power () { when : "!CK & D & RN & !SE & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.357086,0.360577,0.361621,0.360948,0.360907,0.361396,0.361179"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.251098,-0.272690,-0.303319,-0.317521,-0.325046,-0.329688,-0.332847"); } } internal_power () { when : "!CK & D & RN & SE & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.236353,5.224279,5.204329,5.194165,5.198971,5.230687,5.305419"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.623183,3.606057,3.587400,3.568949,3.564341,3.594124,3.683036"); } } internal_power () { when : "!CK & D & RN & SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.318834,5.306720,5.289765,5.278627,5.282850,5.314002,5.397454"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.793192,3.776627,3.757314,3.740169,3.735735,3.762564,3.844776"); } } internal_power () { when : "!CK & D & RN & SE & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.288977,5.276201,5.257331,5.245441,5.250690,5.281204,5.354262"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.634048,3.618604,3.598413,3.581703,3.577510,3.605422,3.695717"); } } internal_power () { when : "CK & !D & !RN & !SE & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.411445,0.396911,0.388932,0.386195,0.383938,0.383607,0.382511"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.344821,-0.346647,-0.349728,-0.351409,-0.352311,-0.353040,-0.353430"); } } internal_power () { when : "CK & !D & !RN & !SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.414480,0.396873,0.388957,0.386165,0.383958,0.383571,0.382558"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.343152,-0.345025,-0.348118,-0.349819,-0.350753,-0.351531,-0.351987"); } } internal_power () { when : "CK & !D & !RN & SE & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.201181,2.190112,2.171400,2.159413,2.169432,2.216211,2.306119"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.891737,0.875146,0.857009,0.843256,0.840657,0.873912,0.955736"); } } internal_power () { when : "CK & !D & !RN & SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.238561,2.227321,2.209421,2.197760,2.207291,2.257586,2.329227"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.853615,0.836959,0.818729,0.806100,0.802946,0.838144,0.923878"); } } internal_power () { when : "CK & !D & RN & !SE & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.411936,0.397413,0.389415,0.386721,0.384473,0.384217,0.383157"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.344724,-0.346527,-0.349606,-0.351282,-0.352178,-0.352897,-0.353273"); } } internal_power () { when : "CK & !D & RN & !SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.411792,0.397308,0.389343,0.386613,0.384399,0.384103,0.383092"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.344816,-0.346773,-0.349746,-0.351419,-0.352278,-0.352983,-0.353175"); } } internal_power () { when : "CK & !D & RN & !SE & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.411993,0.397534,0.389485,0.386827,0.384550,0.384341,0.383245"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.344782,-0.346679,-0.349645,-0.351310,-0.352157,-0.352846,-0.353016"); } } internal_power () { when : "CK & !D & RN & SE & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.199951,2.188881,2.170134,2.158458,2.168056,2.216039,2.304666"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.889660,0.873076,0.854926,0.842721,0.839678,0.869895,0.954576"); } } internal_power () { when : "CK & !D & RN & SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.237474,2.226261,2.208312,2.197394,2.206255,2.256652,2.340364"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.853740,0.837135,0.818890,0.804465,0.803533,0.838450,0.920647"); } } internal_power () { when : "CK & !D & RN & SE & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.200566,2.189162,2.170396,2.158825,2.168916,2.215871,2.305844"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.891992,0.875468,0.857419,0.844329,0.841456,0.874030,0.952903"); } } internal_power () { when : "CK & D & !RN & !SE & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.357517,0.360974,0.361478,0.361802,0.361343,0.361625,0.361406"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.248237,-0.269945,-0.300143,-0.314688,-0.322181,-0.326637,-0.329854"); } } internal_power () { when : "CK & D & !RN & !SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.357531,0.360986,0.361517,0.361852,0.361444,0.361767,0.361644"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.249643,-0.271361,-0.301553,-0.316094,-0.323575,-0.328010,-0.331199"); } } } pin (SN) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.521794; fall_capacitance : 1.450618; rise_capacitance : 1.521794; timing () { related_pin : "CK"; timing_type : recovery_rising; when : "RN"; sdf_cond : "RN === 1'b1"; rise_constraint(Recovery_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.151760,-0.210138,-0.279012", \ "-0.108712,-0.166614,-0.235381", \ "0.096730,0.021162,-0.066951"); } } timing () { related_pin : "CK"; timing_type : removal_rising; when : "RN"; sdf_cond : "RN === 1'b1"; rise_constraint(Removal_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.561848,0.602355,0.660766", \ "0.576771,0.617194,0.675975", \ "0.754675,0.794971,0.853195"); } } timing () { related_pin : "SN"; timing_type : min_pulse_width; when : "RN"; sdf_cond : "RN === 1'b1"; fall_constraint(Pulse_width_3) { index_1 ("0.00231025,0.112657,0.500000"); values ("0.635161,0.712022,1.075596"); } } internal_power () { when : "!CK & !D & RN & !SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.509795,0.493332,0.485501,0.483833,0.483357,0.483814,0.483362"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.380534,-0.383177,-0.389998,-0.396102,-0.399253,-0.403154,-0.403766"); } } internal_power () { when : "!CK & !D & RN & !SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.509820,0.493368,0.485531,0.483863,0.483359,0.483853,0.483364"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.380516,-0.383133,-0.389954,-0.396058,-0.399209,-0.403110,-0.403722"); } } internal_power () { when : "!CK & !D & RN & SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.509765,0.493290,0.485467,0.483798,0.483353,0.483769,0.483360"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.380557,-0.383229,-0.390050,-0.396153,-0.399304,-0.403205,-0.403817"); } } internal_power () { when : "!CK & !D & RN & SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.509925,0.494250,0.488091,0.485480,0.484351,0.484670,0.483678"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.445994,-0.446683,-0.450885,-0.455240,-0.455850,-0.456433,-0.455986"); } } internal_power () { when : "!CK & D & RN & !SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.509969,0.494311,0.488141,0.485531,0.484356,0.484736,0.483681"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.445962,-0.446607,-0.450810,-0.455165,-0.455775,-0.456359,-0.455911"); } } internal_power () { when : "!CK & D & RN & !SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.509960,0.494299,0.488131,0.485521,0.484355,0.484723,0.483681"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.445968,-0.446622,-0.450825,-0.455179,-0.455790,-0.456373,-0.455926"); } } internal_power () { when : "!CK & D & RN & SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.509798,0.493338,0.485506,0.483838,0.483356,0.483820,0.483362"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.380533,-0.383171,-0.389991,-0.396094,-0.399246,-0.403147,-0.403758"); } } internal_power () { when : "!CK & D & RN & SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.509914,0.494234,0.488077,0.485467,0.484350,0.484653,0.483677"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.446002,-0.446702,-0.450905,-0.455259,-0.455870,-0.456453,-0.456006"); } } internal_power () { when : "CK & !D & RN & !SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.533213,0.507512,0.498094,0.494468,0.490953,0.491503,0.489412"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.446815,-0.447227,-0.452159,-0.455192,-0.457609,-0.459097,-0.457344"); } } internal_power () { when : "CK & !D & RN & !SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.533003,0.507315,0.497862,0.494272,0.490736,0.491332,0.489217"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.447721,-0.448107,-0.453037,-0.456067,-0.458481,-0.459961,-0.458198"); } } internal_power () { when : "CK & !D & RN & SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.533090,0.507327,0.495751,0.492416,0.491309,0.491443,0.489391"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.447321,-0.447628,-0.452623,-0.455735,-0.458269,-0.459969,-0.458216"); } } internal_power () { when : "CK & !D & RN & SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.532264,0.506915,0.495629,0.492851,0.489947,0.490324,0.488286"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.447939,-0.448287,-0.451711,-0.456332,-0.458503,-0.460159,-0.458409"); } } internal_power () { when : "CK & D & RN & !SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.532406,0.507176,0.495818,0.493107,0.490545,0.490605,0.488665"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.448065,-0.447520,-0.452519,-0.456063,-0.458458,-0.460035,-0.459890"); } } internal_power () { when : "CK & D & RN & !SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.532952,0.507616,0.496277,0.493536,0.490586,0.491000,0.488897"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.446064,-0.446377,-0.449801,-0.454424,-0.456596,-0.458260,-0.456518"); } } internal_power () { when : "CK & D & RN & SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.533049,0.507352,0.497930,0.494316,0.490803,0.491374,0.489290"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.447668,-0.448074,-0.453004,-0.456034,-0.458447,-0.459925,-0.458160"); } } internal_power () { when : "CK & D & RN & SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.532351,0.507100,0.495818,0.493043,0.490539,0.490522,0.488660"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.448105,-0.447615,-0.452614,-0.456157,-0.458552,-0.460129,-0.459985"); } } } pin (CK) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock : true; capacitance : 0.911812; fall_capacitance : 0.821773; rise_capacitance : 0.911812; timing () { related_pin : "CK"; timing_type : min_pulse_width; when : "RN & SN"; sdf_cond : "RN_AND_SN === 1'b1"; fall_constraint(Pulse_width_3) { index_1 ("0.00231025,0.112657,0.500000"); values ("0.270655,0.280039,0.500500"); } rise_constraint(Pulse_width_3) { index_1 ("0.00231025,0.112657,0.500000"); values ("0.134805,0.150320,0.500500"); } } internal_power () { when : "!D & !RN & !SE & !SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.180632,5.156340,5.128160,5.144644,5.236021,5.382025,5.626497"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.885043,5.872001,5.821759,5.797692,5.835195,5.959091,6.172696"); } } internal_power () { when : "!D & !RN & !SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.881888,2.859786,2.831702,2.850168,2.929929,3.083883,3.297139"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.087519,3.075618,3.039566,3.032941,3.089676,3.205597,3.416311"); } } internal_power () { when : "!D & !RN & !SE & SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.180644,5.156377,5.128188,5.144666,5.236026,5.382071,5.626515"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.885058,5.872043,5.821803,5.797741,5.835243,5.959136,6.172165"); } } internal_power () { when : "!D & !RN & !SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.887446,2.865404,2.837316,2.855831,2.932270,3.088826,3.308784"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.874884,2.862301,2.828269,2.819980,2.878396,2.993943,3.202414"); } } internal_power () { when : "!D & !RN & SE & !SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.180612,5.156347,5.127845,5.144610,5.236044,5.381808,5.616820"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.885081,5.872008,5.821777,5.797697,5.835207,5.959057,6.172662"); } } internal_power () { when : "!D & !RN & SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.887313,2.865261,2.837187,2.855694,2.932207,3.088707,3.308100"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.874852,2.862229,2.828190,2.819906,2.878333,2.993843,3.202212"); } } internal_power () { when : "!D & !RN & SE & SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.080759,4.055622,4.012710,4.042802,4.130718,4.271905,4.498608"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.602334,3.586898,3.554184,3.553376,3.610840,3.749695,3.973578"); } } internal_power () { when : "!D & !RN & SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.767963,4.743132,4.717312,4.740429,4.833371,4.994851,5.234307"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("11.238210,11.224040,11.193750,11.198890,11.261980,11.399520,11.627280"); } } internal_power () { when : "!D & RN & !SE & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.412865,5.387464,5.358461,5.376056,5.457061,5.608319,5.833383"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("7.807874,7.794328,7.730542,7.694233,7.730009,7.842556,8.064332"); } } internal_power () { when : "!D & RN & !SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.892879,2.869425,2.841358,2.859541,2.939735,3.093218,3.316049"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.895789,2.883070,2.847576,2.841251,2.898541,3.014188,3.223091"); } } internal_power () { when : "!D & RN & !SE & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.510984,5.483485,5.454675,5.471794,5.552622,5.714729,5.941416"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "!D & RN & !SE & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.412875,5.387521,5.358498,5.376080,5.457069,5.608364,5.833400"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("7.807899,7.794375,7.730591,7.694282,7.730049,7.842597,8.064386"); } } internal_power () { when : "!D & RN & !SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.892909,2.869469,2.841389,2.859544,2.939738,3.093261,3.314655"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.895801,2.883108,2.847625,2.841300,2.898581,3.014234,3.222571"); } } internal_power () { when : "!D & RN & !SE & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.510913,5.483562,5.454749,5.471864,5.552697,5.714806,5.941482"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "!D & RN & SE & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.412847,5.387363,5.358372,5.375963,5.457007,5.608214,5.833355"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("7.807869,7.794290,7.730502,7.694184,7.729970,7.842488,8.064264"); } } internal_power () { when : "!D & RN & SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.892744,2.869319,2.841253,2.859476,2.939684,3.093144,3.314663"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.895764,2.883033,2.847544,2.841207,2.898514,3.014126,3.222457"); } } internal_power () { when : "!D & RN & SE & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.514685,5.483200,5.454403,5.471509,5.552345,5.714617,5.941295"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "!D & RN & SE & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.997468,2.972226,2.943438,2.963763,3.044918,3.200467,3.416520"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.773560,2.767325,2.736534,2.722611,2.773856,2.896546,3.101104"); } } internal_power () { when : "!D & RN & SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.704799,5.677883,5.649899,5.672159,5.751440,5.906499,6.126446"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "!D & RN & SE & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.997294,2.971844,2.943569,2.963077,3.044478,3.200422,3.421529"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.790809,2.777576,2.735343,2.733787,2.787517,2.911372,3.115698"); } } internal_power () { when : "D & !RN & !SE & !SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.080791,4.055686,4.012757,4.042856,4.130723,4.271966,4.498611"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.602370,3.586976,3.554314,3.553444,3.610917,3.749770,3.973653"); } } internal_power () { when : "D & !RN & !SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.768049,4.743199,4.717477,4.740498,4.833388,4.994907,5.234317"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("11.239240,11.224120,11.193750,11.198950,11.262050,11.397890,11.627350"); } } internal_power () { when : "D & !RN & !SE & SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.080724,4.055442,4.019996,4.042366,4.130685,4.274389,4.498524"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.631978,3.618306,3.584759,3.583025,3.642371,3.779014,4.001423"); } } internal_power () { when : "D & !RN & !SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.768034,4.743183,4.717466,4.740487,4.833385,4.994894,5.234315"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("11.238540,11.224110,11.193740,11.198930,11.262030,11.399120,11.627330"); } } internal_power () { when : "D & !RN & SE & !SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.177677,5.153578,5.125218,5.141173,5.228642,5.378965,5.613244"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.950440,5.936198,5.886797,5.862944,5.902464,6.022712,6.234005"); } } internal_power () { when : "D & !RN & SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.887348,2.865316,2.837233,2.855740,2.932217,3.088765,3.308428"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.874871,2.862286,2.828248,2.819969,2.878400,2.993909,3.202311"); } } internal_power () { when : "D & !RN & SE & SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.080744,4.055601,4.012693,4.042784,4.118413,4.271883,4.498602"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.602325,3.586878,3.554994,3.553356,3.610821,3.749675,3.973558"); } } internal_power () { when : "D & !RN & SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.767956,4.743101,4.717298,4.740415,4.833369,4.994835,5.234305"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("11.238200,11.224020,11.193730,11.198870,11.261960,11.399500,11.627260"); } } internal_power () { when : "D & RN & !SE & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.997407,2.972290,2.943487,2.963811,3.044919,3.200528,3.416525"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.780694,2.767369,2.736618,2.722669,2.773933,2.896616,3.101182"); } } internal_power () { when : "D & RN & !SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.691356,5.664437,5.636503,5.659022,5.742359,5.897596,6.118108"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & RN & !SE & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.997339,2.971905,2.943621,2.963075,3.044621,3.200486,3.421531"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.790772,2.777703,2.735424,2.733860,2.787595,2.911441,3.115776"); } } internal_power () { when : "D & RN & !SE & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.997396,2.972275,2.943475,2.963799,3.044916,3.200513,3.416679"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.780691,2.767354,2.736603,2.722654,2.773918,2.896601,3.103036"); } } internal_power () { when : "D & RN & !SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.691348,5.664420,5.636484,5.659009,5.742344,5.897581,6.118094"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & RN & !SE & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.997328,2.971890,2.943609,2.963073,3.044472,3.200471,3.421528"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.790765,2.777688,2.735409,2.733845,2.787581,2.911426,3.115762"); } } internal_power () { when : "D & RN & SE & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.412850,5.387404,5.358415,5.376015,5.457017,5.608275,5.833374"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("7.807906,7.794365,7.730570,7.694256,7.730038,7.842555,8.064330"); } } internal_power () { when : "D & RN & SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.892781,2.869374,2.841299,2.859482,2.939690,3.093202,3.313086"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.895794,2.883098,2.847602,2.841270,2.898582,3.014191,3.222491"); } } internal_power () { when : "D & RN & SE & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.514825,5.483300,5.454502,5.471620,5.552460,5.714723,5.941396"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & RN & SE & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.997452,2.972206,2.943421,2.963745,3.044913,3.200446,3.416515"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.773550,2.767305,2.736513,2.722592,2.773836,2.896525,3.101084"); } } internal_power () { when : "D & RN & SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.691314,5.664338,5.636404,5.658938,5.742266,5.897511,6.118013"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & RN & SE & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.997278,2.971823,2.943552,2.963073,3.044622,3.200400,3.421524"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.790800,2.777555,2.735323,2.733766,2.787497,2.911352,3.115679"); } } } pin (Q) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 60.242970; function : "IQ"; timing () { related_pin : "CK"; timing_type : rising_edge; timing_sense : non_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.319552,0.327155,0.334604,0.347423,0.369172,0.407293,0.478271", \ "0.324804,0.332424,0.339894,0.352698,0.374446,0.412575,0.483558", \ "0.342565,0.350165,0.357647,0.370460,0.392201,0.430339,0.501328", \ "0.366442,0.374052,0.381576,0.394424,0.416148,0.454339,0.525318", \ "0.389943,0.397553,0.405022,0.417524,0.439447,0.477779,0.548501", \ "0.410701,0.418306,0.425755,0.438717,0.460700,0.498923,0.569810", \ "0.427550,0.434930,0.442828,0.455104,0.477271,0.515919,0.587331"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.347606,0.361517,0.376859,0.406835,0.468599,0.594585,0.848144", \ "0.352814,0.366736,0.382071,0.412037,0.473816,0.599798,0.853338", \ "0.370701,0.384604,0.399941,0.429932,0.491683,0.617707,0.871232", \ "0.395621,0.409502,0.424852,0.454804,0.516604,0.642604,0.896114", \ "0.420346,0.434361,0.449443,0.479432,0.541119,0.667196,0.920802", \ "0.443226,0.457084,0.471881,0.501718,0.564040,0.690037,0.943517", \ "0.461948,0.475845,0.491070,0.521142,0.582894,0.708920,0.962446"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.016113,0.019821,0.023720,0.030924,0.044959,0.073515,0.133306", \ "0.016111,0.019821,0.023721,0.030932,0.044964,0.073508,0.133306", \ "0.016113,0.019821,0.023716,0.030933,0.044968,0.073515,0.133311", \ "0.016113,0.019820,0.023714,0.030934,0.044959,0.073504,0.133301", \ "0.016119,0.019825,0.023718,0.030934,0.044959,0.073519,0.133309", \ "0.016099,0.019817,0.023725,0.030940,0.044970,0.073525,0.133307", \ "0.016118,0.019828,0.023719,0.030922,0.044956,0.073503,0.133251"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.020803,0.031411,0.045254,0.074358,0.134516,0.256024,0.499526", \ "0.020802,0.031410,0.045255,0.074353,0.134508,0.256025,0.499503", \ "0.020806,0.031410,0.045253,0.074353,0.134496,0.256041,0.499527", \ "0.020806,0.031409,0.045253,0.074355,0.134508,0.256035,0.499521", \ "0.020802,0.031411,0.045255,0.074353,0.134507,0.256027,0.499505", \ "0.020799,0.031406,0.045259,0.074359,0.134503,0.256031,0.499524", \ "0.020808,0.031409,0.045253,0.074361,0.134505,0.256025,0.499505"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & !D & !SE & !SI & !SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.087447,0.096800,0.105626,0.119696,0.142553,0.181683,0.253689", \ "0.092031,0.101385,0.110215,0.124292,0.147146,0.186279,0.258280", \ "0.110236,0.119574,0.128386,0.142475,0.165340,0.204479,0.276478", \ "0.147274,0.156607,0.165461,0.179527,0.202447,0.241661,0.313676", \ "0.196837,0.207569,0.217567,0.232631,0.256381,0.295973,0.368012", \ "0.250136,0.262542,0.273914,0.291049,0.316813,0.358287,0.431551", \ "0.305938,0.320567,0.333687,0.352957,0.381140,0.424802,0.499547"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.071972,0.087266,0.104478,0.137283,0.201534,0.329037,0.583359", \ "0.076949,0.092256,0.109467,0.142280,0.206544,0.334065,0.588453", \ "0.091525,0.106778,0.123924,0.156686,0.220957,0.348512,0.602917", \ "0.115399,0.130850,0.148022,0.180631,0.244796,0.372356,0.626862", \ "0.142268,0.158390,0.175823,0.208577,0.272692,0.400155,0.654631", \ "0.167941,0.185204,0.203363,0.236216,0.300185,0.427618,0.681955", \ "0.189418,0.208503,0.227587,0.261353,0.325330,0.452480,0.706735"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.015677,0.019310,0.023328,0.030802,0.045103,0.073871,0.133728", \ "0.015679,0.019311,0.023329,0.030799,0.045103,0.073864,0.133740", \ "0.015692,0.019330,0.023353,0.030811,0.045107,0.073853,0.133719", \ "0.016039,0.019603,0.023573,0.030954,0.045197,0.073886,0.133736", \ "0.020685,0.023704,0.027132,0.033787,0.046993,0.074760,0.134028", \ "0.026746,0.029762,0.032969,0.039145,0.051688,0.078253,0.135653", \ "0.033475,0.036722,0.039900,0.045758,0.057503,0.082721,0.138553"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.019216,0.030205,0.044385,0.073907,0.134467,0.256226,0.500044", \ "0.019223,0.030207,0.044382,0.073912,0.134486,0.256246,0.499904", \ "0.019209,0.030206,0.044387,0.073911,0.134468,0.256219,0.499891", \ "0.020116,0.030837,0.044754,0.073999,0.134467,0.256196,0.499956", \ "0.022160,0.032501,0.046009,0.074729,0.134694,0.256241,0.499894", \ "0.025560,0.035303,0.048082,0.075843,0.135197,0.256390,0.500051", \ "0.030440,0.039706,0.051578,0.077862,0.135950,0.256959,0.499949"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & !D & !SE & !SI & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.087416,0.096777,0.105609,0.119678,0.142542,0.181674,0.253699", \ "0.092012,0.101376,0.110202,0.124284,0.147144,0.186278,0.258306", \ "0.110213,0.119549,0.128376,0.142460,0.165337,0.204509,0.276519", \ "0.147231,0.156566,0.165400,0.179522,0.202451,0.241683,0.313716", \ "0.196998,0.207694,0.217475,0.232684,0.256444,0.296047,0.368091", \ "0.250335,0.262970,0.274073,0.291186,0.316926,0.358326,0.431541", \ "0.306497,0.321059,0.333891,0.353142,0.381333,0.424947,0.499629"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.015696,0.019324,0.023348,0.030819,0.045119,0.073875,0.133780", \ "0.015698,0.019325,0.023343,0.030815,0.045127,0.073878,0.133787", \ "0.015708,0.019348,0.023368,0.030817,0.045123,0.073867,0.133785", \ "0.016054,0.019619,0.023581,0.030983,0.045200,0.073912,0.133813", \ "0.020695,0.023715,0.027167,0.033792,0.047019,0.074771,0.134096", \ "0.026754,0.029750,0.032983,0.039151,0.051687,0.078263,0.135714", \ "0.033440,0.036706,0.039925,0.045763,0.057500,0.082723,0.138602"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & !D & !SE & SI & !SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.087447,0.096800,0.105626,0.119696,0.142553,0.181683,0.253689", \ "0.092031,0.101385,0.110215,0.124292,0.147146,0.186279,0.258280", \ "0.110236,0.119574,0.128386,0.142475,0.165340,0.204479,0.276478", \ "0.147274,0.156607,0.165462,0.179527,0.202447,0.241661,0.313676", \ "0.196837,0.207569,0.217567,0.232631,0.256381,0.295973,0.368012", \ "0.250136,0.262542,0.273914,0.291049,0.316813,0.358287,0.431551", \ "0.305938,0.320567,0.333687,0.352957,0.381140,0.424802,0.499547"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.071972,0.087266,0.104478,0.137283,0.201534,0.329037,0.583359", \ "0.076949,0.092256,0.109467,0.142280,0.206544,0.334065,0.588453", \ "0.091525,0.106778,0.123924,0.156686,0.220957,0.348512,0.602917", \ "0.115399,0.130850,0.148022,0.180631,0.244796,0.372356,0.626862", \ "0.142268,0.158390,0.175823,0.208577,0.272692,0.400155,0.654631", \ "0.167941,0.185204,0.203363,0.236216,0.300185,0.427618,0.681955", \ "0.189418,0.208503,0.227587,0.261353,0.325330,0.452480,0.706708"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.015677,0.019310,0.023328,0.030802,0.045103,0.073871,0.133728", \ "0.015679,0.019311,0.023329,0.030799,0.045103,0.073864,0.133740", \ "0.015692,0.019330,0.023353,0.030811,0.045107,0.073853,0.133719", \ "0.016039,0.019603,0.023572,0.030954,0.045197,0.073886,0.133736", \ "0.020685,0.023704,0.027132,0.033787,0.046993,0.074760,0.134028", \ "0.026746,0.029762,0.032969,0.039145,0.051688,0.078253,0.135653", \ "0.033475,0.036722,0.039900,0.045758,0.057503,0.082721,0.138553"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.019216,0.030205,0.044385,0.073907,0.134467,0.256226,0.500044", \ "0.019223,0.030207,0.044382,0.073912,0.134486,0.256246,0.499904", \ "0.019209,0.030206,0.044387,0.073911,0.134468,0.256219,0.499891", \ "0.020116,0.030837,0.044754,0.073999,0.134467,0.256196,0.499956", \ "0.022160,0.032501,0.046009,0.074742,0.134694,0.256241,0.499894", \ "0.025560,0.035303,0.048082,0.075843,0.135197,0.256390,0.500051", \ "0.030440,0.039706,0.051578,0.077862,0.135950,0.256959,0.500093"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & !D & !SE & SI & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.087416,0.096777,0.105609,0.119678,0.142542,0.181674,0.253708", \ "0.092012,0.101376,0.110202,0.124284,0.147144,0.186278,0.258306", \ "0.110213,0.119550,0.128376,0.142460,0.165337,0.204509,0.276519", \ "0.147231,0.156566,0.165397,0.179522,0.202451,0.241683,0.313716", \ "0.196998,0.207694,0.217475,0.232684,0.256444,0.296047,0.368091", \ "0.250335,0.262970,0.274073,0.291186,0.316926,0.358326,0.431541", \ "0.306497,0.321059,0.333891,0.353142,0.381333,0.424947,0.499629"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.015696,0.019324,0.023348,0.030819,0.045119,0.073875,0.133779", \ "0.015698,0.019325,0.023343,0.030815,0.045127,0.073878,0.133787", \ "0.015708,0.019347,0.023368,0.030817,0.045123,0.073867,0.133785", \ "0.016054,0.019619,0.023579,0.030983,0.045200,0.073912,0.133813", \ "0.020695,0.023715,0.027167,0.033792,0.047019,0.074771,0.134096", \ "0.026754,0.029750,0.032983,0.039151,0.051687,0.078263,0.135714", \ "0.033440,0.036706,0.039925,0.045763,0.057500,0.082723,0.138602"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & !D & SE & !SI & !SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.087447,0.096800,0.105626,0.119696,0.142553,0.181683,0.253689", \ "0.092031,0.101385,0.110215,0.124292,0.147146,0.186279,0.258280", \ "0.110236,0.119574,0.128386,0.142475,0.165340,0.204479,0.276478", \ "0.147274,0.156607,0.165462,0.179531,0.202447,0.241661,0.313676", \ "0.196837,0.207569,0.217567,0.232631,0.256381,0.295973,0.368012", \ "0.250136,0.262542,0.273914,0.291049,0.316813,0.358287,0.431551", \ "0.305938,0.320567,0.333687,0.352957,0.381140,0.424802,0.499547"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.071972,0.087266,0.104478,0.137283,0.201534,0.329037,0.583359", \ "0.076949,0.092256,0.109467,0.142280,0.206544,0.334065,0.588453", \ "0.091525,0.106778,0.123924,0.156686,0.220957,0.348512,0.602917", \ "0.115399,0.130850,0.148022,0.180631,0.244796,0.372356,0.626862", \ "0.142268,0.158390,0.175823,0.208577,0.272692,0.400155,0.654631", \ "0.167941,0.185204,0.203363,0.236216,0.300185,0.427618,0.681955", \ "0.189418,0.208503,0.227587,0.261353,0.325330,0.452480,0.706735"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.015677,0.019310,0.023328,0.030802,0.045103,0.073871,0.133728", \ "0.015679,0.019311,0.023329,0.030799,0.045103,0.073864,0.133740", \ "0.015692,0.019330,0.023353,0.030811,0.045107,0.073853,0.133719", \ "0.016039,0.019603,0.023572,0.030953,0.045197,0.073886,0.133736", \ "0.020685,0.023704,0.027132,0.033787,0.046993,0.074760,0.134028", \ "0.026746,0.029762,0.032969,0.039145,0.051688,0.078253,0.135653", \ "0.033475,0.036722,0.039900,0.045758,0.057503,0.082721,0.138553"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.019216,0.030205,0.044385,0.073907,0.134467,0.256226,0.500044", \ "0.019223,0.030207,0.044382,0.073912,0.134486,0.256246,0.499904", \ "0.019209,0.030206,0.044387,0.073911,0.134468,0.256219,0.499891", \ "0.020116,0.030837,0.044754,0.073999,0.134467,0.256196,0.499956", \ "0.022160,0.032501,0.046009,0.074742,0.134694,0.256241,0.499894", \ "0.025560,0.035303,0.048082,0.075843,0.135197,0.256390,0.500051", \ "0.030440,0.039706,0.051578,0.077862,0.135950,0.256959,0.499949"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & !D & SE & !SI & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.087416,0.096777,0.105609,0.119675,0.142541,0.181674,0.253708", \ "0.092012,0.101376,0.110202,0.124284,0.147144,0.186278,0.258306", \ "0.110213,0.119549,0.128376,0.142460,0.165337,0.204509,0.276519", \ "0.147231,0.156566,0.165397,0.179522,0.202451,0.241683,0.313716", \ "0.196998,0.207694,0.217475,0.232684,0.256444,0.296047,0.368091", \ "0.250335,0.262970,0.274073,0.291186,0.316926,0.358326,0.431541", \ "0.306497,0.321059,0.333891,0.353142,0.381333,0.424947,0.499629"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.015696,0.019324,0.023348,0.030819,0.045120,0.073875,0.133779", \ "0.015698,0.019325,0.023343,0.030815,0.045127,0.073878,0.133787", \ "0.015708,0.019348,0.023368,0.030817,0.045123,0.073867,0.133785", \ "0.016054,0.019619,0.023579,0.030983,0.045200,0.073912,0.133813", \ "0.020695,0.023715,0.027167,0.033792,0.047019,0.074771,0.134096", \ "0.026754,0.029750,0.032983,0.039151,0.051687,0.078263,0.135714", \ "0.033440,0.036706,0.039925,0.045763,0.057500,0.082723,0.138602"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & !D & SE & SI & !SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.087463,0.096817,0.105647,0.119715,0.142566,0.181702,0.253710", \ "0.092041,0.101394,0.110230,0.124303,0.147153,0.186287,0.258298", \ "0.110253,0.119587,0.128402,0.142480,0.165344,0.204497,0.276507", \ "0.147284,0.156614,0.165432,0.179530,0.202453,0.241670,0.313702", \ "0.197078,0.207811,0.217680,0.232629,0.256391,0.295981,0.367961", \ "0.249965,0.262686,0.274207,0.291077,0.316837,0.358282,0.431480", \ "0.305530,0.320400,0.333892,0.353047,0.381219,0.424880,0.499562"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.071985,0.087282,0.104490,0.137296,0.201547,0.329041,0.583410", \ "0.076960,0.092271,0.109482,0.142292,0.206557,0.334064,0.588379", \ "0.091542,0.106790,0.123948,0.156705,0.220974,0.348529,0.602919", \ "0.115454,0.130903,0.148051,0.180647,0.244825,0.372401,0.626832", \ "0.142272,0.158376,0.175856,0.208612,0.272715,0.400162,0.654593", \ "0.168045,0.185305,0.203398,0.236326,0.300298,0.427746,0.682112", \ "0.189434,0.208511,0.227716,0.261504,0.325462,0.452510,0.706816"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.015680,0.019314,0.023333,0.030798,0.045103,0.073862,0.133720", \ "0.015679,0.019312,0.023335,0.030794,0.045097,0.073848,0.133733", \ "0.015691,0.019331,0.023352,0.030804,0.045103,0.073851,0.133712", \ "0.016035,0.019604,0.023571,0.030959,0.045197,0.073886,0.133751", \ "0.020659,0.023682,0.027129,0.033789,0.046999,0.074760,0.134031", \ "0.026770,0.029747,0.032938,0.039150,0.051685,0.078264,0.135671", \ "0.033535,0.036751,0.039888,0.045754,0.057497,0.082713,0.138555"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.019209,0.030204,0.044385,0.073912,0.134467,0.256236,0.499922", \ "0.019225,0.030206,0.044381,0.073904,0.134481,0.256229,0.499984", \ "0.019200,0.030206,0.044386,0.073912,0.134469,0.256245,0.499994", \ "0.020122,0.030836,0.044752,0.074009,0.134473,0.256212,0.499990", \ "0.022176,0.032507,0.046003,0.074738,0.134672,0.256255,0.499975", \ "0.025566,0.035302,0.048088,0.075832,0.135181,0.256425,0.500089", \ "0.030447,0.039715,0.051568,0.077857,0.135944,0.256930,0.500137"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & !D & SE & SI & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.087440,0.096802,0.105628,0.119705,0.142581,0.181754,0.253780", \ "0.092031,0.101394,0.110224,0.124322,0.147184,0.186360,0.258391", \ "0.110234,0.119579,0.128403,0.142501,0.165382,0.204565,0.276603", \ "0.147270,0.156583,0.165407,0.179528,0.202478,0.241687,0.313745", \ "0.197096,0.207752,0.217530,0.232736,0.256503,0.296124,0.368244", \ "0.250237,0.262962,0.274331,0.291198,0.316959,0.358419,0.431547", \ "0.306191,0.321067,0.334117,0.353411,0.381590,0.425257,0.499899"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.015696,0.019323,0.023345,0.030810,0.045110,0.073859,0.133767", \ "0.015695,0.019325,0.023336,0.030797,0.045109,0.073860,0.133769", \ "0.015706,0.019345,0.023368,0.030810,0.045113,0.073853,0.133769", \ "0.016053,0.019615,0.023574,0.030966,0.045182,0.073897,0.133796", \ "0.020683,0.023706,0.027161,0.033780,0.047001,0.074755,0.134085", \ "0.026754,0.029751,0.032945,0.039151,0.051676,0.078250,0.135709", \ "0.033440,0.036704,0.039884,0.045718,0.057460,0.082693,0.138590"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & D & !SE & !SI & !SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.087463,0.096817,0.105647,0.119715,0.142566,0.181702,0.253710", \ "0.092041,0.101394,0.110230,0.124303,0.147153,0.186287,0.258298", \ "0.110253,0.119587,0.128402,0.142480,0.165344,0.204497,0.276507", \ "0.147284,0.156614,0.165432,0.179530,0.202453,0.241670,0.313702", \ "0.197078,0.207811,0.217680,0.232629,0.256391,0.295981,0.367961", \ "0.249965,0.262686,0.274207,0.291077,0.316837,0.358282,0.431480", \ "0.305530,0.320400,0.333892,0.353047,0.381219,0.424880,0.499562"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.071985,0.087282,0.104490,0.137296,0.201547,0.329041,0.583410", \ "0.076960,0.092271,0.109482,0.142292,0.206557,0.334064,0.588379", \ "0.091542,0.106790,0.123948,0.156705,0.220974,0.348529,0.602919", \ "0.115454,0.130903,0.148051,0.180647,0.244825,0.372401,0.626832", \ "0.142272,0.158376,0.175856,0.208612,0.272715,0.400162,0.654593", \ "0.168045,0.185305,0.203398,0.236326,0.300298,0.427746,0.682112", \ "0.189434,0.208511,0.227716,0.261504,0.325462,0.452510,0.706816"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.015680,0.019314,0.023333,0.030798,0.045103,0.073862,0.133720", \ "0.015679,0.019312,0.023335,0.030794,0.045097,0.073848,0.133733", \ "0.015691,0.019331,0.023352,0.030804,0.045103,0.073851,0.133712", \ "0.016035,0.019604,0.023571,0.030959,0.045197,0.073886,0.133751", \ "0.020659,0.023682,0.027129,0.033789,0.046999,0.074760,0.134031", \ "0.026770,0.029747,0.032938,0.039150,0.051685,0.078264,0.135671", \ "0.033535,0.036751,0.039888,0.045754,0.057497,0.082713,0.138555"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.019209,0.030204,0.044385,0.073912,0.134467,0.256236,0.499922", \ "0.019225,0.030206,0.044381,0.073904,0.134481,0.256229,0.499984", \ "0.019200,0.030206,0.044386,0.073912,0.134469,0.256245,0.499994", \ "0.020122,0.030836,0.044752,0.074009,0.134473,0.256212,0.499990", \ "0.022176,0.032507,0.046003,0.074738,0.134672,0.256255,0.499975", \ "0.025566,0.035302,0.048088,0.075832,0.135181,0.256425,0.500089", \ "0.030447,0.039715,0.051568,0.077857,0.135944,0.256930,0.500137"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & D & !SE & !SI & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.087440,0.096801,0.105628,0.119706,0.142581,0.181754,0.253781", \ "0.092031,0.101394,0.110224,0.124322,0.147184,0.186360,0.258391", \ "0.110234,0.119579,0.128405,0.142501,0.165382,0.204568,0.276601", \ "0.147278,0.156583,0.165407,0.179528,0.202478,0.241687,0.313745", \ "0.197096,0.207752,0.217530,0.232736,0.256497,0.296124,0.368244", \ "0.250237,0.262962,0.274331,0.291198,0.316959,0.358419,0.431547", \ "0.306191,0.321067,0.334117,0.353411,0.381590,0.425257,0.499899"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.015696,0.019323,0.023345,0.030809,0.045110,0.073859,0.133773", \ "0.015695,0.019325,0.023336,0.030797,0.045109,0.073860,0.133769", \ "0.015706,0.019345,0.023366,0.030810,0.045113,0.073862,0.133778", \ "0.016052,0.019615,0.023574,0.030966,0.045182,0.073897,0.133796", \ "0.020683,0.023706,0.027161,0.033780,0.046997,0.074755,0.134085", \ "0.026754,0.029751,0.032945,0.039151,0.051676,0.078250,0.135709", \ "0.033440,0.036704,0.039884,0.045718,0.057460,0.082693,0.138590"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & D & !SE & SI & !SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.087463,0.096817,0.105647,0.119715,0.142566,0.181702,0.253710", \ "0.092041,0.101394,0.110230,0.124303,0.147153,0.186287,0.258298", \ "0.110253,0.119587,0.128402,0.142480,0.165344,0.204497,0.276507", \ "0.147284,0.156614,0.165432,0.179530,0.202453,0.241670,0.313702", \ "0.197078,0.207811,0.217680,0.232629,0.256391,0.295981,0.367961", \ "0.249965,0.262686,0.274207,0.291077,0.316837,0.358282,0.431480", \ "0.305530,0.320400,0.333892,0.353047,0.381219,0.424880,0.499562"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.071985,0.087282,0.104490,0.137296,0.201547,0.329041,0.583410", \ "0.076960,0.092271,0.109482,0.142292,0.206557,0.334064,0.588379", \ "0.091542,0.106790,0.123948,0.156705,0.220974,0.348529,0.602919", \ "0.115454,0.130903,0.148051,0.180647,0.244825,0.372401,0.626832", \ "0.142272,0.158376,0.175856,0.208612,0.272715,0.400162,0.654593", \ "0.168045,0.185305,0.203398,0.236326,0.300298,0.427746,0.682112", \ "0.189434,0.208511,0.227716,0.261504,0.325462,0.452510,0.706821"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.015680,0.019314,0.023333,0.030798,0.045103,0.073862,0.133720", \ "0.015679,0.019312,0.023335,0.030794,0.045097,0.073848,0.133733", \ "0.015691,0.019331,0.023352,0.030804,0.045103,0.073851,0.133712", \ "0.016035,0.019604,0.023571,0.030959,0.045197,0.073886,0.133751", \ "0.020659,0.023682,0.027129,0.033789,0.046999,0.074760,0.134031", \ "0.026770,0.029747,0.032938,0.039150,0.051685,0.078264,0.135671", \ "0.033535,0.036751,0.039888,0.045754,0.057497,0.082713,0.138555"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.019209,0.030204,0.044385,0.073912,0.134467,0.256236,0.499922", \ "0.019225,0.030206,0.044381,0.073904,0.134481,0.256229,0.499984", \ "0.019200,0.030206,0.044386,0.073912,0.134469,0.256245,0.499994", \ "0.020122,0.030836,0.044752,0.074009,0.134473,0.256212,0.499990", \ "0.022176,0.032507,0.046003,0.074738,0.134672,0.256255,0.499975", \ "0.025566,0.035302,0.048088,0.075832,0.135181,0.256425,0.500089", \ "0.030447,0.039715,0.051568,0.077857,0.135944,0.256930,0.500120"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & D & !SE & SI & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.087440,0.096800,0.105625,0.119706,0.142580,0.181754,0.253780", \ "0.092031,0.101394,0.110224,0.124322,0.147184,0.186360,0.258391", \ "0.110234,0.119577,0.128405,0.142501,0.165379,0.204565,0.276603", \ "0.147278,0.156583,0.165407,0.179528,0.202478,0.241687,0.313745", \ "0.197096,0.207752,0.217530,0.232736,0.256497,0.296124,0.368244", \ "0.250237,0.262962,0.274331,0.291198,0.316959,0.358419,0.431547", \ "0.306191,0.321067,0.334117,0.353411,0.381590,0.425257,0.499899"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.015696,0.019323,0.023341,0.030809,0.045111,0.073859,0.133767", \ "0.015695,0.019325,0.023336,0.030797,0.045109,0.073860,0.133769", \ "0.015706,0.019343,0.023366,0.030810,0.045113,0.073853,0.133769", \ "0.016052,0.019615,0.023574,0.030966,0.045182,0.073897,0.133796", \ "0.020683,0.023706,0.027161,0.033780,0.046997,0.074755,0.134085", \ "0.026754,0.029751,0.032945,0.039151,0.051676,0.078250,0.135709", \ "0.033440,0.036704,0.039884,0.045718,0.057460,0.082693,0.138590"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & D & SE & !SI & !SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.087447,0.096800,0.105626,0.119696,0.142553,0.181683,0.253689", \ "0.092031,0.101385,0.110215,0.124292,0.147146,0.186279,0.258280", \ "0.110236,0.119574,0.128386,0.142475,0.165340,0.204479,0.276478", \ "0.147274,0.156607,0.165461,0.179531,0.202447,0.241661,0.313676", \ "0.196837,0.207569,0.217567,0.232631,0.256381,0.295973,0.368012", \ "0.250136,0.262542,0.273914,0.291049,0.316813,0.358287,0.431551", \ "0.305938,0.320567,0.333687,0.352957,0.381140,0.424802,0.499547"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.071972,0.087266,0.104478,0.137283,0.201534,0.329037,0.583359", \ "0.076949,0.092256,0.109467,0.142280,0.206544,0.334065,0.588453", \ "0.091525,0.106778,0.123924,0.156686,0.220957,0.348512,0.602917", \ "0.115399,0.130850,0.148022,0.180631,0.244796,0.372356,0.626862", \ "0.142268,0.158390,0.175823,0.208577,0.272692,0.400155,0.654631", \ "0.167941,0.185204,0.203363,0.236216,0.300185,0.427618,0.681955", \ "0.189418,0.208503,0.227587,0.261353,0.325330,0.452480,0.706708"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.015677,0.019310,0.023328,0.030802,0.045103,0.073871,0.133728", \ "0.015679,0.019311,0.023329,0.030799,0.045103,0.073864,0.133740", \ "0.015692,0.019330,0.023353,0.030811,0.045107,0.073853,0.133719", \ "0.016039,0.019603,0.023573,0.030953,0.045197,0.073886,0.133736", \ "0.020685,0.023704,0.027132,0.033787,0.046993,0.074760,0.134028", \ "0.026746,0.029762,0.032969,0.039145,0.051688,0.078253,0.135653", \ "0.033475,0.036722,0.039900,0.045758,0.057503,0.082721,0.138553"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.019216,0.030205,0.044385,0.073907,0.134467,0.256226,0.500044", \ "0.019223,0.030207,0.044382,0.073912,0.134486,0.256246,0.499904", \ "0.019209,0.030206,0.044387,0.073911,0.134468,0.256219,0.499891", \ "0.020116,0.030837,0.044754,0.073999,0.134467,0.256196,0.499956", \ "0.022160,0.032501,0.046009,0.074729,0.134694,0.256241,0.499894", \ "0.025560,0.035303,0.048082,0.075843,0.135197,0.256390,0.500051", \ "0.030440,0.039706,0.051578,0.077862,0.135950,0.256959,0.500093"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & D & SE & !SI & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.087416,0.096777,0.105609,0.119675,0.142542,0.181674,0.253708", \ "0.092012,0.101376,0.110202,0.124284,0.147144,0.186278,0.258306", \ "0.110213,0.119549,0.128376,0.142460,0.165337,0.204509,0.276519", \ "0.147231,0.156566,0.165397,0.179522,0.202451,0.241683,0.313716", \ "0.196998,0.207694,0.217475,0.232684,0.256444,0.296047,0.368091", \ "0.250335,0.262970,0.274073,0.291186,0.316926,0.358326,0.431541", \ "0.306497,0.321059,0.333891,0.353142,0.381333,0.424947,0.499629"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.015696,0.019324,0.023348,0.030819,0.045119,0.073875,0.133779", \ "0.015698,0.019325,0.023343,0.030815,0.045127,0.073878,0.133787", \ "0.015708,0.019348,0.023368,0.030817,0.045123,0.073867,0.133785", \ "0.016054,0.019619,0.023579,0.030983,0.045200,0.073912,0.133813", \ "0.020695,0.023715,0.027167,0.033792,0.047019,0.074771,0.134096", \ "0.026754,0.029750,0.032983,0.039151,0.051687,0.078263,0.135714", \ "0.033440,0.036706,0.039925,0.045763,0.057500,0.082723,0.138602"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & D & SE & SI & !SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.087463,0.096817,0.105647,0.119715,0.142566,0.181702,0.253710", \ "0.092041,0.101394,0.110230,0.124303,0.147153,0.186287,0.258298", \ "0.110253,0.119587,0.128402,0.142480,0.165344,0.204497,0.276507", \ "0.147284,0.156614,0.165432,0.179530,0.202453,0.241670,0.313702", \ "0.197078,0.207811,0.217680,0.232629,0.256391,0.295981,0.367961", \ "0.249965,0.262686,0.274207,0.291077,0.316837,0.358282,0.431480", \ "0.305530,0.320400,0.333892,0.353047,0.381219,0.424880,0.499562"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.071985,0.087282,0.104490,0.137296,0.201547,0.329041,0.583410", \ "0.076960,0.092271,0.109482,0.142292,0.206557,0.334064,0.588379", \ "0.091542,0.106790,0.123948,0.156705,0.220974,0.348529,0.602919", \ "0.115454,0.130903,0.148051,0.180647,0.244825,0.372401,0.626832", \ "0.142272,0.158376,0.175856,0.208612,0.272715,0.400162,0.654593", \ "0.168045,0.185305,0.203398,0.236326,0.300298,0.427746,0.682112", \ "0.189434,0.208511,0.227716,0.261504,0.325462,0.452510,0.706816"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.015680,0.019314,0.023333,0.030798,0.045103,0.073862,0.133720", \ "0.015679,0.019312,0.023335,0.030794,0.045097,0.073848,0.133733", \ "0.015691,0.019331,0.023352,0.030804,0.045103,0.073851,0.133712", \ "0.016035,0.019604,0.023570,0.030959,0.045197,0.073886,0.133751", \ "0.020659,0.023682,0.027129,0.033789,0.046999,0.074760,0.134031", \ "0.026770,0.029747,0.032938,0.039150,0.051685,0.078264,0.135671", \ "0.033535,0.036751,0.039888,0.045754,0.057497,0.082713,0.138555"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.019209,0.030204,0.044385,0.073912,0.134467,0.256236,0.499922", \ "0.019225,0.030206,0.044381,0.073904,0.134481,0.256229,0.499984", \ "0.019200,0.030206,0.044386,0.073912,0.134469,0.256245,0.499994", \ "0.020122,0.030836,0.044752,0.074009,0.134473,0.256212,0.499990", \ "0.022176,0.032507,0.046003,0.074738,0.134672,0.256255,0.499975", \ "0.025566,0.035302,0.048088,0.075832,0.135181,0.256425,0.500089", \ "0.030447,0.039715,0.051568,0.077857,0.135944,0.256930,0.500137"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & D & SE & SI & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.087440,0.096801,0.105628,0.119706,0.142580,0.181754,0.253781", \ "0.092031,0.101394,0.110224,0.124322,0.147184,0.186360,0.258391", \ "0.110235,0.119577,0.128403,0.142501,0.165382,0.204568,0.276603", \ "0.147278,0.156583,0.165407,0.179528,0.202478,0.241687,0.313745", \ "0.197096,0.207752,0.217530,0.232736,0.256503,0.296124,0.368244", \ "0.250237,0.262962,0.274331,0.291198,0.316959,0.358419,0.431547", \ "0.306191,0.321067,0.334117,0.353411,0.381590,0.425257,0.499899"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.015696,0.019323,0.023345,0.030809,0.045111,0.073859,0.133773", \ "0.015695,0.019325,0.023336,0.030797,0.045109,0.073860,0.133769", \ "0.015708,0.019343,0.023368,0.030810,0.045113,0.073862,0.133769", \ "0.016052,0.019615,0.023574,0.030966,0.045182,0.073897,0.133796", \ "0.020683,0.023706,0.027161,0.033780,0.047001,0.074755,0.134085", \ "0.026754,0.029751,0.032945,0.039151,0.051676,0.078250,0.135709", \ "0.033440,0.036704,0.039884,0.045718,0.057460,0.082693,0.138590"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & !D & !SE & !SI & !SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.087465,0.096821,0.105646,0.119716,0.142559,0.181691,0.253699", \ "0.092043,0.101402,0.110225,0.124305,0.147145,0.186282,0.258279", \ "0.110255,0.119589,0.128395,0.142483,0.165344,0.204502,0.276513", \ "0.147355,0.156645,0.165491,0.179535,0.202465,0.241667,0.313702", \ "0.197246,0.207927,0.217659,0.232725,0.256478,0.296061,0.368107", \ "0.250424,0.263083,0.274237,0.291085,0.316849,0.358323,0.431531", \ "0.306133,0.320780,0.333785,0.353071,0.381335,0.424926,0.499543"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.070527,0.085773,0.102959,0.135735,0.199947,0.327451,0.581746", \ "0.075543,0.090787,0.107973,0.140757,0.204975,0.332486,0.586846", \ "0.090319,0.105510,0.122643,0.155365,0.219590,0.347164,0.601546", \ "0.114522,0.129925,0.147073,0.179644,0.243780,0.371365,0.625834", \ "0.141549,0.157635,0.175100,0.207789,0.271880,0.399359,0.653824", \ "0.167236,0.184504,0.202561,0.235547,0.299462,0.426844,0.681149", \ "0.189147,0.208238,0.227438,0.261098,0.325051,0.452175,0.706450"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.015678,0.019308,0.023332,0.030796,0.045108,0.073853,0.133727", \ "0.015679,0.019308,0.023328,0.030794,0.045101,0.073856,0.133721", \ "0.015689,0.019331,0.023352,0.030800,0.045102,0.073858,0.133710", \ "0.016038,0.019603,0.023573,0.030950,0.045198,0.073890,0.133749", \ "0.020640,0.023666,0.027124,0.033785,0.046995,0.074760,0.134025", \ "0.026701,0.029690,0.032926,0.039141,0.051683,0.078253,0.135656", \ "0.033443,0.036687,0.039898,0.045747,0.057497,0.082708,0.138548"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.019009,0.030053,0.044265,0.073810,0.134373,0.256192,0.499958", \ "0.019002,0.030052,0.044260,0.073808,0.134376,0.256232,0.499990", \ "0.019003,0.030057,0.044271,0.073818,0.134375,0.256179,0.499922", \ "0.019978,0.030728,0.044659,0.073911,0.134400,0.256179,0.499924", \ "0.022089,0.032431,0.045936,0.074663,0.134627,0.256242,0.499942", \ "0.025577,0.035294,0.048064,0.075781,0.135126,0.256410,0.499966", \ "0.030432,0.039714,0.051556,0.077811,0.135873,0.256914,0.500236"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & !D & !SE & !SI & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.087454,0.096804,0.105635,0.119712,0.142590,0.181761,0.253746", \ "0.092044,0.101403,0.110241,0.124326,0.147200,0.186386,0.258366", \ "0.110255,0.119590,0.128417,0.142522,0.165393,0.204579,0.276576", \ "0.147327,0.156598,0.165427,0.179558,0.202469,0.241701,0.313704", \ "0.196959,0.207785,0.217589,0.232640,0.256478,0.296082,0.368132", \ "0.249803,0.262554,0.273919,0.290932,0.316891,0.358346,0.431511", \ "0.306060,0.320899,0.333697,0.353086,0.381277,0.424954,0.499412"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.015686,0.019320,0.023342,0.030814,0.045111,0.073852,0.133639", \ "0.015694,0.019320,0.023337,0.030805,0.045107,0.073859,0.133640", \ "0.015708,0.019340,0.023362,0.030813,0.045114,0.073861,0.133637", \ "0.016047,0.019612,0.023578,0.030958,0.045188,0.073894,0.133654", \ "0.020693,0.023704,0.027148,0.033793,0.047012,0.074763,0.133926", \ "0.026799,0.029787,0.032998,0.039177,0.051690,0.078260,0.135632", \ "0.033458,0.036710,0.039933,0.045758,0.057492,0.082685,0.138483"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & !D & !SE & SI & !SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.087465,0.096821,0.105646,0.119716,0.142559,0.181691,0.253699", \ "0.092043,0.101402,0.110225,0.124305,0.147145,0.186282,0.258279", \ "0.110255,0.119589,0.128395,0.142483,0.165344,0.204502,0.276513", \ "0.147355,0.156646,0.165491,0.179539,0.202465,0.241667,0.313702", \ "0.197246,0.207927,0.217659,0.232725,0.256478,0.296061,0.368107", \ "0.250424,0.263083,0.274237,0.291085,0.316849,0.358323,0.431531", \ "0.306133,0.320780,0.333785,0.353071,0.381335,0.424926,0.499543"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.070527,0.085773,0.102959,0.135735,0.199947,0.327451,0.581746", \ "0.075543,0.090787,0.107973,0.140757,0.204975,0.332486,0.586846", \ "0.090319,0.105510,0.122643,0.155365,0.219590,0.347164,0.601546", \ "0.114522,0.129925,0.147073,0.179644,0.243780,0.371365,0.625834", \ "0.141549,0.157635,0.175100,0.207789,0.271880,0.399359,0.653824", \ "0.167236,0.184504,0.202561,0.235547,0.299462,0.426844,0.681149", \ "0.189147,0.208238,0.227438,0.261098,0.325051,0.452175,0.706450"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.015678,0.019308,0.023332,0.030796,0.045108,0.073853,0.133727", \ "0.015679,0.019308,0.023328,0.030794,0.045101,0.073856,0.133721", \ "0.015689,0.019331,0.023352,0.030800,0.045102,0.073858,0.133710", \ "0.016038,0.019605,0.023573,0.030948,0.045198,0.073890,0.133749", \ "0.020640,0.023666,0.027124,0.033785,0.046995,0.074760,0.134025", \ "0.026701,0.029690,0.032926,0.039141,0.051683,0.078253,0.135656", \ "0.033443,0.036687,0.039898,0.045747,0.057497,0.082708,0.138548"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.019009,0.030053,0.044265,0.073810,0.134373,0.256192,0.499958", \ "0.019002,0.030052,0.044260,0.073808,0.134376,0.256232,0.499990", \ "0.019003,0.030057,0.044271,0.073818,0.134375,0.256179,0.499922", \ "0.019978,0.030728,0.044659,0.073911,0.134400,0.256179,0.499924", \ "0.022089,0.032431,0.045936,0.074663,0.134627,0.256242,0.499942", \ "0.025577,0.035294,0.048064,0.075781,0.135126,0.256410,0.499945", \ "0.030432,0.039714,0.051556,0.077811,0.135873,0.256914,0.500236"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & !D & !SE & SI & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.087454,0.096804,0.105635,0.119712,0.142590,0.181760,0.253745", \ "0.092044,0.101403,0.110241,0.124326,0.147200,0.186378,0.258366", \ "0.110255,0.119590,0.128417,0.142522,0.165393,0.204579,0.276576", \ "0.147327,0.156598,0.165427,0.179548,0.202469,0.241701,0.313704", \ "0.196959,0.207785,0.217589,0.232640,0.256478,0.296082,0.368132", \ "0.249803,0.262554,0.273919,0.290932,0.316891,0.358346,0.431511", \ "0.306060,0.320899,0.333697,0.353086,0.381277,0.424954,0.499412"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.015686,0.019320,0.023342,0.030817,0.045110,0.073852,0.133638", \ "0.015694,0.019320,0.023337,0.030805,0.045107,0.073854,0.133640", \ "0.015708,0.019340,0.023362,0.030813,0.045114,0.073861,0.133637", \ "0.016047,0.019612,0.023578,0.030968,0.045188,0.073894,0.133654", \ "0.020693,0.023704,0.027148,0.033793,0.047012,0.074763,0.133926", \ "0.026799,0.029787,0.032998,0.039177,0.051690,0.078260,0.135632", \ "0.033458,0.036710,0.039933,0.045758,0.057492,0.082685,0.138483"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & !D & SE & !SI & !SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.087465,0.096821,0.105646,0.119716,0.142559,0.181691,0.253699", \ "0.092043,0.101402,0.110225,0.124305,0.147145,0.186282,0.258279", \ "0.110255,0.119589,0.128395,0.142483,0.165344,0.204502,0.276513", \ "0.147355,0.156645,0.165491,0.179539,0.202465,0.241667,0.313702", \ "0.197246,0.207927,0.217659,0.232725,0.256478,0.296061,0.368107", \ "0.250424,0.263083,0.274237,0.291085,0.316849,0.358323,0.431531", \ "0.306133,0.320780,0.333785,0.353071,0.381335,0.424926,0.499543"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.070527,0.085773,0.102959,0.135735,0.199947,0.327451,0.581746", \ "0.075543,0.090787,0.107973,0.140757,0.204975,0.332486,0.586846", \ "0.090319,0.105510,0.122643,0.155365,0.219590,0.347164,0.601546", \ "0.114522,0.129925,0.147073,0.179644,0.243780,0.371365,0.625834", \ "0.141549,0.157635,0.175100,0.207789,0.271880,0.399359,0.653824", \ "0.167236,0.184504,0.202561,0.235547,0.299462,0.426844,0.681149", \ "0.189147,0.208238,0.227438,0.261098,0.325051,0.452175,0.706450"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.015678,0.019308,0.023332,0.030796,0.045108,0.073853,0.133727", \ "0.015679,0.019308,0.023328,0.030794,0.045101,0.073856,0.133721", \ "0.015689,0.019331,0.023352,0.030800,0.045102,0.073858,0.133710", \ "0.016038,0.019603,0.023573,0.030948,0.045198,0.073890,0.133749", \ "0.020640,0.023666,0.027124,0.033785,0.046995,0.074760,0.134025", \ "0.026701,0.029690,0.032926,0.039141,0.051683,0.078253,0.135656", \ "0.033443,0.036687,0.039898,0.045747,0.057497,0.082708,0.138548"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.019009,0.030053,0.044265,0.073810,0.134373,0.256192,0.499958", \ "0.019002,0.030052,0.044260,0.073808,0.134376,0.256232,0.499990", \ "0.019003,0.030057,0.044271,0.073818,0.134375,0.256179,0.499922", \ "0.019978,0.030728,0.044659,0.073911,0.134400,0.256179,0.499924", \ "0.022089,0.032431,0.045936,0.074663,0.134627,0.256242,0.499942", \ "0.025577,0.035294,0.048064,0.075781,0.135126,0.256410,0.499945", \ "0.030432,0.039714,0.051556,0.077811,0.135873,0.256914,0.500236"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & !D & SE & !SI & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.087454,0.096804,0.105635,0.119712,0.142590,0.181760,0.253746", \ "0.092043,0.101403,0.110241,0.124326,0.147200,0.186386,0.258365", \ "0.110255,0.119590,0.128417,0.142522,0.165393,0.204579,0.276576", \ "0.147327,0.156598,0.165427,0.179546,0.202469,0.241701,0.313704", \ "0.196959,0.207785,0.217589,0.232640,0.256478,0.296082,0.368132", \ "0.249803,0.262554,0.273919,0.290932,0.316891,0.358346,0.431511", \ "0.306060,0.320899,0.333697,0.353086,0.381277,0.424954,0.499412"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.015686,0.019320,0.023342,0.030815,0.045111,0.073854,0.133641", \ "0.015693,0.019320,0.023337,0.030805,0.045107,0.073859,0.133642", \ "0.015708,0.019340,0.023362,0.030813,0.045114,0.073861,0.133637", \ "0.016047,0.019612,0.023578,0.030971,0.045188,0.073894,0.133654", \ "0.020693,0.023704,0.027148,0.033793,0.047012,0.074763,0.133926", \ "0.026799,0.029787,0.032998,0.039177,0.051690,0.078260,0.135632", \ "0.033458,0.036710,0.039933,0.045758,0.057492,0.082685,0.138483"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & !D & SE & SI & !SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.087470,0.096821,0.105646,0.119716,0.142559,0.181691,0.253699", \ "0.092043,0.101402,0.110225,0.124305,0.147145,0.186282,0.258279", \ "0.110255,0.119589,0.128395,0.142483,0.165344,0.204502,0.276513", \ "0.147355,0.156645,0.165491,0.179533,0.202465,0.241667,0.313702", \ "0.197246,0.207927,0.217659,0.232725,0.256478,0.296061,0.368107", \ "0.250424,0.263083,0.274237,0.291085,0.316849,0.358323,0.431531", \ "0.306133,0.320780,0.333785,0.353071,0.381335,0.424926,0.499543"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.070527,0.085773,0.102959,0.135735,0.199947,0.327452,0.581746", \ "0.075543,0.090787,0.107973,0.140757,0.204975,0.332486,0.586846", \ "0.090319,0.105510,0.122643,0.155365,0.219590,0.347164,0.601546", \ "0.114522,0.129925,0.147073,0.179644,0.243780,0.371365,0.625834", \ "0.141549,0.157635,0.175100,0.207789,0.271880,0.399360,0.653824", \ "0.167236,0.184504,0.202561,0.235547,0.299462,0.426844,0.681149", \ "0.189147,0.208238,0.227438,0.261098,0.325051,0.452175,0.706450"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.015678,0.019309,0.023332,0.030796,0.045107,0.073853,0.133727", \ "0.015679,0.019308,0.023328,0.030794,0.045101,0.073856,0.133721", \ "0.015689,0.019331,0.023352,0.030800,0.045102,0.073858,0.133710", \ "0.016037,0.019603,0.023573,0.030950,0.045198,0.073890,0.133749", \ "0.020640,0.023666,0.027124,0.033785,0.046995,0.074760,0.134025", \ "0.026701,0.029690,0.032926,0.039141,0.051683,0.078253,0.135656", \ "0.033443,0.036687,0.039898,0.045747,0.057497,0.082708,0.138548"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.019009,0.030053,0.044265,0.073810,0.134373,0.256190,0.499957", \ "0.019002,0.030052,0.044260,0.073808,0.134376,0.256233,0.499989", \ "0.019003,0.030057,0.044271,0.073818,0.134375,0.256179,0.499922", \ "0.019978,0.030728,0.044659,0.073911,0.134400,0.256179,0.499924", \ "0.022089,0.032431,0.045936,0.074663,0.134627,0.256242,0.499942", \ "0.025577,0.035294,0.048064,0.075781,0.135126,0.256410,0.499966", \ "0.030432,0.039714,0.051556,0.077811,0.135873,0.256914,0.500236"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & !D & SE & SI & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.087454,0.096805,0.105635,0.119712,0.142594,0.181761,0.253746", \ "0.092044,0.101403,0.110241,0.124326,0.147200,0.186386,0.258365", \ "0.110255,0.119590,0.128417,0.142522,0.165393,0.204580,0.276577", \ "0.147297,0.156598,0.165427,0.179546,0.202469,0.241701,0.313704", \ "0.196959,0.207785,0.217589,0.232640,0.256478,0.296082,0.368132", \ "0.249803,0.262554,0.273919,0.290932,0.316891,0.358346,0.431511", \ "0.306060,0.320899,0.333697,0.353086,0.381277,0.424954,0.499413"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.015686,0.019317,0.023342,0.030816,0.045108,0.073852,0.133641", \ "0.015694,0.019320,0.023337,0.030805,0.045107,0.073859,0.133642", \ "0.015708,0.019340,0.023362,0.030813,0.045114,0.073860,0.133637", \ "0.016050,0.019612,0.023578,0.030971,0.045188,0.073894,0.133654", \ "0.020693,0.023704,0.027148,0.033793,0.047012,0.074763,0.133925", \ "0.026799,0.029787,0.032998,0.039177,0.051690,0.078260,0.135632", \ "0.033458,0.036710,0.039933,0.045758,0.057492,0.082686,0.138482"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & D & !SE & !SI & !SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.087470,0.096821,0.105646,0.119716,0.142559,0.181691,0.253699", \ "0.092043,0.101402,0.110225,0.124305,0.147145,0.186282,0.258279", \ "0.110255,0.119589,0.128395,0.142483,0.165344,0.204502,0.276513", \ "0.147354,0.156645,0.165491,0.179535,0.202465,0.241667,0.313702", \ "0.197246,0.207927,0.217659,0.232725,0.256478,0.296061,0.368107", \ "0.250424,0.263083,0.274237,0.291085,0.316849,0.358323,0.431531", \ "0.306133,0.320780,0.333785,0.353071,0.381335,0.424926,0.499543"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.070527,0.085773,0.102959,0.135735,0.199947,0.327452,0.581746", \ "0.075543,0.090787,0.107973,0.140757,0.204975,0.332486,0.586846", \ "0.090319,0.105510,0.122643,0.155365,0.219590,0.347164,0.601546", \ "0.114522,0.129925,0.147073,0.179644,0.243780,0.371365,0.625834", \ "0.141549,0.157635,0.175100,0.207789,0.271880,0.399360,0.653824", \ "0.167236,0.184504,0.202561,0.235547,0.299462,0.426844,0.681149", \ "0.189147,0.208238,0.227438,0.261098,0.325051,0.452175,0.706450"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.015678,0.019309,0.023332,0.030796,0.045107,0.073853,0.133727", \ "0.015679,0.019308,0.023328,0.030794,0.045101,0.073856,0.133721", \ "0.015689,0.019331,0.023352,0.030800,0.045102,0.073858,0.133710", \ "0.016038,0.019603,0.023572,0.030950,0.045198,0.073890,0.133749", \ "0.020640,0.023666,0.027124,0.033785,0.046995,0.074760,0.134025", \ "0.026701,0.029690,0.032926,0.039141,0.051683,0.078253,0.135656", \ "0.033443,0.036687,0.039898,0.045747,0.057497,0.082708,0.138548"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.019009,0.030053,0.044265,0.073810,0.134373,0.256190,0.499957", \ "0.019002,0.030052,0.044260,0.073808,0.134376,0.256233,0.499989", \ "0.019003,0.030057,0.044271,0.073818,0.134375,0.256179,0.499922", \ "0.019978,0.030728,0.044659,0.073911,0.134400,0.256179,0.499924", \ "0.022089,0.032431,0.045936,0.074663,0.134627,0.256242,0.499942", \ "0.025577,0.035294,0.048064,0.075781,0.135126,0.256410,0.499945", \ "0.030432,0.039714,0.051556,0.077811,0.135873,0.256914,0.500236"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & D & !SE & !SI & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.087454,0.096805,0.105635,0.119712,0.142596,0.181760,0.253747", \ "0.092044,0.101403,0.110241,0.124326,0.147200,0.186386,0.258366", \ "0.110255,0.119590,0.128417,0.142522,0.165393,0.204580,0.276577", \ "0.147327,0.156598,0.165427,0.179552,0.202469,0.241701,0.313704", \ "0.196959,0.207785,0.217589,0.232640,0.256478,0.296082,0.368132", \ "0.249803,0.262554,0.273919,0.290932,0.316891,0.358346,0.431511", \ "0.306060,0.320899,0.333697,0.353086,0.381277,0.424954,0.499413"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.015686,0.019317,0.023342,0.030816,0.045109,0.073852,0.133640", \ "0.015694,0.019320,0.023337,0.030805,0.045107,0.073859,0.133640", \ "0.015708,0.019340,0.023362,0.030813,0.045114,0.073860,0.133637", \ "0.016047,0.019612,0.023578,0.030960,0.045188,0.073894,0.133654", \ "0.020693,0.023704,0.027148,0.033793,0.047012,0.074763,0.133925", \ "0.026799,0.029787,0.032998,0.039177,0.051690,0.078260,0.135632", \ "0.033458,0.036710,0.039933,0.045758,0.057492,0.082686,0.138482"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & D & !SE & SI & !SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.087470,0.096821,0.105646,0.119716,0.142559,0.181691,0.253699", \ "0.092043,0.101402,0.110225,0.124305,0.147145,0.186282,0.258279", \ "0.110255,0.119589,0.128395,0.142483,0.165344,0.204502,0.276513", \ "0.147354,0.156646,0.165491,0.179535,0.202465,0.241667,0.313702", \ "0.197246,0.207927,0.217659,0.232725,0.256478,0.296061,0.368107", \ "0.250424,0.263083,0.274237,0.291085,0.316849,0.358323,0.431531", \ "0.306133,0.320780,0.333785,0.353071,0.381335,0.424926,0.499543"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.070527,0.085773,0.102959,0.135735,0.199947,0.327452,0.581746", \ "0.075543,0.090787,0.107973,0.140757,0.204975,0.332486,0.586846", \ "0.090319,0.105510,0.122643,0.155365,0.219590,0.347164,0.601546", \ "0.114522,0.129925,0.147073,0.179644,0.243780,0.371365,0.625834", \ "0.141549,0.157635,0.175100,0.207789,0.271880,0.399360,0.653824", \ "0.167236,0.184504,0.202561,0.235547,0.299462,0.426844,0.681149", \ "0.189147,0.208238,0.227438,0.261098,0.325051,0.452175,0.706450"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.015678,0.019309,0.023332,0.030796,0.045107,0.073853,0.133727", \ "0.015679,0.019308,0.023328,0.030794,0.045101,0.073856,0.133721", \ "0.015689,0.019331,0.023352,0.030800,0.045102,0.073858,0.133710", \ "0.016038,0.019605,0.023573,0.030950,0.045198,0.073890,0.133749", \ "0.020640,0.023666,0.027124,0.033785,0.046995,0.074760,0.134025", \ "0.026701,0.029690,0.032926,0.039141,0.051683,0.078253,0.135656", \ "0.033443,0.036687,0.039898,0.045747,0.057497,0.082708,0.138548"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.019009,0.030053,0.044265,0.073810,0.134373,0.256190,0.499957", \ "0.019002,0.030052,0.044260,0.073808,0.134376,0.256233,0.499989", \ "0.019003,0.030057,0.044271,0.073818,0.134375,0.256179,0.499922", \ "0.019978,0.030728,0.044659,0.073911,0.134400,0.256179,0.499924", \ "0.022089,0.032431,0.045936,0.074663,0.134627,0.256242,0.499942", \ "0.025577,0.035294,0.048064,0.075781,0.135126,0.256410,0.499945", \ "0.030432,0.039714,0.051556,0.077811,0.135873,0.256914,0.500236"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & D & !SE & SI & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.087454,0.096805,0.105635,0.119711,0.142594,0.181760,0.253747", \ "0.092043,0.101403,0.110241,0.124326,0.147200,0.186386,0.258366", \ "0.110255,0.119590,0.128417,0.142522,0.165393,0.204580,0.276577", \ "0.147327,0.156598,0.165427,0.179548,0.202469,0.241701,0.313704", \ "0.196959,0.207785,0.217589,0.232640,0.256478,0.296082,0.368132", \ "0.249803,0.262554,0.273919,0.290932,0.316891,0.358346,0.431511", \ "0.306060,0.320899,0.333697,0.353086,0.381277,0.424954,0.499413"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.015686,0.019317,0.023342,0.030812,0.045108,0.073852,0.133640", \ "0.015693,0.019320,0.023337,0.030805,0.045107,0.073859,0.133640", \ "0.015708,0.019340,0.023362,0.030813,0.045114,0.073860,0.133637", \ "0.016047,0.019612,0.023578,0.030968,0.045188,0.073894,0.133654", \ "0.020693,0.023704,0.027148,0.033793,0.047012,0.074763,0.133925", \ "0.026799,0.029787,0.032998,0.039177,0.051690,0.078260,0.135632", \ "0.033458,0.036710,0.039933,0.045758,0.057492,0.082686,0.138482"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & D & SE & !SI & !SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.087465,0.096821,0.105646,0.119716,0.142559,0.181691,0.253699", \ "0.092043,0.101402,0.110225,0.124305,0.147145,0.186282,0.258279", \ "0.110255,0.119589,0.128395,0.142483,0.165344,0.204502,0.276513", \ "0.147355,0.156646,0.165491,0.179535,0.202465,0.241667,0.313702", \ "0.197246,0.207927,0.217659,0.232725,0.256478,0.296061,0.368107", \ "0.250424,0.263083,0.274237,0.291085,0.316849,0.358323,0.431531", \ "0.306133,0.320780,0.333785,0.353071,0.381335,0.424926,0.499543"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.070527,0.085773,0.102959,0.135735,0.199947,0.327451,0.581746", \ "0.075543,0.090787,0.107973,0.140757,0.204975,0.332486,0.586846", \ "0.090319,0.105510,0.122643,0.155365,0.219590,0.347164,0.601546", \ "0.114522,0.129925,0.147073,0.179644,0.243780,0.371365,0.625834", \ "0.141549,0.157635,0.175100,0.207789,0.271880,0.399359,0.653824", \ "0.167236,0.184504,0.202561,0.235547,0.299462,0.426844,0.681149", \ "0.189147,0.208238,0.227438,0.261098,0.325051,0.452175,0.706450"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.015678,0.019308,0.023332,0.030796,0.045108,0.073853,0.133727", \ "0.015679,0.019308,0.023328,0.030794,0.045101,0.073856,0.133721", \ "0.015689,0.019331,0.023352,0.030800,0.045102,0.073858,0.133710", \ "0.016037,0.019605,0.023572,0.030950,0.045198,0.073890,0.133749", \ "0.020640,0.023666,0.027124,0.033785,0.046995,0.074760,0.134025", \ "0.026701,0.029690,0.032926,0.039141,0.051683,0.078253,0.135656", \ "0.033443,0.036687,0.039898,0.045747,0.057497,0.082708,0.138548"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.019009,0.030053,0.044265,0.073810,0.134373,0.256192,0.499958", \ "0.019002,0.030052,0.044260,0.073808,0.134376,0.256232,0.499990", \ "0.019003,0.030057,0.044271,0.073818,0.134375,0.256179,0.499922", \ "0.019978,0.030728,0.044659,0.073911,0.134400,0.256179,0.499924", \ "0.022089,0.032431,0.045936,0.074663,0.134627,0.256242,0.499942", \ "0.025577,0.035294,0.048064,0.075781,0.135126,0.256410,0.499945", \ "0.030432,0.039714,0.051556,0.077811,0.135873,0.256914,0.500236"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & D & SE & !SI & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.087454,0.096804,0.105635,0.119710,0.142590,0.181780,0.253746", \ "0.092044,0.101403,0.110241,0.124326,0.147200,0.186386,0.258365", \ "0.110255,0.119590,0.128417,0.142522,0.165393,0.204579,0.276576", \ "0.147327,0.156598,0.165427,0.179546,0.202469,0.241701,0.313704", \ "0.196959,0.207785,0.217589,0.232640,0.256478,0.296082,0.368132", \ "0.249803,0.262554,0.273919,0.290932,0.316891,0.358346,0.431511", \ "0.306060,0.320899,0.333697,0.353086,0.381277,0.424954,0.499412"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.015686,0.019320,0.023342,0.030812,0.045111,0.073844,0.133641", \ "0.015694,0.019320,0.023337,0.030805,0.045107,0.073859,0.133642", \ "0.015708,0.019340,0.023362,0.030813,0.045114,0.073861,0.133637", \ "0.016047,0.019612,0.023578,0.030971,0.045188,0.073894,0.133654", \ "0.020693,0.023704,0.027148,0.033793,0.047012,0.074763,0.133926", \ "0.026799,0.029787,0.032998,0.039177,0.051690,0.078260,0.135632", \ "0.033458,0.036710,0.039933,0.045758,0.057492,0.082685,0.138483"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & D & SE & SI & !SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.087470,0.096821,0.105646,0.119716,0.142559,0.181691,0.253699", \ "0.092043,0.101402,0.110225,0.124305,0.147145,0.186282,0.258279", \ "0.110255,0.119589,0.128395,0.142483,0.165344,0.204502,0.276513", \ "0.147355,0.156645,0.165491,0.179539,0.202465,0.241667,0.313702", \ "0.197246,0.207927,0.217658,0.232725,0.256478,0.296061,0.368107", \ "0.250424,0.263083,0.274237,0.291085,0.316849,0.358323,0.431531", \ "0.306133,0.320780,0.333785,0.353071,0.381335,0.424926,0.499543"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.070527,0.085773,0.102959,0.135735,0.199947,0.327452,0.581746", \ "0.075543,0.090787,0.107973,0.140757,0.204975,0.332486,0.586846", \ "0.090319,0.105510,0.122643,0.155365,0.219590,0.347164,0.601546", \ "0.114522,0.129925,0.147073,0.179644,0.243780,0.371365,0.625834", \ "0.141549,0.157635,0.175100,0.207789,0.271880,0.399360,0.653824", \ "0.167236,0.184504,0.202561,0.235547,0.299462,0.426844,0.681149", \ "0.189147,0.208238,0.227438,0.261098,0.325051,0.452175,0.706450"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.015678,0.019309,0.023332,0.030796,0.045107,0.073853,0.133727", \ "0.015679,0.019308,0.023328,0.030794,0.045101,0.073856,0.133721", \ "0.015689,0.019331,0.023352,0.030800,0.045102,0.073858,0.133710", \ "0.016037,0.019603,0.023573,0.030948,0.045198,0.073890,0.133749", \ "0.020640,0.023666,0.027124,0.033785,0.046995,0.074760,0.134025", \ "0.026701,0.029690,0.032926,0.039141,0.051683,0.078253,0.135656", \ "0.033443,0.036687,0.039898,0.045747,0.057497,0.082708,0.138548"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.019009,0.030053,0.044265,0.073810,0.134373,0.256190,0.499957", \ "0.019002,0.030052,0.044260,0.073808,0.134376,0.256233,0.499989", \ "0.019003,0.030057,0.044271,0.073818,0.134375,0.256179,0.499922", \ "0.019978,0.030728,0.044659,0.073911,0.134400,0.256179,0.499924", \ "0.022089,0.032431,0.045936,0.074663,0.134627,0.256242,0.499942", \ "0.025577,0.035294,0.048064,0.075781,0.135126,0.256410,0.499945", \ "0.030432,0.039714,0.051556,0.077811,0.135873,0.256914,0.500236"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & D & SE & SI & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.087454,0.096805,0.105635,0.119710,0.142595,0.181760,0.253746", \ "0.092044,0.101403,0.110241,0.124326,0.147200,0.186378,0.258365", \ "0.110255,0.119590,0.128417,0.142522,0.165393,0.204580,0.276577", \ "0.147327,0.156598,0.165427,0.179558,0.202469,0.241701,0.313704", \ "0.196959,0.207785,0.217589,0.232640,0.256478,0.296082,0.368132", \ "0.249803,0.262554,0.273919,0.290932,0.316891,0.358346,0.431511", \ "0.306060,0.320899,0.333697,0.353086,0.381277,0.424954,0.499413"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.015686,0.019317,0.023342,0.030812,0.045109,0.073854,0.133641", \ "0.015694,0.019320,0.023337,0.030805,0.045107,0.073854,0.133642", \ "0.015708,0.019340,0.023362,0.030813,0.045114,0.073860,0.133637", \ "0.016047,0.019612,0.023578,0.030958,0.045188,0.073894,0.133654", \ "0.020693,0.023704,0.027148,0.033793,0.047012,0.074763,0.133925", \ "0.026799,0.029787,0.032998,0.039177,0.051690,0.078260,0.135632", \ "0.033458,0.036710,0.039933,0.045758,0.057492,0.082686,0.138482"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & !D & RN & !SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.203293,0.217307,0.232688,0.262662,0.324461,0.450480,0.704048", \ "0.207998,0.222004,0.237388,0.267376,0.329172,0.455186,0.708761", \ "0.226083,0.240093,0.255496,0.285466,0.347255,0.473270,0.726872", \ "0.262779,0.276789,0.292138,0.322137,0.383953,0.509992,0.763609", \ "0.319964,0.333796,0.348969,0.378886,0.440558,0.566538,0.820115", \ "0.390286,0.403643,0.418247,0.447525,0.508435,0.634053,0.887324", \ "0.465541,0.478531,0.492420,0.521147,0.581059,0.705821,0.957948"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.020980,0.031540,0.045349,0.074411,0.134566,0.256024,0.499506", \ "0.020979,0.031539,0.045348,0.074431,0.134568,0.256017,0.499487", \ "0.020983,0.031540,0.045351,0.074418,0.134555,0.256026,0.499481", \ "0.020997,0.031546,0.045351,0.074421,0.134550,0.256014,0.499503", \ "0.021089,0.031625,0.045412,0.074445,0.134559,0.256021,0.499482", \ "0.021834,0.032153,0.045778,0.074616,0.134610,0.256021,0.499479", \ "0.023024,0.033097,0.046434,0.074921,0.134748,0.256063,0.499499"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & !D & RN & !SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.203293,0.217307,0.232688,0.262661,0.324461,0.450480,0.704048", \ "0.207998,0.222004,0.237388,0.267376,0.329172,0.455186,0.708761", \ "0.226083,0.240093,0.255496,0.285466,0.347255,0.473270,0.726872", \ "0.262779,0.276789,0.292138,0.322137,0.383953,0.509992,0.763609", \ "0.319964,0.333796,0.348969,0.378886,0.440558,0.566538,0.820115", \ "0.390286,0.403643,0.418247,0.447525,0.508435,0.634053,0.887324", \ "0.465541,0.478531,0.492420,0.521147,0.581059,0.705821,0.957948"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.020980,0.031540,0.045349,0.074412,0.134566,0.256024,0.499506", \ "0.020979,0.031539,0.045348,0.074431,0.134568,0.256018,0.499487", \ "0.020983,0.031540,0.045351,0.074418,0.134555,0.256026,0.499481", \ "0.020997,0.031546,0.045351,0.074421,0.134550,0.256014,0.499503", \ "0.021089,0.031625,0.045412,0.074445,0.134559,0.256021,0.499482", \ "0.021834,0.032153,0.045778,0.074616,0.134610,0.256021,0.499479", \ "0.023024,0.033097,0.046434,0.074921,0.134748,0.256063,0.499499"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & !D & RN & SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.203293,0.217307,0.232688,0.262662,0.324461,0.450480,0.704048", \ "0.207998,0.222004,0.237388,0.267376,0.329172,0.455186,0.708761", \ "0.226083,0.240093,0.255496,0.285466,0.347255,0.473270,0.726872", \ "0.262779,0.276789,0.292138,0.322137,0.383953,0.509992,0.763608", \ "0.319964,0.333796,0.348969,0.378886,0.440558,0.566538,0.820115", \ "0.390286,0.403643,0.418247,0.447525,0.508435,0.634053,0.887324", \ "0.465541,0.478531,0.492420,0.521147,0.581059,0.705821,0.957948"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.020980,0.031540,0.045349,0.074411,0.134566,0.256024,0.499506", \ "0.020979,0.031539,0.045348,0.074431,0.134568,0.256018,0.499487", \ "0.020983,0.031540,0.045351,0.074418,0.134555,0.256026,0.499481", \ "0.020997,0.031546,0.045351,0.074421,0.134550,0.256014,0.499491", \ "0.021089,0.031625,0.045412,0.074445,0.134559,0.256021,0.499482", \ "0.021834,0.032153,0.045778,0.074616,0.134610,0.256021,0.499479", \ "0.023024,0.033097,0.046434,0.074921,0.134748,0.256063,0.499499"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & !D & RN & SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.203286,0.217297,0.232679,0.262666,0.324465,0.450481,0.704049", \ "0.207988,0.222000,0.237390,0.267371,0.329162,0.455188,0.708764", \ "0.226074,0.240085,0.255472,0.285440,0.347259,0.473273,0.726873", \ "0.262721,0.276744,0.292130,0.322120,0.383939,0.509989,0.763592", \ "0.319743,0.333604,0.349072,0.378672,0.440321,0.566258,0.819869", \ "0.390322,0.403570,0.418135,0.447167,0.508061,0.633474,0.886753", \ "0.465506,0.478494,0.492386,0.520286,0.580190,0.704998,0.957894"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.020980,0.031540,0.045349,0.074417,0.134567,0.256022,0.499504", \ "0.020974,0.031540,0.045351,0.074431,0.134554,0.256026,0.499506", \ "0.020983,0.031540,0.045351,0.074412,0.134554,0.256022,0.499484", \ "0.020997,0.031547,0.045360,0.074408,0.134561,0.256027,0.499486", \ "0.021095,0.031627,0.045411,0.074438,0.134558,0.256026,0.499503", \ "0.021838,0.032157,0.045781,0.074625,0.134624,0.256020,0.499479", \ "0.023025,0.033097,0.046431,0.074922,0.134756,0.256061,0.499483"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & D & RN & !SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.203286,0.217297,0.232679,0.262665,0.324465,0.450480,0.704049", \ "0.207988,0.222000,0.237390,0.267371,0.329162,0.455188,0.708764", \ "0.226074,0.240085,0.255472,0.285440,0.347259,0.473273,0.726873", \ "0.262721,0.276744,0.292130,0.322120,0.383939,0.509989,0.763592", \ "0.319744,0.333604,0.349072,0.378672,0.440321,0.566258,0.819869", \ "0.390322,0.403570,0.418135,0.447167,0.508061,0.633474,0.886753", \ "0.465506,0.478494,0.492386,0.520286,0.580190,0.704998,0.957894"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.020980,0.031540,0.045349,0.074419,0.134567,0.256022,0.499504", \ "0.020974,0.031540,0.045351,0.074431,0.134554,0.256026,0.499506", \ "0.020983,0.031540,0.045351,0.074412,0.134554,0.256022,0.499484", \ "0.020997,0.031547,0.045360,0.074408,0.134561,0.256027,0.499486", \ "0.021095,0.031627,0.045411,0.074438,0.134558,0.256026,0.499503", \ "0.021838,0.032157,0.045781,0.074625,0.134624,0.256020,0.499479", \ "0.023025,0.033097,0.046431,0.074922,0.134756,0.256061,0.499483"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & D & RN & !SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.203286,0.217297,0.232679,0.262665,0.324465,0.450479,0.704049", \ "0.207988,0.222000,0.237390,0.267371,0.329162,0.455188,0.708764", \ "0.226074,0.240085,0.255472,0.285440,0.347259,0.473273,0.726873", \ "0.262721,0.276744,0.292130,0.322120,0.383939,0.509989,0.763592", \ "0.319743,0.333604,0.349072,0.378672,0.440321,0.566258,0.819869", \ "0.390322,0.403570,0.418135,0.447168,0.508061,0.633474,0.886753", \ "0.465506,0.478494,0.492386,0.520286,0.580190,0.704998,0.957894"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.020980,0.031540,0.045349,0.074419,0.134567,0.256026,0.499504", \ "0.020974,0.031540,0.045351,0.074431,0.134554,0.256026,0.499506", \ "0.020983,0.031540,0.045351,0.074412,0.134554,0.256022,0.499484", \ "0.020997,0.031547,0.045360,0.074408,0.134561,0.256027,0.499486", \ "0.021095,0.031627,0.045411,0.074438,0.134558,0.256026,0.499503", \ "0.021838,0.032157,0.045781,0.074635,0.134624,0.256020,0.499479", \ "0.023025,0.033097,0.046431,0.074922,0.134756,0.256061,0.499483"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & D & RN & SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.203292,0.217307,0.232688,0.262662,0.324464,0.450480,0.704048", \ "0.207998,0.222004,0.237388,0.267376,0.329172,0.455186,0.708761", \ "0.226083,0.240093,0.255496,0.285466,0.347255,0.473270,0.726872", \ "0.262779,0.276789,0.292138,0.322137,0.383953,0.509992,0.763609", \ "0.319964,0.333796,0.348969,0.378886,0.440558,0.566538,0.820115", \ "0.390287,0.403643,0.418247,0.447525,0.508435,0.634053,0.887324", \ "0.465541,0.478531,0.492420,0.521147,0.581059,0.705821,0.957948"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.020980,0.031540,0.045349,0.074411,0.134566,0.256024,0.499506", \ "0.020979,0.031539,0.045348,0.074431,0.134568,0.256017,0.499487", \ "0.020983,0.031540,0.045351,0.074418,0.134555,0.256026,0.499481", \ "0.020997,0.031546,0.045351,0.074421,0.134550,0.256014,0.499503", \ "0.021089,0.031625,0.045412,0.074445,0.134559,0.256021,0.499482", \ "0.021834,0.032153,0.045778,0.074616,0.134610,0.256021,0.499479", \ "0.023024,0.033097,0.046434,0.074921,0.134748,0.256063,0.499499"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & D & RN & SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.203286,0.217297,0.232679,0.262665,0.324465,0.450480,0.704050", \ "0.207988,0.222000,0.237390,0.267371,0.329162,0.455188,0.708764", \ "0.226074,0.240085,0.255472,0.285440,0.347259,0.473273,0.726873", \ "0.262721,0.276744,0.292130,0.322120,0.383939,0.509989,0.763592", \ "0.319744,0.333604,0.349072,0.378672,0.440313,0.566258,0.819869", \ "0.390322,0.403570,0.418135,0.447167,0.508061,0.633474,0.886753", \ "0.465506,0.478494,0.492386,0.520286,0.580190,0.704998,0.957894"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.020980,0.031540,0.045349,0.074419,0.134567,0.256022,0.499504", \ "0.020974,0.031540,0.045351,0.074431,0.134554,0.256026,0.499506", \ "0.020983,0.031540,0.045351,0.074412,0.134554,0.256022,0.499484", \ "0.020997,0.031547,0.045360,0.074408,0.134561,0.256027,0.499485", \ "0.021095,0.031627,0.045411,0.074438,0.134572,0.256026,0.499503", \ "0.021838,0.032157,0.045781,0.074625,0.134624,0.256020,0.499479", \ "0.023025,0.033097,0.046431,0.074922,0.134756,0.256061,0.499483"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & !D & RN & !SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.202802,0.216742,0.232105,0.262089,0.323921,0.449978,0.703617", \ "0.207509,0.221445,0.236809,0.266804,0.328630,0.454690,0.708326", \ "0.225596,0.239527,0.254891,0.284886,0.346711,0.472770,0.726400", \ "0.262236,0.276210,0.291514,0.321519,0.383365,0.509437,0.763072", \ "0.319364,0.333131,0.348506,0.378151,0.439907,0.565879,0.819456", \ "0.389868,0.403122,0.417768,0.446806,0.507747,0.633204,0.886512", \ "0.465513,0.478302,0.492243,0.519803,0.579680,0.704560,0.957984"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.020864,0.031449,0.045281,0.074361,0.134506,0.256048,0.499469", \ "0.020861,0.031451,0.045282,0.074368,0.134516,0.256022,0.499492", \ "0.020862,0.031452,0.045283,0.074378,0.134520,0.256035,0.499502", \ "0.020871,0.031460,0.045288,0.074372,0.134504,0.256040,0.499480", \ "0.020965,0.031537,0.045348,0.074379,0.134534,0.256035,0.499476", \ "0.021690,0.032042,0.045695,0.074574,0.134587,0.256033,0.499479", \ "0.022803,0.032923,0.046301,0.074836,0.134698,0.256091,0.499509"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & !D & RN & !SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.202802,0.216742,0.232105,0.262089,0.323921,0.449978,0.703617", \ "0.207509,0.221445,0.236809,0.266804,0.328630,0.454690,0.708326", \ "0.225595,0.239527,0.254891,0.284886,0.346711,0.472770,0.726400", \ "0.262235,0.276210,0.291514,0.321519,0.383365,0.509437,0.763072", \ "0.319364,0.333131,0.348506,0.378131,0.439907,0.565879,0.819456", \ "0.389868,0.403122,0.417768,0.446806,0.507747,0.633204,0.886512", \ "0.465513,0.478302,0.492243,0.519803,0.579680,0.704560,0.957984"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.020864,0.031449,0.045281,0.074361,0.134507,0.256048,0.499470", \ "0.020861,0.031451,0.045282,0.074368,0.134516,0.256022,0.499492", \ "0.020862,0.031452,0.045283,0.074378,0.134520,0.256035,0.499502", \ "0.020872,0.031460,0.045288,0.074372,0.134504,0.256040,0.499480", \ "0.020965,0.031537,0.045348,0.074378,0.134534,0.256035,0.499476", \ "0.021690,0.032042,0.045695,0.074574,0.134587,0.256033,0.499479", \ "0.022803,0.032923,0.046301,0.074836,0.134698,0.256091,0.499509"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & !D & RN & SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.202802,0.216742,0.232105,0.262089,0.323921,0.449978,0.703617", \ "0.207509,0.221445,0.236809,0.266804,0.328630,0.454690,0.708322", \ "0.225595,0.239527,0.254891,0.284886,0.346711,0.472770,0.726400", \ "0.262236,0.276210,0.291509,0.321519,0.383365,0.509437,0.763072", \ "0.319364,0.333131,0.348506,0.378131,0.439907,0.565879,0.819456", \ "0.389868,0.403122,0.417768,0.446806,0.507747,0.633204,0.886512", \ "0.465513,0.478302,0.492243,0.519803,0.579680,0.704560,0.957984"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.020864,0.031449,0.045281,0.074361,0.134507,0.256048,0.499470", \ "0.020861,0.031451,0.045282,0.074368,0.134516,0.256022,0.499455", \ "0.020862,0.031452,0.045283,0.074378,0.134520,0.256035,0.499502", \ "0.020871,0.031460,0.045287,0.074372,0.134504,0.256040,0.499480", \ "0.020965,0.031537,0.045348,0.074378,0.134534,0.256035,0.499476", \ "0.021690,0.032042,0.045695,0.074574,0.134587,0.256033,0.499479", \ "0.022803,0.032923,0.046301,0.074836,0.134698,0.256091,0.499509"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & !D & RN & SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.202802,0.216742,0.232105,0.262089,0.323919,0.449978,0.703617", \ "0.207509,0.221446,0.236809,0.266804,0.328630,0.454690,0.708326", \ "0.225594,0.239527,0.254891,0.284886,0.346711,0.472770,0.726400", \ "0.262235,0.276194,0.291509,0.321519,0.383365,0.509437,0.763072", \ "0.319364,0.333131,0.348506,0.378151,0.439907,0.565879,0.819456", \ "0.389864,0.403122,0.417768,0.446806,0.507747,0.633204,0.886510", \ "0.465512,0.478301,0.492242,0.519803,0.579680,0.704560,0.957983"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.020864,0.031449,0.045281,0.074361,0.134505,0.256048,0.499469", \ "0.020861,0.031451,0.045282,0.074368,0.134516,0.256022,0.499489", \ "0.020864,0.031452,0.045283,0.074378,0.134520,0.256035,0.499488", \ "0.020872,0.031460,0.045287,0.074372,0.134504,0.256040,0.499480", \ "0.020965,0.031537,0.045348,0.074379,0.134534,0.256035,0.499476", \ "0.021684,0.032042,0.045695,0.074574,0.134587,0.256033,0.499479", \ "0.022804,0.032923,0.046301,0.074836,0.134698,0.256091,0.499509"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & D & RN & !SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.202802,0.216742,0.232105,0.262089,0.323919,0.449978,0.703617", \ "0.207509,0.221444,0.236809,0.266804,0.328630,0.454690,0.708322", \ "0.225594,0.239527,0.254891,0.284886,0.346711,0.472770,0.726400", \ "0.262235,0.276189,0.291514,0.321519,0.383365,0.509437,0.763072", \ "0.319364,0.333131,0.348506,0.378151,0.439907,0.565879,0.819456", \ "0.389864,0.403122,0.417768,0.446806,0.507747,0.633204,0.886510", \ "0.465511,0.478301,0.492242,0.519803,0.579680,0.704560,0.957983"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.020864,0.031449,0.045281,0.074361,0.134505,0.256048,0.499469", \ "0.020861,0.031451,0.045282,0.074368,0.134516,0.256022,0.499500", \ "0.020864,0.031452,0.045283,0.074378,0.134520,0.256035,0.499488", \ "0.020872,0.031461,0.045288,0.074372,0.134504,0.256040,0.499480", \ "0.020965,0.031537,0.045348,0.074379,0.134534,0.256035,0.499476", \ "0.021684,0.032042,0.045695,0.074574,0.134587,0.256033,0.499479", \ "0.022804,0.032923,0.046301,0.074836,0.134698,0.256091,0.499509"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & D & RN & !SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.202802,0.216742,0.232105,0.262089,0.323919,0.449978,0.703617", \ "0.207509,0.221444,0.236809,0.266804,0.328630,0.454690,0.708326", \ "0.225594,0.239527,0.254891,0.284886,0.346711,0.472770,0.726400", \ "0.262236,0.276194,0.291514,0.321519,0.383365,0.509437,0.763072", \ "0.319364,0.333131,0.348506,0.378151,0.439907,0.565879,0.819456", \ "0.389864,0.403122,0.417768,0.446806,0.507747,0.633204,0.886510", \ "0.465511,0.478301,0.492242,0.519803,0.579680,0.704560,0.957983"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.020864,0.031449,0.045281,0.074361,0.134505,0.256048,0.499469", \ "0.020861,0.031451,0.045282,0.074368,0.134516,0.256022,0.499489", \ "0.020864,0.031452,0.045283,0.074378,0.134520,0.256035,0.499488", \ "0.020871,0.031460,0.045288,0.074372,0.134504,0.256040,0.499480", \ "0.020965,0.031537,0.045348,0.074379,0.134534,0.256035,0.499476", \ "0.021684,0.032042,0.045695,0.074574,0.134587,0.256033,0.499479", \ "0.022804,0.032923,0.046301,0.074836,0.134698,0.256091,0.499509"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & D & RN & SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.202802,0.216742,0.232105,0.262089,0.323918,0.449978,0.703617", \ "0.207509,0.221445,0.236809,0.266804,0.328630,0.454690,0.708322", \ "0.225595,0.239527,0.254891,0.284886,0.346711,0.472770,0.726400", \ "0.262236,0.276210,0.291514,0.321519,0.383365,0.509437,0.763072", \ "0.319364,0.333131,0.348506,0.378131,0.439907,0.565879,0.819456", \ "0.389868,0.403122,0.417768,0.446806,0.507747,0.633204,0.886512", \ "0.465513,0.478302,0.492243,0.519803,0.579680,0.704560,0.957984"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.020864,0.031449,0.045281,0.074360,0.134506,0.256048,0.499470", \ "0.020861,0.031451,0.045282,0.074368,0.134516,0.256022,0.499455", \ "0.020862,0.031452,0.045283,0.074378,0.134520,0.256035,0.499502", \ "0.020871,0.031460,0.045288,0.074372,0.134504,0.256040,0.499480", \ "0.020965,0.031537,0.045348,0.074378,0.134534,0.256035,0.499476", \ "0.021690,0.032042,0.045695,0.074574,0.134587,0.256033,0.499479", \ "0.022803,0.032923,0.046301,0.074836,0.134698,0.256091,0.499509"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & D & RN & SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.202802,0.216742,0.232105,0.262089,0.323921,0.449978,0.703617", \ "0.207509,0.221446,0.236809,0.266804,0.328630,0.454690,0.708326", \ "0.225594,0.239527,0.254891,0.284886,0.346711,0.472770,0.726400", \ "0.262235,0.276189,0.291509,0.321519,0.383365,0.509437,0.763072", \ "0.319364,0.333131,0.348506,0.378151,0.439907,0.565879,0.819456", \ "0.389864,0.403122,0.417768,0.446806,0.507747,0.633204,0.886510", \ "0.465512,0.478301,0.492242,0.519803,0.579680,0.704560,0.957983"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.020864,0.031449,0.045281,0.074360,0.134506,0.256048,0.499469", \ "0.020861,0.031451,0.045282,0.074368,0.134516,0.256022,0.499489", \ "0.020864,0.031452,0.045283,0.074378,0.134520,0.256035,0.499488", \ "0.020872,0.031461,0.045287,0.074372,0.134504,0.256040,0.499480", \ "0.020965,0.031537,0.045348,0.074379,0.134534,0.256035,0.499476", \ "0.021684,0.032042,0.045695,0.074574,0.134587,0.256033,0.499479", \ "0.022804,0.032923,0.046301,0.074836,0.134698,0.256091,0.499509"); } } internal_power () { related_pin : "CK"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("4.171487,4.233866,4.276209,4.452217,4.516079,4.539112,4.558066", \ "4.163280,4.228727,4.269446,4.442556,4.514300,4.539555,4.533593", \ "4.141071,4.207705,4.249730,4.424964,4.474826,4.519013,4.512684", \ "4.134666,4.199073,4.239616,4.414842,4.477675,4.502195,4.510642", \ "4.159024,4.222851,4.260579,4.436421,4.498620,4.531937,4.519356", \ "4.212223,4.278977,4.321586,4.495987,4.543839,4.586596,4.605401", \ "4.338793,4.399750,4.443254,4.614579,4.669643,4.693952,4.707855"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("4.231473,4.297990,4.340152,4.381494,4.414370,4.434614,4.437120", \ "4.226627,4.290338,4.335671,4.376502,4.403314,4.424913,4.435167", \ "4.209677,4.274060,4.314638,4.357581,4.361296,4.403573,4.417153", \ "4.204489,4.270777,4.310253,4.350016,4.364494,4.407015,4.415496", \ "4.232368,4.298966,4.339457,4.379555,4.405523,4.420276,4.435195", \ "4.297814,4.362825,4.401334,4.446203,4.475551,4.495655,4.504596", \ "4.412625,4.475306,4.517109,4.554484,4.573675,4.606480,4.613525"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & !SE & !SI & !SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("2.972841,3.135010,3.261772,3.417488,3.581301,3.767394,4.050537", \ "2.951543,3.112508,3.235518,3.381211,3.563864,3.751187,4.025308", \ "2.914344,3.075205,3.200206,3.365123,3.536251,3.715379,3.990832", \ "2.952559,3.095192,3.205918,3.373013,3.547350,3.741866,4.017256", \ "2.959184,3.110460,3.263943,3.435410,3.608485,3.814417,4.091750", \ "3.003149,3.116096,3.241523,3.424481,3.676181,3.941614,4.227064", \ "3.173783,3.242130,3.343178,3.507175,3.728736,4.017882,4.399169"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("1.784003,2.056707,2.262797,2.429436,2.511806,2.521100,2.565928", \ "1.783127,2.045478,2.260386,2.443979,2.483011,2.561426,2.535806", \ "1.745176,2.019805,2.222128,2.383481,2.476645,2.475020,2.522168", \ "1.743722,1.991907,2.189365,2.342548,2.434348,2.451454,2.501588", \ "1.808866,2.026881,2.179513,2.336513,2.421668,2.443187,2.499976", \ "1.912120,2.110280,2.283045,2.389791,2.438869,2.483707,2.523542", \ "2.034657,2.254212,2.419886,2.566471,2.597139,2.625135,2.615586"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & !SE & !SI & SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("3.301639,3.421207,3.483682,3.543864,3.585787,3.629872,3.657685", \ "3.289157,3.408487,3.476683,3.523589,3.580995,3.624471,3.618197", \ "3.277378,3.391544,3.466495,3.521314,3.556514,3.602309,3.628857", \ "3.289190,3.403323,3.469387,3.518701,3.572695,3.619632,3.614717", \ "3.345817,3.451114,3.511014,3.549764,3.605922,3.651151,3.681577", \ "3.440018,3.537438,3.589816,3.634657,3.665813,3.725997,3.744972", \ "3.600477,3.674493,3.716663,3.740493,3.801271,3.809557,3.841165"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & !SE & SI & !SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("2.972862,3.135014,3.261774,3.417487,3.581294,3.767380,4.050531", \ "2.951544,3.112511,3.235519,3.381205,3.563864,3.751185,4.025304", \ "2.914342,3.075205,3.200204,3.365125,3.536251,3.715365,3.990811", \ "2.952561,3.095194,3.205937,3.373014,3.547350,3.741865,4.017247", \ "2.959187,3.110461,3.263944,3.435410,3.608478,3.814413,4.091735", \ "3.003152,3.116098,3.241525,3.424481,3.676183,3.941615,4.227058", \ "3.173785,3.242128,3.343176,3.507175,3.728733,4.017883,4.399161"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("1.784001,2.056708,2.262793,2.429425,2.511796,2.521081,2.565919", \ "1.783129,2.045479,2.260387,2.443978,2.482996,2.561425,2.535791", \ "1.745174,2.019806,2.222127,2.383473,2.476640,2.475002,2.522157", \ "1.743722,1.991906,2.189363,2.342540,2.434343,2.451440,2.501583", \ "1.808863,2.026881,2.179732,2.336434,2.421664,2.443175,2.499971", \ "1.912120,2.110278,2.283044,2.389781,2.438857,2.483696,2.523531", \ "2.034656,2.254213,2.419886,2.566464,2.597132,2.625132,2.614236"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & !SE & SI & SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("3.300732,3.420292,3.482766,3.542947,3.585513,3.628992,3.654440", \ "3.288255,3.407581,3.475774,3.522682,3.580150,3.623568,3.617413", \ "3.276514,3.390833,3.465617,3.520437,3.555662,3.601415,3.628116", \ "3.288381,3.402504,3.468580,3.517875,3.571859,3.618785,3.614131", \ "3.345103,3.450390,3.510282,3.549092,3.605153,3.650336,3.680876", \ "3.439462,3.537190,3.589190,3.633986,3.665132,3.725773,3.744332", \ "3.600026,3.674024,3.716132,3.739578,3.800686,3.809089,3.840519"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & SE & !SI & !SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("2.972838,3.135007,3.261769,3.417483,3.581303,3.767407,4.050543", \ "2.951539,3.112501,3.235511,3.381211,3.563859,3.751185,4.025313", \ "2.914342,3.075200,3.200200,3.365116,3.536245,3.715390,3.990854", \ "2.952554,3.095185,3.205936,3.373792,3.547345,3.741864,4.017264", \ "2.959178,3.110455,3.263938,3.435405,3.608489,3.814418,4.091765", \ "3.003144,3.116092,3.241517,3.424477,3.676176,3.941610,4.227069", \ "3.173780,3.242130,3.343177,3.507174,3.728737,4.017879,4.399177"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("1.783998,2.056699,2.262793,2.429443,2.511812,2.521117,2.565936", \ "1.783120,2.045470,2.260379,2.443976,2.483025,2.561426,2.535822", \ "1.745174,2.019797,2.222123,2.383487,2.476647,2.475039,2.522179", \ "1.743722,1.991904,2.189362,2.342554,2.434349,2.451467,2.501593", \ "1.808866,2.026878,2.179731,2.336441,2.421668,2.443198,2.499980", \ "1.912116,2.110280,2.283042,2.389799,2.438878,2.483716,2.523552", \ "2.034656,2.254207,2.419882,2.566476,2.597144,2.625135,2.615599"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & SE & !SI & SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("3.301089,3.420758,3.483195,3.543631,3.584868,3.629667,3.655096", \ "3.288551,3.408044,3.476182,3.523111,3.580566,3.624166,3.618127", \ "3.276884,3.391067,3.466039,3.520857,3.556131,3.602044,3.628784", \ "3.288717,3.402898,3.468941,3.518247,3.589900,3.619404,3.614803", \ "3.345408,3.450708,3.510628,3.549530,3.605588,3.650890,3.681582", \ "3.439799,3.535318,3.588844,3.634462,3.665621,3.726573,3.744995", \ "3.600278,3.674274,3.713441,3.739922,3.801086,3.809517,3.841222"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & SE & SI & !SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("3.952929,4.128465,4.253583,4.423206,4.586384,4.758740,5.037648", \ "3.898344,4.070381,4.184720,4.360718,4.527065,4.706396,4.973221", \ "3.854262,4.004286,4.141256,4.298717,4.468376,4.643063,4.917673", \ "3.904202,4.057298,4.179482,4.346367,4.518455,4.708764,4.983364", \ "3.987814,4.162887,4.322419,4.487431,4.667894,4.869012,5.144682", \ "4.164155,4.302346,4.432370,4.628321,4.874584,5.146336,5.429493", \ "4.522194,4.631878,4.746843,4.930204,5.160094,5.446217,5.827731"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("2.384314,2.662129,2.877706,3.061138,3.116056,3.166908,3.162772", \ "2.369660,2.630165,2.839769,3.024814,3.072054,3.144588,3.119920", \ "2.315269,2.592262,2.802441,2.979322,3.030794,3.037639,3.084892", \ "2.335276,2.583045,2.785335,2.950570,3.012814,3.024249,3.072590", \ "2.458209,2.683930,2.848181,2.990661,3.066868,3.080414,3.133956", \ "2.680308,2.903755,3.072364,3.214616,3.220381,3.228101,3.288655", \ "2.986029,3.219654,3.397977,3.550473,3.588390,3.606829,3.589099"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & SE & SI & SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("3.824188,3.943914,4.006326,4.066277,4.105977,4.148517,4.173752", \ "3.793764,3.912794,3.981255,4.040668,4.083065,4.124944,4.151297", \ "3.765540,3.882794,3.956656,4.011226,4.045577,4.088692,4.117599", \ "3.788549,3.902408,3.975334,4.023800,4.077773,4.121069,4.114148", \ "3.894460,3.992699,4.060894,4.098820,4.153514,4.198332,4.227975", \ "4.069774,4.160582,4.215707,4.259866,4.290051,4.333767,4.362667", \ "4.326424,4.408980,4.445845,4.474106,4.534780,4.541939,4.570830"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D & !SE & !SI & !SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("3.952912,4.128454,4.253589,4.420187,4.586313,4.758712,5.037636", \ "3.898329,4.070375,4.184708,4.360720,4.527082,4.706393,4.973203", \ "3.854250,4.004276,4.141252,4.298722,4.468376,4.643040,4.917646", \ "3.904189,4.057290,4.179474,4.346363,4.518455,4.708762,4.983360", \ "3.987802,4.162879,4.322405,4.487424,4.667882,4.869003,5.144655", \ "4.164144,4.302338,4.432362,4.628315,4.874575,5.146335,5.429482", \ "4.522182,4.631867,4.746831,4.930202,5.160092,5.446215,5.827715"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("2.384303,2.662118,2.877696,3.061129,3.116038,3.166900,3.162752", \ "2.369655,2.630152,2.839754,3.024800,3.072026,3.144583,3.119890", \ "2.315257,2.592253,2.802433,2.979317,3.030775,3.037608,3.084871", \ "2.335266,2.583031,2.785325,2.950564,3.012803,3.024223,3.072577", \ "2.458197,2.683921,2.848174,2.990645,3.066859,3.080391,3.133945", \ "2.680300,2.903749,3.072358,3.214613,3.220356,3.228065,3.288632", \ "2.986020,3.219648,3.397971,3.550456,3.588374,3.606823,3.589071"); } } internal_power () { related_pin : "RN"; when : "!CK & D & !SE & !SI & SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("3.825292,3.945017,4.007388,4.067270,4.107257,4.149625,4.174205", \ "3.794869,3.913965,3.982358,4.028081,4.084241,4.126105,4.152406", \ "3.766587,3.883839,3.957687,4.012470,4.046712,4.089864,4.115462", \ "3.789274,3.903402,3.976363,4.024869,4.078842,4.122137,4.115240", \ "3.895343,3.993592,4.061796,4.099770,4.154573,4.199392,4.228999", \ "4.070510,4.161334,4.216423,4.260675,4.290880,4.334792,4.363670", \ "4.326798,4.409581,4.446417,4.474849,4.535532,4.542761,4.571752"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D & !SE & SI & !SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("3.952917,4.128457,4.253592,4.420189,4.586316,4.758718,5.037639", \ "3.898334,4.070378,4.184712,4.360721,4.527082,4.706394,4.973207", \ "3.854254,4.004280,4.141255,4.298725,4.468379,4.643045,4.917652", \ "3.904194,4.057294,4.179478,4.346366,4.518457,4.708764,4.983361", \ "3.987807,4.162883,4.322417,4.487427,4.667886,4.869006,5.144661", \ "4.164149,4.302342,4.432366,4.628319,4.874579,5.146336,5.429485", \ "4.522186,4.631871,4.746836,4.930204,5.160094,5.446217,5.827718"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("2.384308,2.662121,2.877698,3.061131,3.116043,3.166901,3.162757", \ "2.369658,2.630155,2.839757,3.024803,3.072033,3.144585,3.119897", \ "2.315262,2.592255,2.802435,2.979318,3.030780,3.037615,3.084876", \ "2.335270,2.583035,2.785327,2.950565,3.012806,3.024229,3.072580", \ "2.458201,2.683924,2.848175,2.990648,3.066861,3.080397,3.133948", \ "2.680303,2.903751,3.072359,3.214614,3.220362,3.228073,3.288637", \ "2.986022,3.219650,3.397972,3.550460,3.588378,3.606825,3.587618"); } } internal_power () { related_pin : "RN"; when : "!CK & D & !SE & SI & SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("3.825300,3.945012,4.007309,4.067189,4.107342,4.149647,4.175076", \ "3.794873,3.914041,3.982389,4.046446,4.084242,4.126110,4.152421", \ "3.766592,3.883757,3.957696,4.012303,4.076124,4.089776,4.118741", \ "3.789279,3.903140,3.976363,4.024869,4.078859,4.122157,4.115252", \ "3.895346,3.993596,4.061799,4.099716,4.154585,4.199407,4.229019", \ "4.070514,4.161338,4.216426,4.260455,4.290899,4.334713,4.363680", \ "4.327003,4.409585,4.446419,4.474808,4.535545,4.542777,4.571760"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D & SE & !SI & !SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("2.972858,3.135007,3.261774,3.417481,3.581291,3.767388,4.050534", \ "2.951538,3.112502,3.235511,3.381202,3.563858,3.751182,4.025306", \ "2.914338,3.075198,3.200200,3.365116,3.536245,3.715372,3.990826", \ "2.952554,3.095186,3.205911,3.373792,3.547344,3.741862,4.017252", \ "2.959179,3.110454,3.263938,3.435404,3.608478,3.814412,4.091745", \ "3.003145,3.116092,3.241519,3.424476,3.676177,3.941611,4.227061", \ "3.173780,3.242126,3.343174,3.507171,3.728732,4.017879,4.399165"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("1.783995,2.056698,2.262789,2.429429,2.511799,2.521092,2.565923", \ "1.783121,2.045470,2.260380,2.443975,2.483004,2.561423,2.535801", \ "1.745170,2.019798,2.222122,2.383475,2.476640,2.475014,2.522164", \ "1.743720,1.991901,2.189359,2.342542,2.434342,2.451448,2.501585", \ "1.808862,2.026877,2.179730,2.336508,2.421662,2.443182,2.499973", \ "1.912116,2.110275,2.283040,2.389785,2.438861,2.483702,2.523537", \ "2.034653,2.254208,2.419881,2.566466,2.597134,2.625131,2.614244"); } } internal_power () { related_pin : "RN"; when : "!CK & D & SE & !SI & SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("3.300046,3.419644,3.482138,3.542477,3.583437,3.628317,3.653585", \ "3.287512,3.406934,3.475114,3.521971,3.579334,3.622749,3.616616", \ "3.275847,3.390048,3.464958,3.519710,3.554879,3.600632,3.627295", \ "3.287705,3.401864,3.467875,3.517119,3.571115,3.618045,3.613329", \ "3.344444,3.449715,3.509608,3.548408,3.604427,3.649551,3.680143", \ "3.438919,3.534407,3.587938,3.633438,3.664468,3.725346,3.743620", \ "3.599480,3.673488,3.715759,3.739402,3.800109,3.808391,3.839844"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D & SE & SI & !SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("3.952934,4.128468,4.253586,4.423208,4.586389,4.758748,5.037652", \ "3.898348,4.070383,4.184724,4.360719,4.527065,4.706398,4.973226", \ "3.854265,4.004290,4.141258,4.298719,4.468378,4.643069,4.917680", \ "3.904206,4.057301,4.179449,4.346369,4.518457,4.708765,4.983365", \ "3.987818,4.162890,4.322421,4.487434,4.667898,4.869015,5.144689", \ "4.164159,4.302349,4.432374,4.628323,4.874587,5.146337,5.429497", \ "4.522198,4.631881,4.746847,4.930206,5.160095,5.446218,5.827735"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("2.384317,2.662131,2.877708,3.061140,3.116061,3.166910,3.162777", \ "2.369662,2.630167,2.839772,3.024817,3.072062,3.144589,3.119928", \ "2.315273,2.592264,2.802442,2.979324,3.030799,3.037648,3.084898", \ "2.335278,2.583048,2.785337,2.950572,3.012817,3.024255,3.072593", \ "2.458212,2.683932,2.848182,2.990665,3.066870,3.080420,3.133960", \ "2.680310,2.903756,3.072365,3.214617,3.220388,3.228110,3.288661", \ "2.986031,3.219655,3.397978,3.550478,3.588395,3.606831,3.589107"); } } internal_power () { related_pin : "RN"; when : "!CK & D & SE & SI & SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("3.825283,3.945154,4.015907,4.067149,4.107306,4.149673,4.174250", \ "3.794872,3.913959,3.982344,4.028477,4.084190,4.126114,4.152460", \ "3.766069,3.883741,3.957737,4.012496,4.046730,4.089908,4.118756", \ "3.789293,3.903406,3.976369,4.024863,4.078854,4.122171,4.115279", \ "3.895363,3.993618,4.061828,4.099658,4.154562,4.199405,4.229181", \ "4.070531,4.161358,4.216442,4.260452,4.290913,4.334849,4.363704", \ "4.326836,4.409620,4.446440,4.474865,4.535551,4.542824,4.571793"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D & !SE & !SI & !SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("3.733965,3.886352,3.984721,4.115618,4.210536,4.261079,4.272047", \ "3.679110,3.829663,3.938510,4.054578,4.153061,4.200842,4.211529", \ "3.638864,3.767729,3.880307,4.002460,4.097733,4.144185,4.161465", \ "3.685998,3.811595,3.919008,4.035142,4.146369,4.202983,4.218224", \ "3.765985,3.911362,4.051372,4.178842,4.297412,4.358038,4.381117", \ "3.951147,4.063512,4.167490,4.314013,4.485504,4.627752,4.656480", \ "4.296721,4.379119,4.459974,4.599917,4.766032,4.933368,5.064036"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("1.910665,1.993579,2.052171,2.086347,2.151662,2.160336,2.201595", \ "1.880653,1.973387,2.016764,2.050626,2.131166,2.115406,2.155751", \ "1.837028,1.911400,1.970239,2.001714,2.064247,2.076003,2.116150", \ "1.823805,1.899470,1.940513,1.986386,2.039958,2.055721,2.100476", \ "1.956284,2.008029,2.012372,2.039267,2.089786,2.109983,2.157612", \ "2.173179,2.254047,2.267931,2.246818,2.242623,2.260650,2.306506", \ "2.493198,2.601518,2.627972,2.631208,2.606852,2.621802,2.602659"); } } internal_power () { related_pin : "RN"; when : "CK & !D & !SE & !SI & SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("4.648837,4.702496,4.751321,4.809736,4.844294,4.900519,4.903706", \ "4.617499,4.663875,4.724815,4.771578,4.819328,4.841557,4.879009", \ "4.591264,4.642459,4.688128,4.808287,4.805026,4.840977,4.843971", \ "4.620576,4.659393,4.779697,4.821327,4.821631,4.864179,4.870779", \ "4.719375,4.808558,4.864301,4.843093,4.901163,4.943184,4.950983", \ "4.835643,4.912840,4.954822,4.981246,5.044855,5.068103,5.105498", \ "5.149778,5.208922,5.183193,5.221472,5.251787,5.288536,5.293214"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D & !SE & SI & !SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("3.733965,3.886354,3.984717,4.115617,4.210532,4.261076,4.272040", \ "3.679112,3.829665,3.938511,4.054577,4.153060,4.200840,4.211518", \ "3.638868,3.767729,3.880308,4.002460,4.097730,4.144170,4.161457", \ "3.686001,3.812403,3.919007,4.040479,4.146370,4.202981,4.218217", \ "3.765986,3.911362,4.051373,4.178839,4.297411,4.358029,4.381101", \ "3.951150,4.063514,4.167492,4.314013,4.485496,4.627751,4.656474", \ "4.296722,4.379120,4.459973,4.599916,4.766027,4.933368,5.064028"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("1.910665,1.993577,2.052171,2.086340,2.151659,2.160324,2.201590", \ "1.880653,1.973388,2.016761,2.050616,2.131165,2.115390,2.155742", \ "1.837029,1.911397,1.970240,2.001707,2.064244,2.075991,2.116145", \ "1.823804,1.899468,1.940511,1.986384,2.039959,2.055712,2.100473", \ "1.956285,2.008028,2.012370,2.039263,2.089786,2.109974,2.157610", \ "2.173178,2.254046,2.267929,2.246811,2.242614,2.260637,2.306329", \ "2.493199,2.601519,2.627967,2.631202,2.606845,2.621801,2.602645"); } } internal_power () { related_pin : "RN"; when : "CK & !D & !SE & SI & SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("4.648045,4.701658,4.750514,4.811986,4.843499,4.899651,4.902166", \ "4.616715,4.663079,4.724007,4.770777,4.818509,4.844377,4.878207", \ "4.590496,4.641698,4.687351,4.807422,4.804226,4.840179,4.843199", \ "4.623994,4.658606,4.778965,4.820612,4.820873,4.863403,4.870025", \ "4.718753,4.807921,4.863653,4.842426,4.900471,4.942471,4.950248", \ "4.835141,4.912242,4.954292,4.980745,5.044300,5.067577,5.104845", \ "5.149423,5.208549,5.185449,5.221049,5.251306,5.287989,5.292615"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D & SE & !SI & !SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("3.733946,3.886332,3.984708,4.115605,4.210537,4.261077,4.272055", \ "3.679091,3.829643,3.938491,4.054565,4.153052,4.200842,4.211540", \ "3.638844,3.767712,3.880290,4.002445,4.097727,4.144198,4.161473", \ "3.685981,3.811250,3.918994,4.040467,4.146361,4.202982,4.218234", \ "3.765972,3.911349,4.051359,4.178835,4.297404,4.358045,4.381135", \ "3.951135,4.063500,4.167479,4.314006,4.485508,4.627749,4.656487", \ "4.296713,4.379110,4.459969,4.599912,4.766032,4.933366,5.064045"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("1.910663,1.993579,2.052167,2.086351,2.151662,2.160346,2.201598", \ "1.880653,1.973383,2.016763,2.050633,2.131163,2.115421,2.155760", \ "1.837025,1.911401,1.970236,2.001717,2.064247,2.076014,2.116156", \ "1.823806,1.899470,1.940513,1.986384,2.039955,2.055728,2.100478", \ "1.956281,2.008028,2.012372,2.039267,2.089782,2.109991,2.157613", \ "2.173179,2.254044,2.267930,2.246822,2.242631,2.260663,2.306345", \ "2.493197,2.601514,2.627974,2.631211,2.606856,2.621800,2.602672"); } } internal_power () { related_pin : "RN"; when : "CK & !D & SE & !SI & SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("4.648424,4.697170,4.750847,4.809226,4.843742,4.900460,4.904066", \ "4.617070,4.663421,4.724326,4.770921,4.818745,4.840992,4.877537", \ "4.590873,4.641891,4.687693,4.808609,4.804492,4.840464,4.843492", \ "4.620240,4.658219,4.779278,4.820953,4.821148,4.863682,4.870309", \ "4.719104,4.808252,4.863969,4.842844,4.900721,4.942663,4.950575", \ "4.835464,4.912522,4.954630,4.980299,5.044045,5.066365,5.105109", \ "5.149671,5.208798,5.185499,5.221274,5.251532,5.288242,5.292866"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D & SE & SI & !SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("3.734032,3.886438,3.984808,4.115693,4.210299,4.261297,4.272202", \ "3.679188,3.829737,3.938584,4.054647,4.153029,4.200950,4.211688", \ "3.638943,3.767819,3.880390,4.002568,4.097847,4.144348,4.161636", \ "3.691247,3.811687,3.919107,4.034811,4.146401,4.203095,4.218366", \ "3.766092,3.911471,4.051475,4.178952,4.297520,4.358188,4.381311", \ "3.951149,4.063597,4.167599,4.314119,4.485638,4.627868,4.656637", \ "4.296807,4.379203,4.460110,4.600048,4.766178,4.933509,5.064220"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("1.910598,1.993495,2.052061,2.086239,2.151507,2.160196,2.201447", \ "1.880593,1.973306,2.016664,2.050528,2.131023,2.115260,2.155592", \ "1.837053,1.911317,1.970124,2.001596,2.064092,2.075850,2.115977", \ "1.823750,1.899394,1.940420,1.986266,2.039799,2.055567,2.100299", \ "1.956218,2.008004,2.012276,2.039166,2.089626,2.109830,2.157434", \ "2.173118,2.253963,2.267838,2.246719,2.242503,2.260538,2.306355", \ "2.493135,2.601422,2.627891,2.631110,2.606728,2.621638,2.602535"); } } internal_power () { related_pin : "RN"; when : "CK & !D & SE & SI & SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("4.647100,4.700472,4.749184,4.807194,4.841272,4.896319,4.898282", \ "4.615714,4.663074,4.722548,4.767116,4.816032,4.837712,4.872562", \ "4.591986,4.640529,4.686004,4.798341,4.801831,4.836824,4.838303", \ "4.617864,4.656825,4.777497,4.818799,4.818410,4.859966,4.865421", \ "4.717565,4.806596,4.862110,4.840576,4.897888,4.939116,4.945429", \ "4.833813,4.910808,4.952674,4.979586,5.045037,5.073123,5.099976", \ "5.147496,5.206949,5.185132,5.218944,5.248611,5.284416,5.288583"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D & !SE & !SI & !SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("3.734018,3.886430,3.984794,4.115688,4.210291,4.261293,4.272191", \ "3.679176,3.829730,3.938579,4.054640,4.153026,4.200946,4.211670", \ "3.638934,3.767808,3.880383,4.002564,4.097840,4.144324,4.161624", \ "3.686071,3.811675,3.919098,4.035232,4.146399,4.203092,4.218352", \ "3.766079,3.911459,4.051468,4.178942,4.297518,4.358172,4.381285", \ "3.951141,4.063591,4.167593,4.314112,4.485621,4.627867,4.656626", \ "4.296799,4.379197,4.460100,4.600041,4.766166,4.933507,5.064205"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("1.910593,1.993488,2.052058,2.086224,2.151500,2.160175,2.201437", \ "1.880586,1.973303,2.016656,2.050509,2.131019,2.115231,2.155575", \ "1.837049,1.911307,1.970122,2.001583,2.064084,2.075828,2.115965", \ "1.823744,1.899386,1.940413,1.986260,2.039797,2.055549,2.100292", \ "1.956215,2.007999,2.012269,2.039156,2.089624,2.109812,2.157427", \ "2.173112,2.253959,2.267831,2.246704,2.242484,2.260514,2.306171", \ "2.493130,2.601419,2.627880,2.631097,2.606714,2.621635,2.602510"); } } internal_power () { related_pin : "RN"; when : "CK & D & !SE & !SI & SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("4.648039,4.701428,4.750138,4.808200,4.842430,4.897438,4.899560", \ "4.616641,4.664020,4.723520,4.768093,4.817095,4.838753,4.874541", \ "4.592889,4.641446,4.686917,4.799320,4.802833,4.837831,4.839349", \ "4.621048,4.657686,4.778394,4.819795,4.819366,4.860942,4.866445", \ "4.718320,4.807364,4.862888,4.841410,4.898809,4.940067,4.946374", \ "4.834420,4.911433,4.953329,4.980269,5.045805,5.073938,5.100915", \ "5.147940,5.207418,5.185636,5.219518,5.249255,5.285129,5.289428"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D & !SE & SI & !SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("3.734023,3.886433,3.984798,4.115689,4.210293,4.261293,4.272193", \ "3.679180,3.829733,3.938580,4.054642,4.153027,4.200947,4.211674", \ "3.638938,3.767812,3.880385,4.002566,4.097841,4.144329,4.161626", \ "3.686076,3.812526,3.919100,4.035235,4.146400,4.203093,4.218355", \ "3.766084,3.911463,4.051471,4.178945,4.297518,4.358175,4.381290", \ "3.951145,4.063594,4.167596,4.314114,4.485625,4.627868,4.656629", \ "4.296802,4.379200,4.460103,4.600043,4.766169,4.933508,5.064208"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("1.910595,1.993490,2.052059,2.086228,2.151502,2.160179,2.201440", \ "1.880588,1.973304,2.016658,2.050514,2.131020,2.115238,2.155579", \ "1.837051,1.911310,1.970123,2.001586,2.064086,2.075833,2.115968", \ "1.823746,1.899389,1.940415,1.986261,2.039798,2.055554,2.100294", \ "1.956216,2.008000,2.012271,2.039159,2.089625,2.109816,2.157429", \ "2.173114,2.253961,2.267833,2.246708,2.242488,2.260519,2.306174", \ "2.493132,2.601420,2.627883,2.631101,2.606718,2.621636,2.602515"); } } internal_power () { related_pin : "RN"; when : "CK & D & !SE & SI & SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("4.648043,4.701432,4.750138,4.809243,4.842292,4.897241,4.899573", \ "4.616656,4.664027,4.723518,4.768110,4.817105,4.838771,4.874565", \ "4.592895,4.641448,4.686926,4.799332,4.802842,4.837850,4.839367", \ "4.621052,4.657691,4.778404,4.819733,4.819381,4.860960,4.866461", \ "4.718322,4.807368,4.862898,4.841424,4.898815,4.940077,4.946388", \ "4.834428,4.911512,4.953339,4.980281,5.045813,5.073950,5.100906", \ "5.147947,5.207423,5.185639,5.219521,5.249252,5.285136,5.289423"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D & SE & !SI & !SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("3.733944,3.886332,3.984702,4.115603,4.210531,4.261073,4.272045", \ "3.679091,3.829644,3.938492,4.054563,4.153051,4.200838,4.211526", \ "3.638846,3.767710,3.880290,4.002445,4.097723,4.144179,4.161463", \ "3.691152,3.812385,3.918993,4.035128,4.146361,4.202980,4.218223", \ "3.765971,3.911348,4.051359,4.178830,4.297404,4.358033,4.381114", \ "3.951136,4.063501,4.167480,4.314004,4.485496,4.627749,4.656479", \ "4.296712,4.379110,4.459965,4.599910,4.766025,4.933366,5.064034"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("1.910663,1.993575,2.052167,2.086342,2.151657,2.160331,2.201591", \ "1.880651,1.973384,2.016760,2.050620,2.131162,2.115400,2.155747", \ "1.837026,1.911397,1.970236,2.001709,2.064242,2.075998,2.116147", \ "1.823803,1.899467,1.940510,1.986381,2.039954,2.055716,2.100474", \ "1.956282,2.008026,2.012368,2.039262,2.089782,2.109978,2.157610", \ "2.173177,2.254044,2.267927,2.246812,2.242617,2.260645,2.306333", \ "2.493196,2.601515,2.627967,2.631202,2.606847,2.621799,2.602653"); } } internal_power () { related_pin : "RN"; when : "CK & D & SE & !SI & SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("4.647515,4.696227,4.749879,4.808172,4.842614,4.899608,4.901873", \ "4.616183,4.662486,4.723355,4.769897,4.817615,4.839725,4.876107", \ "4.589972,4.640954,4.686731,4.807569,4.803374,4.839206,4.842076", \ "4.619347,4.657298,4.778325,4.819936,4.820046,4.862443,4.868911", \ "4.718257,4.807376,4.863067,4.841826,4.899662,4.941475,4.949201", \ "4.834712,4.911739,4.953818,4.979444,5.043083,5.065279,5.103802", \ "5.149056,5.208151,5.182381,5.220526,5.250680,5.287234,5.291654"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D & SE & SI & !SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("3.734036,3.886441,3.984812,4.115695,4.210302,4.261298,4.272205", \ "3.679192,3.829739,3.938585,4.054648,4.153030,4.200951,4.211692", \ "3.638946,3.767822,3.880392,4.002569,4.097848,4.144354,4.161639", \ "3.691250,3.811690,3.919110,4.040558,4.146401,4.203096,4.218370", \ "3.766096,3.911474,4.051477,4.178955,4.297521,4.358192,4.381319", \ "3.951151,4.063599,4.167600,4.314121,4.485642,4.627869,4.656640", \ "4.296810,4.379205,4.460112,4.600051,4.766181,4.933510,5.064224"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("1.910599,1.993497,2.052062,2.086243,2.151509,2.160202,2.201450", \ "1.880595,1.973307,2.016666,2.050533,2.131024,2.115268,2.155597", \ "1.837054,1.911319,1.970125,2.001600,2.064095,2.075856,2.115980", \ "1.823752,1.899396,1.940423,1.986267,2.039800,2.055572,2.100301", \ "1.956219,2.008005,2.012278,2.039169,2.089627,2.109835,2.157436", \ "2.173120,2.253964,2.267840,2.246723,2.242508,2.260544,2.306191", \ "2.493137,2.601423,2.627895,2.631114,2.606732,2.621639,2.602542"); } } internal_power () { related_pin : "RN"; when : "CK & D & SE & SI & SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("4.648038,4.701427,4.750154,4.808147,4.845404,4.897951,4.899398", \ "4.616644,4.664020,4.723522,4.768098,4.817071,4.839598,4.873651", \ "4.592893,4.641452,4.686942,4.799289,4.802844,4.837866,4.839401", \ "4.621065,4.657702,4.778405,4.819637,4.819387,4.860978,4.866482", \ "4.718344,4.807395,4.862912,4.841424,4.898804,4.940079,4.946459", \ "4.834448,4.911465,4.953358,4.980315,5.045832,5.073994,5.100946", \ "5.147978,5.207456,5.185662,5.219534,5.249270,5.285175,5.289478"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & RN & !SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("2.950236,3.097875,3.228625,3.345502,3.409906,3.439045,3.445190", \ "2.939206,3.081678,3.218811,3.349063,3.402763,3.409199,3.403316", \ "2.928128,3.069656,3.194758,3.326669,3.383168,3.412353,3.419099", \ "2.934982,3.072392,3.202691,3.314067,3.367295,3.407266,3.406568", \ "2.986749,3.112568,3.226892,3.336441,3.391094,3.422683,3.431986", \ "3.072084,3.187573,3.294825,3.404154,3.453565,3.482914,3.493558", \ "3.161279,3.265097,3.390990,3.508578,3.556659,3.550539,3.564080"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & RN & !SE & SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("2.950231,3.097704,3.228441,3.345304,3.409665,3.438764,3.444878", \ "2.939046,3.081505,3.218625,3.348838,3.402524,3.408873,3.402987", \ "2.927968,3.069484,3.194575,3.326463,3.382940,3.412080,3.418795", \ "2.934826,3.072225,3.202513,3.313865,3.367073,3.406999,3.406267", \ "2.986605,3.112413,3.226727,3.336260,3.390875,3.422438,3.431680", \ "3.071966,3.187444,3.294680,3.403996,3.453381,3.482681,3.493285", \ "3.161197,3.265005,3.390889,3.508452,3.556516,3.550333,3.563828"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & RN & SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("2.952392,3.098291,3.229076,3.345991,3.410436,3.439619,3.445708", \ "2.939601,3.082101,3.219260,3.349548,3.403303,3.409687,3.403853", \ "2.928515,3.070067,3.195194,3.327147,3.383682,3.412903,3.419589", \ "2.935346,3.072778,3.203101,3.314520,3.367782,3.407786,3.406594", \ "2.987067,3.112907,3.227252,3.336833,3.391543,3.423143,3.432436", \ "3.072319,3.187829,3.295108,3.404472,3.453918,3.483315,3.493925", \ "3.161406,3.265245,3.391156,3.508788,3.556900,3.550854,3.564376"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & RN & SE & SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("2.885970,3.032752,3.163582,3.279728,3.343564,3.372782,3.379979", \ "2.876522,3.017949,3.154773,3.284475,3.337411,3.332720,3.373146", \ "2.864983,3.006513,3.131595,3.262844,3.318533,3.347404,3.355130", \ "2.870826,3.008562,3.135459,3.249720,3.301693,3.332284,3.341007", \ "2.920804,3.049365,3.162559,3.272116,3.325324,3.355669,3.366337", \ "3.013069,3.123797,3.231866,3.340984,3.389440,3.417786,3.428653", \ "3.099944,3.203672,3.329391,3.449184,3.494845,3.488659,3.499238"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D & RN & !SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("2.886174,3.032916,3.163767,3.279779,3.343816,3.373024,3.380261", \ "2.876675,3.018108,3.154944,3.284686,3.337634,3.332988,3.373396", \ "2.865131,3.006663,3.131764,3.263028,3.318785,3.347651,3.355413", \ "2.870965,3.008710,3.135617,3.249884,3.301929,3.332522,3.341277", \ "2.920928,3.049497,3.162710,3.272299,3.325506,3.355921,3.366562", \ "3.013173,3.123906,3.231972,3.341128,3.389634,3.417991,3.428898", \ "3.100004,3.203734,3.329475,3.449279,3.495014,3.488828,3.499454"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D & RN & !SE & SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("2.887145,3.033558,3.164462,3.280563,3.344715,3.374000,3.381377", \ "2.877275,3.018743,3.155623,3.285464,3.338508,3.334003,3.374500", \ "2.865719,3.007291,3.132440,3.263775,3.319670,3.348641,3.356511", \ "2.871538,3.009315,3.136263,3.250595,3.302777,3.333477,3.342348", \ "2.921452,3.050050,3.163308,3.272979,3.326273,3.356841,3.367590", \ "3.013600,3.124363,3.232463,3.346191,3.390330,3.418816,3.429870", \ "3.100281,3.204043,3.329832,3.449702,3.495575,3.489536,3.500338"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D & RN & SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("2.949169,3.097710,3.228459,3.345313,3.409704,3.438765,3.444785", \ "2.939063,3.081527,3.218649,3.348874,3.402548,3.408912,3.402913", \ "2.927986,3.069505,3.194596,3.326488,3.382942,3.412071,3.418688", \ "2.934842,3.072244,3.202533,3.313892,3.367072,3.406983,3.406150", \ "2.986616,3.112427,3.226738,3.336263,3.390882,3.422393,3.431566", \ "3.071964,3.187443,3.294685,3.403991,3.453352,3.482629,3.493128", \ "3.161180,3.264989,3.390866,3.508432,3.556459,3.550260,3.563649"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D & RN & SE & SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("2.886375,3.033414,3.164303,3.280368,3.344476,3.373777,3.381079", \ "2.877146,3.018608,3.155479,3.285272,3.338299,3.333730,3.374233", \ "2.865592,3.007155,3.132290,3.263608,3.319425,3.348384,3.356209", \ "2.871408,3.009180,3.136123,3.250442,3.302546,3.333237,3.342060", \ "2.921327,3.049924,3.163165,3.272800,3.325781,3.356576,3.367348", \ "3.013490,3.124250,3.232354,3.341554,3.390127,3.418598,3.429604", \ "3.100211,3.203970,3.329736,3.449599,3.495393,3.489356,3.500103"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D & RN & !SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("2.833250,2.922009,2.983654,3.091064,3.346088,4.577258,4.914972", \ "2.818229,2.911949,2.973407,3.094426,3.339504,4.571401,4.869813", \ "2.808189,2.899703,2.964540,3.071167,3.319774,4.547847,4.887702", \ "2.819091,2.900216,2.972806,3.062824,3.307946,4.536838,4.875817", \ "2.886767,2.952152,3.013740,3.098756,3.356158,4.584748,4.907569", \ "2.982148,3.049049,3.097223,3.180743,3.440634,4.664818,4.981119", \ "3.089220,3.141068,3.196712,3.291780,3.533282,4.719802,5.061803"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D & RN & !SE & SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("2.833092,2.921839,2.983470,3.090859,3.345851,4.576995,4.914643", \ "2.818071,2.911779,2.973222,3.094262,3.339270,4.571129,4.869490", \ "2.808032,2.899534,2.964360,3.070965,3.319541,4.547580,4.887401", \ "2.819036,2.900050,2.972630,3.062570,3.307729,4.536575,4.875520", \ "2.886625,2.951998,3.013578,3.099016,3.355945,4.584507,4.907267", \ "2.982031,3.048922,3.097081,3.180587,3.440456,4.664589,4.980854", \ "3.089122,3.140976,3.196612,3.291659,3.533144,4.719600,5.061557"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D & RN & SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("2.833633,2.922417,2.984089,3.091554,3.346592,4.577827,4.915459", \ "2.818612,2.912355,2.973840,3.094924,3.340022,4.571933,4.908679", \ "2.808562,2.900098,2.964960,3.071619,3.320264,4.548379,4.888179", \ "2.819446,2.900594,2.972852,3.063256,3.308415,4.537346,4.876272", \ "2.887077,2.952440,3.014085,3.099567,3.356592,4.585194,4.908008", \ "2.982381,3.049299,3.097500,3.181048,3.440976,4.665208,4.981474", \ "3.089330,3.141212,3.196875,3.291981,3.533512,4.720104,5.062092"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D & RN & SE & SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("2.833184,2.922376,2.984067,3.091943,3.346805,4.578832,4.918447", \ "2.818485,2.912257,2.973842,3.095417,3.340198,4.572994,4.876357", \ "2.808344,2.900093,2.965010,3.071587,3.320036,4.549522,4.889240", \ "2.819575,2.900054,2.973101,3.063574,3.308371,4.538654,4.879379", \ "2.887248,2.952842,3.014431,3.099639,3.357262,4.586730,4.911227", \ "2.982766,3.049853,3.098086,3.181793,3.441973,4.667026,4.984861", \ "3.082408,3.142582,3.197726,3.292999,3.534890,4.722281,5.065634"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D & RN & !SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("2.833330,2.922530,2.984235,3.092147,3.347033,4.579070,4.918735", \ "2.818626,2.911947,2.973997,3.095621,3.340396,4.573268,4.873992", \ "2.808482,2.900241,2.965174,3.071765,3.320242,4.549751,4.889516", \ "2.819702,2.902368,2.973228,3.063733,3.308559,4.538865,4.879644", \ "2.887364,2.952966,3.014575,3.099815,3.357425,4.586960,4.911448", \ "2.982866,3.049962,3.098191,3.181936,3.442150,4.667215,4.985093", \ "3.082444,3.142717,3.197810,3.293095,3.535046,4.722438,5.065839"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D & RN & !SE & SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("2.833921,2.923167,2.984915,3.092922,3.347911,4.580056,4.919811", \ "2.819211,2.912579,2.974661,3.096386,3.341253,4.574258,4.877654", \ "2.809063,2.900852,2.965840,3.072501,3.321113,4.550733,4.890583", \ "2.820164,2.900781,2.973833,3.064434,3.309398,4.539818,4.880707", \ "2.887877,2.953510,3.015170,3.100484,3.358181,4.587857,4.912468", \ "2.983284,3.050412,3.098672,3.182498,3.442835,4.668024,4.986061", \ "3.082737,3.143022,3.198164,3.293517,3.535605,4.723127,5.066721"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D & RN & SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("2.833108,2.921858,2.983490,3.090900,3.345858,4.576981,4.914548", \ "2.818089,2.911798,2.973247,3.094238,3.339286,4.571111,4.907764", \ "2.808051,2.899554,2.964378,3.070978,3.319546,4.547562,4.887290", \ "2.818954,2.900070,2.972647,3.062646,3.307725,4.536552,4.875400", \ "2.886636,2.952012,3.013581,3.099013,3.355947,4.584456,4.907149", \ "2.982030,3.048921,3.097084,3.180576,3.440422,4.664532,4.980689", \ "3.089105,3.140958,3.196588,3.291632,3.533081,4.719519,5.061371"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D & RN & SE & SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("2.833799,2.923034,2.984772,3.092431,3.347723,4.579845,4.919500", \ "2.819093,2.912910,2.974531,3.096213,3.341076,4.574001,4.877383", \ "2.808943,2.900731,2.965695,3.072339,3.320926,4.550487,4.890284", \ "2.820149,2.902830,2.973751,3.064229,3.309222,4.539590,4.880400", \ "2.887761,2.953386,3.015031,3.100308,3.358020,4.587615,4.912206", \ "2.983183,3.050304,3.098567,3.182353,3.442651,4.667822,4.985781", \ "3.082656,3.142850,3.198070,3.293411,3.535432,4.722966,5.066483"); } fall_power(scalar) {values ("0.0"); } } } pin (QN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 60.564480; function : "IQN"; timing () { related_pin : "CK"; timing_type : rising_edge; timing_sense : non_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.254007,0.266847,0.278260,0.295701,0.322790,0.366827,0.442368", \ "0.259219,0.272053,0.283473,0.300906,0.327999,0.372041,0.447557", \ "0.277096,0.289936,0.301351,0.318796,0.345868,0.389953,0.465451", \ "0.302034,0.314837,0.326256,0.343676,0.370788,0.414849,0.490335", \ "0.326752,0.339576,0.350849,0.368297,0.395308,0.439440,0.515020", \ "0.349602,0.362397,0.373292,0.390585,0.418235,0.462293,0.537748", \ "0.368340,0.381174,0.392473,0.410009,0.437077,0.481169,0.556656"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.246206,0.264745,0.283692,0.318119,0.383408,0.511574,0.766923", \ "0.251462,0.270014,0.288982,0.323393,0.388680,0.516857,0.772209", \ "0.269213,0.287754,0.306736,0.341147,0.406432,0.534620,0.789978", \ "0.293082,0.311636,0.330663,0.365117,0.430386,0.558624,0.813984", \ "0.316554,0.335123,0.354105,0.388500,0.453701,0.582069,0.837174", \ "0.337317,0.355890,0.374854,0.409425,0.474957,0.603232,0.858517", \ "0.354137,0.372492,0.391914,0.425793,0.491508,0.620188,0.875982"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.018291,0.022972,0.027714,0.036096,0.051491,0.080053,0.137207", \ "0.018293,0.022972,0.027713,0.036096,0.051491,0.080057,0.137186", \ "0.018296,0.022973,0.027708,0.036098,0.051489,0.080052,0.137193", \ "0.018294,0.022972,0.027709,0.036110,0.051483,0.080070,0.137199", \ "0.018294,0.022981,0.027710,0.036106,0.051488,0.080067,0.137209", \ "0.018294,0.022972,0.027707,0.036090,0.051488,0.080056,0.137192", \ "0.018295,0.022979,0.027715,0.036100,0.051486,0.080060,0.137171"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.021604,0.032425,0.046013,0.074399,0.133599,0.254787,0.499234", \ "0.021605,0.032425,0.046011,0.074400,0.133602,0.254787,0.499235", \ "0.021616,0.032434,0.046012,0.074403,0.133601,0.254789,0.499235", \ "0.021625,0.032445,0.046017,0.074407,0.133601,0.254787,0.499237", \ "0.021653,0.032458,0.046032,0.074415,0.133604,0.254790,0.499234", \ "0.021658,0.032472,0.046044,0.074414,0.133614,0.254789,0.499238", \ "0.021685,0.032493,0.046054,0.074430,0.133613,0.254785,0.499235"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & !D & !SE & !SI & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.604979,0.625625,0.646989,0.682464,0.747667,0.875843,1.132172", \ "0.608941,0.629626,0.651013,0.686428,0.751582,0.879929,1.136234", \ "0.627350,0.648097,0.669427,0.705921,0.770105,0.898405,1.154710", \ "0.660615,0.681201,0.704110,0.739009,0.803072,0.931583,1.187983", \ "0.707706,0.730202,0.751440,0.785123,0.850423,0.978840,1.235344", \ "0.770259,0.793397,0.814379,0.850034,0.915126,1.043242,1.299627", \ "0.856923,0.879330,0.899470,0.934771,0.999744,1.127817,1.384011"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.031257,0.040973,0.052195,0.077163,0.134172,0.255421,0.500095", \ "0.031263,0.040972,0.052195,0.077156,0.134168,0.255422,0.500081", \ "0.031261,0.040970,0.052194,0.077157,0.134184,0.255416,0.500013", \ "0.031262,0.040965,0.052192,0.077158,0.134166,0.255381,0.499941", \ "0.031246,0.040955,0.052190,0.077157,0.134166,0.255386,0.500062", \ "0.031231,0.040936,0.052178,0.077146,0.134163,0.255381,0.500093", \ "0.031244,0.040974,0.052196,0.077163,0.134155,0.255364,0.500097"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & !D & !SE & SI & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.604979,0.625625,0.646989,0.682464,0.747652,0.875848,1.132221", \ "0.608940,0.629626,0.651013,0.686428,0.751571,0.879932,1.136226", \ "0.627350,0.648098,0.669428,0.705920,0.770042,0.898408,1.154696", \ "0.660615,0.681201,0.704110,0.739008,0.803072,0.931584,1.187971", \ "0.707706,0.730201,0.751440,0.785110,0.850424,0.978846,1.235331", \ "0.770253,0.793393,0.814380,0.850037,0.915127,1.043230,1.299615", \ "0.856923,0.879330,0.899519,0.934772,0.999748,1.127791,1.384011"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.031257,0.040973,0.052195,0.077163,0.134175,0.255421,0.500091", \ "0.031264,0.040972,0.052195,0.077156,0.134167,0.255422,0.500081", \ "0.031261,0.040970,0.052194,0.077157,0.134160,0.255416,0.500011", \ "0.031262,0.040965,0.052192,0.077158,0.134166,0.255381,0.499941", \ "0.031246,0.040955,0.052190,0.077157,0.134166,0.255386,0.500062", \ "0.031231,0.040936,0.052178,0.077146,0.134163,0.255381,0.500092", \ "0.031244,0.040974,0.052188,0.077163,0.134155,0.255364,0.500097"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & !D & SE & !SI & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.604993,0.625623,0.646995,0.682469,0.747632,0.875845,1.132209", \ "0.608955,0.629625,0.651020,0.686435,0.751582,0.879923,1.136206", \ "0.627363,0.648092,0.669432,0.705932,0.770048,0.898398,1.154686", \ "0.660628,0.681204,0.704089,0.739020,0.803088,0.931574,1.187943", \ "0.707718,0.730213,0.751451,0.785108,0.850425,0.978839,1.235314", \ "0.770253,0.793392,0.814407,0.850023,0.915118,1.043224,1.299580", \ "0.856927,0.879338,0.899459,0.934770,0.999744,1.127800,1.383982"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.031258,0.040973,0.052195,0.077163,0.134161,0.255421,0.500088", \ "0.031263,0.040973,0.052196,0.077156,0.134168,0.255422,0.500080", \ "0.031262,0.040976,0.052194,0.077157,0.134160,0.255416,0.500011", \ "0.031263,0.040966,0.052188,0.077159,0.134166,0.255381,0.499941", \ "0.031247,0.040956,0.052190,0.077157,0.134166,0.255386,0.500062", \ "0.031231,0.040937,0.052174,0.077146,0.134163,0.255381,0.500043", \ "0.031244,0.040974,0.052196,0.077163,0.134155,0.255364,0.500096"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & !D & SE & SI & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.604699,0.625365,0.646640,0.682190,0.747493,0.875862,1.132342", \ "0.608665,0.629431,0.650618,0.686180,0.751507,0.879869,1.136303", \ "0.627023,0.647813,0.669183,0.705614,0.769939,0.898316,1.154750", \ "0.660349,0.680861,0.703778,0.738761,0.802913,0.931399,1.187946", \ "0.707854,0.730117,0.751337,0.785202,0.850407,0.978867,1.235426", \ "0.770488,0.793349,0.814518,0.850024,0.915172,1.043565,1.299937", \ "0.857450,0.879596,0.899949,0.935257,1.000253,1.128361,1.384676"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.031246,0.040952,0.052186,0.077150,0.134159,0.255421,0.500040", \ "0.031241,0.040949,0.052177,0.077144,0.134161,0.255386,0.500000", \ "0.031242,0.040950,0.052175,0.077150,0.134173,0.255423,0.500093", \ "0.031250,0.040951,0.052175,0.077152,0.134159,0.255402,0.499963", \ "0.031238,0.040939,0.052176,0.077141,0.134160,0.255361,0.500004", \ "0.031229,0.040927,0.052168,0.077138,0.134162,0.255364,0.500089", \ "0.031249,0.040956,0.052187,0.077158,0.134151,0.255368,0.500104"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & D & !SE & !SI & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.604698,0.625351,0.646721,0.682189,0.747489,0.875861,1.132280", \ "0.608662,0.629423,0.650620,0.686249,0.751506,0.879869,1.136303", \ "0.627024,0.647815,0.669180,0.705614,0.769940,0.898311,1.154751", \ "0.660409,0.680952,0.703778,0.738761,0.802911,0.931398,1.187947", \ "0.707854,0.730117,0.751337,0.785201,0.850401,0.978862,1.235426", \ "0.770488,0.793348,0.814528,0.850024,0.915173,1.043543,1.299937", \ "0.857450,0.879595,0.899958,0.935242,1.000252,1.128356,1.384677"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.031246,0.040952,0.052183,0.077149,0.134160,0.255421,0.500104", \ "0.031240,0.040950,0.052177,0.077151,0.134161,0.255387,0.500001", \ "0.031242,0.040950,0.052175,0.077150,0.134174,0.255419,0.500093", \ "0.031254,0.040947,0.052175,0.077152,0.134159,0.255402,0.499963", \ "0.031238,0.040939,0.052176,0.077141,0.134160,0.255361,0.500004", \ "0.031229,0.040927,0.052168,0.077138,0.134161,0.255364,0.500089", \ "0.031249,0.040956,0.052187,0.077158,0.134151,0.255368,0.500104"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & D & !SE & SI & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.604697,0.625349,0.646722,0.682203,0.747488,0.875859,1.132340", \ "0.608662,0.629377,0.650615,0.686193,0.751506,0.879867,1.136300", \ "0.627024,0.647794,0.669187,0.705666,0.769938,0.898319,1.154748", \ "0.660409,0.680950,0.703779,0.738761,0.802908,0.931395,1.187944", \ "0.707854,0.730117,0.751337,0.785211,0.850398,0.978859,1.235423", \ "0.770488,0.793348,0.814528,0.850037,0.915171,1.043558,1.299935", \ "0.857472,0.879638,0.899958,0.935249,1.000250,1.128354,1.384675"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.031246,0.040952,0.052179,0.077149,0.134172,0.255421,0.500031", \ "0.031240,0.040951,0.052177,0.077144,0.134161,0.255386,0.500000", \ "0.031242,0.040958,0.052175,0.077153,0.134174,0.255423,0.500093", \ "0.031254,0.040947,0.052175,0.077152,0.134159,0.255402,0.499963", \ "0.031238,0.040939,0.052176,0.077141,0.134160,0.255361,0.500004", \ "0.031229,0.040927,0.052168,0.077138,0.134161,0.255364,0.500089", \ "0.031252,0.040958,0.052187,0.077158,0.134151,0.255368,0.500104"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & D & SE & !SI & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.604992,0.625630,0.646990,0.682469,0.747662,0.875845,1.132210", \ "0.608954,0.629631,0.651016,0.686434,0.751581,0.879933,1.136215", \ "0.627362,0.648098,0.669432,0.705932,0.770105,0.898409,1.154686", \ "0.660627,0.681204,0.704088,0.739019,0.803076,0.931579,1.187957", \ "0.707717,0.730213,0.751450,0.785116,0.850425,0.978848,1.235314", \ "0.770253,0.793392,0.814401,0.850027,0.915130,1.043234,1.299598", \ "0.856905,0.879337,0.899465,0.934776,0.999744,1.127800,1.383995"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.031258,0.040973,0.052195,0.077163,0.134175,0.255421,0.500089", \ "0.031263,0.040972,0.052196,0.077156,0.134168,0.255422,0.500081", \ "0.031262,0.040970,0.052194,0.077157,0.134184,0.255416,0.500011", \ "0.031263,0.040966,0.052188,0.077159,0.134166,0.255381,0.499941", \ "0.031247,0.040956,0.052190,0.077157,0.134166,0.255386,0.500062", \ "0.031231,0.040937,0.052174,0.077146,0.134163,0.255381,0.500091", \ "0.031246,0.040974,0.052196,0.077163,0.134155,0.255364,0.500097"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & D & SE & SI & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.604699,0.625352,0.646744,0.682211,0.747497,0.875863,1.132282", \ "0.608664,0.629423,0.650622,0.686200,0.751514,0.879870,1.136304", \ "0.627088,0.647817,0.669190,0.705614,0.769933,0.898313,1.154753", \ "0.660409,0.680954,0.703784,0.738761,0.802913,0.931400,1.187949", \ "0.707854,0.730117,0.751337,0.785224,0.850402,0.978864,1.235407", \ "0.770490,0.793351,0.814531,0.850044,0.915175,1.043545,1.299939", \ "0.857450,0.879638,0.900010,0.935243,1.000254,1.128357,1.384678"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.031246,0.040952,0.052180,0.077149,0.134171,0.255421,0.500104", \ "0.031241,0.040950,0.052177,0.077144,0.134161,0.255387,0.500001", \ "0.031245,0.040950,0.052175,0.077150,0.134173,0.255419,0.500093", \ "0.031254,0.040947,0.052179,0.077152,0.134159,0.255402,0.499963", \ "0.031238,0.040939,0.052176,0.077141,0.134160,0.255361,0.500004", \ "0.031229,0.040927,0.052168,0.077138,0.134161,0.255364,0.500089", \ "0.031249,0.040958,0.052180,0.077158,0.134151,0.255368,0.500104"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & !D & !SE & !SI & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.509341,0.527791,0.546573,0.580616,0.645355,0.773727,1.029912", \ "0.513387,0.531871,0.550685,0.584688,0.649422,0.777751,1.033943", \ "0.531660,0.550075,0.568904,0.602860,0.667575,0.795954,1.052133", \ "0.566367,0.584699,0.603433,0.637411,0.702119,0.830487,1.086649", \ "0.608366,0.626759,0.645513,0.679415,0.744174,0.872628,1.128873", \ "0.654753,0.673049,0.691717,0.725618,0.790476,0.918907,1.175113", \ "0.706334,0.724652,0.743070,0.776951,0.841629,0.970113,1.226281"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.021361,0.031904,0.045203,0.073349,0.132880,0.254886,0.499779", \ "0.021334,0.031876,0.045189,0.073337,0.132841,0.254899,0.499763", \ "0.021322,0.031860,0.045173,0.073319,0.132871,0.254888,0.499823", \ "0.021314,0.031853,0.045168,0.073319,0.132846,0.254908,0.499685", \ "0.021305,0.031849,0.045165,0.073311,0.132844,0.254886,0.499762", \ "0.021304,0.031845,0.045163,0.073309,0.132867,0.254935,0.499683", \ "0.021304,0.031847,0.045162,0.073309,0.132857,0.254863,0.499634"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & !D & !SE & SI & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.509343,0.527792,0.546576,0.580681,0.645356,0.773729,1.029889", \ "0.513409,0.531873,0.550687,0.584689,0.649423,0.777752,1.033944", \ "0.531662,0.550077,0.568906,0.602862,0.667577,0.795955,1.052133", \ "0.566368,0.584701,0.603435,0.637413,0.702121,0.830488,1.086650", \ "0.608368,0.626760,0.645515,0.679417,0.744175,0.872629,1.128874", \ "0.654755,0.673050,0.691718,0.725619,0.790477,0.918908,1.175114", \ "0.706334,0.724653,0.743071,0.776953,0.841630,0.970114,1.226282"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.021361,0.031904,0.045203,0.073349,0.132884,0.254885,0.499680", \ "0.021339,0.031876,0.045189,0.073337,0.132841,0.254899,0.499831", \ "0.021322,0.031860,0.045173,0.073319,0.132871,0.254888,0.499823", \ "0.021313,0.031853,0.045168,0.073319,0.132846,0.254908,0.499685", \ "0.021305,0.031849,0.045165,0.073311,0.132844,0.254886,0.499762", \ "0.021304,0.031845,0.045163,0.073309,0.132867,0.254935,0.499683", \ "0.021308,0.031847,0.045162,0.073309,0.132857,0.254863,0.499634"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & !D & SE & !SI & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.509318,0.527769,0.546552,0.580600,0.645337,0.773706,1.029902", \ "0.513365,0.531836,0.550663,0.584667,0.649404,0.777736,1.033932", \ "0.531639,0.550054,0.568883,0.602841,0.667558,0.795940,1.052123", \ "0.566348,0.584679,0.603413,0.637394,0.702103,0.830473,1.086639", \ "0.608348,0.626742,0.645495,0.679399,0.744159,0.872615,1.128864", \ "0.654736,0.673056,0.691700,0.725602,0.790462,0.918895,1.175105", \ "0.706319,0.724638,0.743056,0.776938,0.841616,0.970103,1.226254"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.021361,0.031904,0.045202,0.073349,0.132880,0.254894,0.499779", \ "0.021334,0.031877,0.045189,0.073337,0.132841,0.254899,0.499763", \ "0.021322,0.031860,0.045173,0.073319,0.132871,0.254888,0.499822", \ "0.021315,0.031853,0.045168,0.073317,0.132846,0.254907,0.499685", \ "0.021305,0.031849,0.045165,0.073312,0.132844,0.254887,0.499762", \ "0.021303,0.031844,0.045163,0.073309,0.132867,0.254932,0.499683", \ "0.021304,0.031847,0.045162,0.073309,0.132857,0.254863,0.499634"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & !D & SE & SI & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.509366,0.527812,0.546594,0.580645,0.645390,0.773784,1.029999", \ "0.513429,0.531890,0.550711,0.584751,0.649461,0.777818,1.034027", \ "0.531670,0.550098,0.568930,0.602882,0.667618,0.796016,1.052220", \ "0.566378,0.584728,0.603468,0.637476,0.702171,0.830556,1.086742", \ "0.608422,0.626802,0.645565,0.679456,0.744239,0.872709,1.128977", \ "0.654818,0.673133,0.691786,0.725692,0.790568,0.918982,1.175231", \ "0.706417,0.724738,0.743136,0.777043,0.841727,0.970224,1.226411"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.021366,0.031903,0.045203,0.073342,0.132870,0.254884,0.499773", \ "0.021339,0.031876,0.045190,0.073337,0.132840,0.254839,0.499761", \ "0.021318,0.031860,0.045173,0.073320,0.132871,0.254884,0.499823", \ "0.021308,0.031853,0.045168,0.073321,0.132847,0.254902,0.499679", \ "0.021310,0.031849,0.045165,0.073319,0.132845,0.254881,0.499764", \ "0.021303,0.031843,0.045163,0.073308,0.132844,0.254891,0.499678", \ "0.021307,0.031847,0.045165,0.073308,0.132856,0.254866,0.499632"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & D & !SE & !SI & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.509366,0.527812,0.546593,0.580651,0.645391,0.773783,1.029997", \ "0.513429,0.531890,0.550711,0.584751,0.649461,0.777808,1.034027", \ "0.531670,0.550098,0.568929,0.602882,0.667618,0.796016,1.052220", \ "0.566371,0.584728,0.603468,0.637453,0.702171,0.830555,1.086742", \ "0.608422,0.626802,0.645565,0.679456,0.744239,0.872708,1.128977", \ "0.654817,0.673108,0.691786,0.725692,0.790568,0.918982,1.175231", \ "0.706417,0.724738,0.743137,0.777043,0.841727,0.970224,1.226411"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.021366,0.031903,0.045203,0.073347,0.132877,0.254887,0.499784", \ "0.021339,0.031876,0.045190,0.073337,0.132840,0.254898,0.499761", \ "0.021318,0.031860,0.045173,0.073320,0.132871,0.254884,0.499823", \ "0.021314,0.031853,0.045168,0.073318,0.132847,0.254902,0.499679", \ "0.021310,0.031849,0.045165,0.073319,0.132845,0.254881,0.499764", \ "0.021303,0.031843,0.045163,0.073308,0.132844,0.254891,0.499678", \ "0.021307,0.031847,0.045165,0.073308,0.132856,0.254866,0.499632"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & D & !SE & SI & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.509366,0.527812,0.546593,0.580678,0.645390,0.773782,1.029997", \ "0.513422,0.531890,0.550711,0.584751,0.649461,0.777808,1.034027", \ "0.531670,0.550098,0.568929,0.602882,0.667618,0.796016,1.052220", \ "0.566371,0.584728,0.603468,0.637452,0.702171,0.830555,1.086742", \ "0.608422,0.626802,0.645564,0.679456,0.744239,0.872708,1.128977", \ "0.654817,0.673133,0.691786,0.725692,0.790568,0.918982,1.175231", \ "0.706417,0.724737,0.743140,0.777043,0.841727,0.970224,1.226411"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.021366,0.031903,0.045203,0.073349,0.132871,0.254887,0.499783", \ "0.021339,0.031876,0.045190,0.073337,0.132840,0.254898,0.499834", \ "0.021318,0.031860,0.045173,0.073320,0.132871,0.254884,0.499823", \ "0.021314,0.031853,0.045168,0.073318,0.132847,0.254902,0.499679", \ "0.021310,0.031849,0.045165,0.073319,0.132845,0.254881,0.499764", \ "0.021303,0.031843,0.045163,0.073308,0.132844,0.254891,0.499678", \ "0.021307,0.031847,0.045162,0.073308,0.132856,0.254866,0.499632"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & D & SE & !SI & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.509318,0.527769,0.546552,0.580592,0.645338,0.773727,1.029903", \ "0.513365,0.531836,0.550664,0.584668,0.649405,0.777736,1.033933", \ "0.531639,0.550054,0.568884,0.602842,0.667558,0.795940,1.052123", \ "0.566348,0.584679,0.603414,0.637395,0.702103,0.830474,1.086639", \ "0.608348,0.626742,0.645496,0.679399,0.744159,0.872616,1.128864", \ "0.654736,0.673024,0.691700,0.725603,0.790463,0.918895,1.175105", \ "0.706320,0.724638,0.743056,0.776938,0.841617,0.970103,1.226254"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.021361,0.031904,0.045202,0.073349,0.132880,0.254953,0.499776", \ "0.021334,0.031877,0.045189,0.073337,0.132841,0.254899,0.499763", \ "0.021322,0.031860,0.045173,0.073319,0.132871,0.254888,0.499823", \ "0.021315,0.031853,0.045168,0.073317,0.132846,0.254907,0.499685", \ "0.021305,0.031849,0.045165,0.073312,0.132844,0.254887,0.499762", \ "0.021303,0.031844,0.045163,0.073309,0.132867,0.254932,0.499683", \ "0.021304,0.031847,0.045162,0.073309,0.132857,0.254863,0.499634"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & D & SE & SI & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.509366,0.527812,0.546593,0.580640,0.645390,0.773778,1.029998", \ "0.513429,0.531890,0.550711,0.584751,0.649461,0.777818,1.034027", \ "0.531670,0.550098,0.568929,0.602882,0.667618,0.796016,1.052220", \ "0.566371,0.584728,0.603468,0.637468,0.702171,0.830556,1.086742", \ "0.608422,0.626802,0.645565,0.679456,0.744239,0.872709,1.128977", \ "0.654818,0.673133,0.691786,0.725692,0.790568,0.918982,1.175231", \ "0.706417,0.724737,0.743136,0.777043,0.841727,0.970224,1.226410"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.021366,0.031903,0.045203,0.073349,0.132880,0.254892,0.499775", \ "0.021339,0.031876,0.045190,0.073337,0.132840,0.254839,0.499761", \ "0.021318,0.031860,0.045173,0.073320,0.132871,0.254884,0.499823", \ "0.021314,0.031853,0.045168,0.073321,0.132847,0.254902,0.499679", \ "0.021310,0.031849,0.045165,0.073319,0.132845,0.254881,0.499764", \ "0.021303,0.031843,0.045163,0.073308,0.132844,0.254891,0.499678", \ "0.021307,0.031847,0.045165,0.073308,0.132856,0.254866,0.499632"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & !D & !RN & !SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.106994,0.119402,0.130355,0.146993,0.172771,0.214928,0.289289", \ "0.111679,0.124090,0.135036,0.151685,0.177481,0.219630,0.293994", \ "0.129816,0.142210,0.153119,0.169775,0.195574,0.237726,0.312128", \ "0.166730,0.179066,0.189989,0.206535,0.232368,0.274560,0.349011", \ "0.221464,0.234795,0.246288,0.263371,0.289536,0.331928,0.406440", \ "0.280923,0.296609,0.310113,0.329462,0.357920,0.402213,0.477578", \ "0.343047,0.361287,0.376924,0.398929,0.430265,0.477300,0.555004"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.087115,0.105064,0.123714,0.157659,0.222567,0.350894,0.606572", \ "0.092162,0.110109,0.128760,0.162702,0.227630,0.355955,0.611637", \ "0.106741,0.124679,0.143311,0.177214,0.242106,0.370461,0.626166", \ "0.132064,0.150056,0.168638,0.202396,0.267189,0.395523,0.651308", \ "0.162140,0.180986,0.200141,0.234081,0.298855,0.427041,0.682835", \ "0.192948,0.213101,0.233154,0.267790,0.332726,0.460826,0.716567", \ "0.221603,0.243634,0.264834,0.300316,0.365554,0.493506,0.749042"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.017891,0.022124,0.026483,0.034300,0.048826,0.077222,0.135866", \ "0.017890,0.022124,0.026491,0.034297,0.048811,0.077224,0.135876", \ "0.017888,0.022132,0.026503,0.034325,0.048813,0.077229,0.135872", \ "0.017953,0.022227,0.026584,0.034385,0.048858,0.077241,0.135868", \ "0.021284,0.024925,0.028688,0.035779,0.049693,0.077698,0.136040", \ "0.027398,0.031157,0.034792,0.041449,0.054396,0.080803,0.137337", \ "0.034128,0.038345,0.042051,0.048429,0.060688,0.086020,0.140870"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.019893,0.030847,0.044481,0.072977,0.132822,0.254911,0.499779", \ "0.019886,0.030847,0.044480,0.072974,0.132786,0.254951,0.499752", \ "0.019865,0.030830,0.044469,0.072969,0.132793,0.254934,0.499763", \ "0.020342,0.031116,0.044633,0.073033,0.132800,0.254926,0.499724", \ "0.022234,0.032887,0.046074,0.073860,0.133034,0.254919,0.499723", \ "0.025141,0.035583,0.048340,0.075349,0.133722,0.255058,0.499788", \ "0.029350,0.039651,0.051836,0.077722,0.134720,0.255552,0.499902"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & !D & !RN & !SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.106994,0.119402,0.130355,0.146993,0.172771,0.214928,0.289289", \ "0.111679,0.124090,0.135036,0.151685,0.177481,0.219630,0.293994", \ "0.129816,0.142210,0.153119,0.169775,0.195574,0.237726,0.312128", \ "0.166730,0.179066,0.189989,0.206535,0.232368,0.274560,0.349011", \ "0.221464,0.234795,0.246288,0.263371,0.289536,0.331928,0.406440", \ "0.280923,0.296609,0.310113,0.329462,0.357920,0.402213,0.477578", \ "0.343047,0.361287,0.376924,0.398929,0.430265,0.477300,0.555004"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.087115,0.105064,0.123714,0.157659,0.222567,0.350894,0.606572", \ "0.092162,0.110109,0.128760,0.162702,0.227630,0.355955,0.611637", \ "0.106741,0.124679,0.143311,0.177214,0.242106,0.370461,0.626166", \ "0.132064,0.150056,0.168638,0.202396,0.267189,0.395523,0.651308", \ "0.162140,0.180986,0.200141,0.234081,0.298855,0.427041,0.682835", \ "0.192948,0.213101,0.233154,0.267790,0.332726,0.460826,0.716567", \ "0.221603,0.243634,0.264834,0.300316,0.365554,0.493506,0.749042"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.017891,0.022124,0.026483,0.034300,0.048826,0.077222,0.135866", \ "0.017890,0.022124,0.026491,0.034297,0.048811,0.077224,0.135876", \ "0.017888,0.022132,0.026503,0.034325,0.048813,0.077229,0.135872", \ "0.017953,0.022227,0.026584,0.034385,0.048858,0.077241,0.135868", \ "0.021284,0.024925,0.028688,0.035779,0.049693,0.077698,0.136040", \ "0.027398,0.031157,0.034792,0.041449,0.054396,0.080803,0.137337", \ "0.034128,0.038345,0.042051,0.048429,0.060688,0.086020,0.140870"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.019893,0.030847,0.044481,0.072977,0.132822,0.254911,0.499779", \ "0.019886,0.030847,0.044480,0.072974,0.132786,0.254951,0.499752", \ "0.019865,0.030830,0.044469,0.072969,0.132793,0.254934,0.499763", \ "0.020342,0.031116,0.044633,0.073033,0.132800,0.254926,0.499724", \ "0.022234,0.032887,0.046074,0.073860,0.133034,0.254919,0.499723", \ "0.025141,0.035583,0.048340,0.075349,0.133722,0.255058,0.499788", \ "0.029350,0.039651,0.051836,0.077722,0.134720,0.255552,0.499902"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & !D & !RN & SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.106994,0.119402,0.130355,0.146993,0.172771,0.214928,0.289289", \ "0.111679,0.124090,0.135036,0.151685,0.177481,0.219630,0.293994", \ "0.129816,0.142210,0.153119,0.169775,0.195574,0.237726,0.312128", \ "0.166728,0.179066,0.189989,0.206535,0.232368,0.274560,0.349011", \ "0.221464,0.234795,0.246288,0.263371,0.289536,0.331928,0.406440", \ "0.280923,0.296609,0.310113,0.329462,0.357920,0.402213,0.477578", \ "0.343047,0.361287,0.376924,0.398929,0.430265,0.477300,0.555004"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.087115,0.105064,0.123714,0.157659,0.222567,0.350894,0.606572", \ "0.092153,0.110109,0.128760,0.162702,0.227630,0.355955,0.611637", \ "0.106741,0.124679,0.143311,0.177214,0.242106,0.370461,0.626166", \ "0.132064,0.150056,0.168638,0.202396,0.267189,0.395523,0.651308", \ "0.162140,0.180986,0.200141,0.234081,0.298855,0.427041,0.682835", \ "0.192948,0.213101,0.233154,0.267790,0.332726,0.460826,0.716567", \ "0.221603,0.243634,0.264834,0.300316,0.365554,0.493506,0.749042"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.017891,0.022124,0.026483,0.034300,0.048826,0.077222,0.135866", \ "0.017890,0.022124,0.026491,0.034297,0.048811,0.077224,0.135876", \ "0.017888,0.022132,0.026503,0.034325,0.048813,0.077229,0.135872", \ "0.017954,0.022227,0.026584,0.034385,0.048858,0.077241,0.135868", \ "0.021284,0.024925,0.028688,0.035779,0.049693,0.077698,0.136040", \ "0.027398,0.031157,0.034792,0.041449,0.054396,0.080803,0.137337", \ "0.034128,0.038345,0.042051,0.048429,0.060688,0.086020,0.140870"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.019893,0.030847,0.044481,0.072977,0.132822,0.254911,0.499779", \ "0.019890,0.030847,0.044480,0.072974,0.132786,0.254951,0.499752", \ "0.019865,0.030830,0.044469,0.072969,0.132793,0.254934,0.499763", \ "0.020342,0.031116,0.044633,0.073033,0.132800,0.254926,0.499724", \ "0.022234,0.032887,0.046074,0.073860,0.133034,0.254919,0.499723", \ "0.025141,0.035583,0.048340,0.075349,0.133722,0.255058,0.499788", \ "0.029350,0.039651,0.051836,0.077722,0.134720,0.255552,0.499902"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & !D & !RN & SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.106991,0.119399,0.130346,0.146993,0.172779,0.214912,0.289315", \ "0.111676,0.124089,0.135032,0.151686,0.177476,0.219625,0.293989", \ "0.129806,0.142192,0.153110,0.169771,0.195569,0.237721,0.312123", \ "0.166667,0.178994,0.190003,0.206526,0.232359,0.274552,0.349023", \ "0.221599,0.234906,0.246261,0.263263,0.289425,0.331814,0.406299", \ "0.281083,0.296762,0.310142,0.329470,0.357925,0.402258,0.477646", \ "0.343237,0.361663,0.377185,0.399303,0.430620,0.477681,0.555237"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.087174,0.105127,0.123780,0.157724,0.222638,0.350954,0.606640", \ "0.092220,0.110171,0.128824,0.162767,0.227693,0.356012,0.611708", \ "0.106793,0.124736,0.143370,0.177274,0.242177,0.370521,0.626226", \ "0.132130,0.150115,0.168683,0.202447,0.267237,0.395566,0.651318", \ "0.162297,0.181127,0.200200,0.234143,0.298907,0.427092,0.682888", \ "0.193346,0.213482,0.233136,0.267996,0.332910,0.461010,0.716743", \ "0.221500,0.243761,0.264819,0.300497,0.365761,0.493680,0.749211"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.017893,0.022123,0.026484,0.034296,0.048807,0.077243,0.135869", \ "0.017888,0.022123,0.026490,0.034295,0.048811,0.077224,0.135876", \ "0.017888,0.022132,0.026501,0.034324,0.048813,0.077229,0.135872", \ "0.017955,0.022222,0.026583,0.034384,0.048859,0.077242,0.135866", \ "0.021276,0.024915,0.028699,0.035785,0.049702,0.077706,0.136045", \ "0.027363,0.031137,0.034785,0.041449,0.054398,0.080807,0.137342", \ "0.034095,0.038296,0.042018,0.048378,0.060651,0.085999,0.140845"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.019900,0.030853,0.044485,0.072979,0.132797,0.254929,0.499777", \ "0.019900,0.030853,0.044485,0.072977,0.132807,0.254918,0.499748", \ "0.019872,0.030837,0.044473,0.072971,0.132810,0.254935,0.499766", \ "0.020354,0.031123,0.044639,0.073030,0.132809,0.254945,0.499766", \ "0.022236,0.032888,0.046078,0.073865,0.133044,0.254920,0.499723", \ "0.025114,0.035567,0.048344,0.075340,0.133710,0.255049,0.499887", \ "0.029367,0.039638,0.051845,0.077718,0.134696,0.255574,0.499908"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & !D & RN & !SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.108494,0.121600,0.133271,0.151130,0.178976,0.224438,0.301216", \ "0.113203,0.126300,0.137969,0.155847,0.183696,0.229150,0.305948", \ "0.131331,0.144411,0.156090,0.173962,0.201801,0.247265,0.324089", \ "0.168220,0.181230,0.192829,0.210719,0.238639,0.284142,0.360944", \ "0.223260,0.237320,0.249476,0.267891,0.296092,0.341779,0.418532", \ "0.283169,0.299913,0.314261,0.335455,0.366212,0.413907,0.490894", \ "0.346106,0.365639,0.382348,0.407211,0.441409,0.492286,0.570164"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.018787,0.023491,0.028363,0.037036,0.052993,0.082275,0.137787", \ "0.018784,0.023491,0.028361,0.037020,0.052991,0.082279,0.137786", \ "0.018781,0.023502,0.028372,0.037040,0.053006,0.082284,0.137786", \ "0.018845,0.023592,0.028459,0.037108,0.053035,0.082260,0.137729", \ "0.022249,0.026329,0.030552,0.038419,0.053776,0.082567,0.137718", \ "0.028779,0.033100,0.037305,0.044730,0.058931,0.085454,0.138201", \ "0.036003,0.040967,0.045369,0.052616,0.066297,0.091197,0.140391"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & !D & RN & !SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.108494,0.121600,0.133271,0.151130,0.178976,0.224438,0.301216", \ "0.113203,0.126300,0.137969,0.155847,0.183696,0.229150,0.305948", \ "0.131331,0.144411,0.156090,0.173962,0.201801,0.247265,0.324089", \ "0.168220,0.181230,0.192829,0.210719,0.238639,0.284142,0.360944", \ "0.223260,0.237320,0.249476,0.267891,0.296092,0.341779,0.418532", \ "0.283170,0.299913,0.314261,0.335455,0.366212,0.413907,0.490894", \ "0.346106,0.365639,0.382348,0.407211,0.441409,0.492286,0.570164"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.018787,0.023491,0.028363,0.037037,0.052993,0.082275,0.137787", \ "0.018784,0.023491,0.028361,0.037020,0.052991,0.082279,0.137786", \ "0.018781,0.023502,0.028372,0.037040,0.053006,0.082284,0.137786", \ "0.018845,0.023592,0.028459,0.037108,0.053035,0.082260,0.137729", \ "0.022249,0.026329,0.030552,0.038419,0.053776,0.082567,0.137718", \ "0.028779,0.033100,0.037305,0.044730,0.058931,0.085454,0.138201", \ "0.036003,0.040967,0.045369,0.052616,0.066297,0.091197,0.140391"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & !D & RN & SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.108494,0.121600,0.133271,0.151130,0.178976,0.224438,0.301216", \ "0.113203,0.126300,0.137969,0.155847,0.183696,0.229150,0.305948", \ "0.131331,0.144411,0.156090,0.173962,0.201801,0.247265,0.324089", \ "0.168220,0.181230,0.192829,0.210719,0.238639,0.284142,0.360944", \ "0.223260,0.237320,0.249476,0.267891,0.296092,0.341779,0.418532", \ "0.283169,0.299913,0.314261,0.335455,0.366212,0.413907,0.490894", \ "0.346106,0.365639,0.382348,0.407211,0.441409,0.492286,0.570164"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.018787,0.023491,0.028363,0.037036,0.052993,0.082275,0.137787", \ "0.018784,0.023491,0.028361,0.037020,0.052991,0.082279,0.137786", \ "0.018781,0.023502,0.028372,0.037040,0.053006,0.082284,0.137786", \ "0.018845,0.023592,0.028459,0.037108,0.053035,0.082260,0.137729", \ "0.022248,0.026329,0.030552,0.038419,0.053776,0.082567,0.137718", \ "0.028779,0.033100,0.037305,0.044730,0.058931,0.085454,0.138201", \ "0.036003,0.040967,0.045369,0.052616,0.066297,0.091197,0.140391"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & !D & RN & SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.108487,0.121590,0.133265,0.151135,0.178979,0.224430,0.301219", \ "0.113196,0.126293,0.137966,0.155836,0.183678,0.229144,0.305933", \ "0.131324,0.144405,0.156068,0.173935,0.201807,0.247275,0.324077", \ "0.168155,0.181186,0.192821,0.210709,0.238624,0.284133,0.360936", \ "0.223006,0.237120,0.249586,0.267673,0.295861,0.341519,0.418291", \ "0.283247,0.299823,0.314143,0.335087,0.365849,0.413380,0.490373", \ "0.346064,0.365607,0.382320,0.406328,0.440572,0.491525,0.570111"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.018783,0.023488,0.028359,0.037024,0.052989,0.082271,0.137779", \ "0.018782,0.023490,0.028358,0.037015,0.053000,0.082273,0.137779", \ "0.018782,0.023501,0.028370,0.037034,0.053000,0.082268,0.137771", \ "0.018842,0.023591,0.028461,0.037110,0.053032,0.082257,0.137728", \ "0.022279,0.026350,0.030537,0.038431,0.053785,0.082562,0.137730", \ "0.028747,0.033119,0.037321,0.044777,0.058961,0.085481,0.138199", \ "0.036006,0.040941,0.045374,0.052736,0.066390,0.091254,0.140379"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & D & !RN & !SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.106991,0.119399,0.130346,0.146993,0.172779,0.214912,0.289315", \ "0.111676,0.124089,0.135032,0.151686,0.177476,0.219625,0.293989", \ "0.129806,0.142192,0.153110,0.169771,0.195569,0.237721,0.312123", \ "0.166669,0.178994,0.190003,0.206526,0.232359,0.274552,0.349023", \ "0.221599,0.234906,0.246261,0.263263,0.289425,0.331814,0.406299", \ "0.281083,0.296762,0.310142,0.329470,0.357925,0.402258,0.477646", \ "0.343237,0.361663,0.377185,0.399303,0.430620,0.477681,0.555237"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.087174,0.105127,0.123780,0.157724,0.222638,0.350954,0.606640", \ "0.092220,0.110171,0.128824,0.162767,0.227693,0.356012,0.611708", \ "0.106793,0.124736,0.143370,0.177274,0.242177,0.370521,0.626226", \ "0.132130,0.150115,0.168683,0.202447,0.267237,0.395566,0.651318", \ "0.162297,0.181127,0.200200,0.234143,0.298907,0.427092,0.682888", \ "0.193346,0.213482,0.233136,0.267996,0.332910,0.460987,0.716743", \ "0.221500,0.243761,0.264819,0.300497,0.365761,0.493680,0.749211"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.017893,0.022123,0.026484,0.034296,0.048807,0.077243,0.135869", \ "0.017888,0.022123,0.026490,0.034295,0.048811,0.077224,0.135876", \ "0.017888,0.022132,0.026501,0.034324,0.048813,0.077229,0.135872", \ "0.017952,0.022222,0.026583,0.034384,0.048859,0.077242,0.135866", \ "0.021276,0.024915,0.028699,0.035785,0.049702,0.077706,0.136045", \ "0.027363,0.031137,0.034785,0.041449,0.054398,0.080807,0.137342", \ "0.034095,0.038296,0.042018,0.048378,0.060651,0.085999,0.140845"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.019900,0.030853,0.044485,0.072979,0.132797,0.254929,0.499777", \ "0.019900,0.030853,0.044485,0.072977,0.132807,0.254918,0.499748", \ "0.019872,0.030837,0.044473,0.072971,0.132810,0.254935,0.499766", \ "0.020354,0.031123,0.044639,0.073030,0.132809,0.254945,0.499766", \ "0.022236,0.032888,0.046078,0.073865,0.133044,0.254920,0.499723", \ "0.025114,0.035567,0.048344,0.075340,0.133710,0.255080,0.499887", \ "0.029367,0.039638,0.051845,0.077718,0.134696,0.255574,0.499908"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & D & !RN & !SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.106991,0.119399,0.130346,0.146993,0.172779,0.214912,0.289315", \ "0.111676,0.124089,0.135032,0.151686,0.177476,0.219625,0.293989", \ "0.129806,0.142192,0.153110,0.169771,0.195569,0.237721,0.312123", \ "0.166667,0.178994,0.190003,0.206526,0.232359,0.274552,0.349023", \ "0.221599,0.234906,0.246261,0.263263,0.289425,0.331814,0.406299", \ "0.281083,0.296762,0.310142,0.329470,0.357925,0.402258,0.477646", \ "0.343237,0.361663,0.377185,0.399303,0.430620,0.477681,0.555237"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.087174,0.105127,0.123780,0.157724,0.222638,0.350954,0.606640", \ "0.092218,0.110171,0.128824,0.162767,0.227693,0.356012,0.611708", \ "0.106793,0.124736,0.143370,0.177274,0.242177,0.370521,0.626226", \ "0.132130,0.150115,0.168683,0.202447,0.267237,0.395566,0.651318", \ "0.162297,0.181127,0.200200,0.234143,0.298907,0.427092,0.682888", \ "0.193346,0.213482,0.233136,0.267996,0.332910,0.460987,0.716743", \ "0.221500,0.243761,0.264819,0.300497,0.365761,0.493680,0.749211"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.017893,0.022123,0.026484,0.034296,0.048807,0.077243,0.135869", \ "0.017888,0.022123,0.026490,0.034295,0.048811,0.077224,0.135876", \ "0.017888,0.022132,0.026501,0.034324,0.048813,0.077229,0.135872", \ "0.017955,0.022222,0.026583,0.034384,0.048859,0.077255,0.135866", \ "0.021276,0.024915,0.028699,0.035785,0.049702,0.077706,0.136045", \ "0.027363,0.031137,0.034785,0.041449,0.054398,0.080807,0.137342", \ "0.034095,0.038296,0.042018,0.048378,0.060651,0.085999,0.140845"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.019900,0.030853,0.044485,0.072979,0.132797,0.254929,0.499777", \ "0.019896,0.030853,0.044485,0.072977,0.132807,0.254918,0.499748", \ "0.019872,0.030837,0.044473,0.072971,0.132810,0.254935,0.499766", \ "0.020354,0.031123,0.044639,0.073030,0.132809,0.254945,0.499766", \ "0.022236,0.032888,0.046078,0.073865,0.133044,0.254920,0.499723", \ "0.025114,0.035567,0.048344,0.075340,0.133710,0.255080,0.499887", \ "0.029367,0.039638,0.051845,0.077718,0.134694,0.255574,0.499908"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & D & !RN & SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.106994,0.119402,0.130355,0.146993,0.172771,0.214928,0.289289", \ "0.111679,0.124090,0.135036,0.151685,0.177481,0.219630,0.293994", \ "0.129816,0.142210,0.153119,0.169775,0.195574,0.237726,0.312128", \ "0.166728,0.179066,0.189989,0.206535,0.232368,0.274560,0.349011", \ "0.221464,0.234795,0.246288,0.263371,0.289536,0.331928,0.406440", \ "0.280923,0.296609,0.310113,0.329462,0.357920,0.402213,0.477579", \ "0.343047,0.361287,0.376924,0.398929,0.430265,0.477300,0.555004"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.087115,0.105064,0.123714,0.157659,0.222567,0.350894,0.606572", \ "0.092162,0.110109,0.128760,0.162702,0.227630,0.355955,0.611637", \ "0.106741,0.124679,0.143311,0.177214,0.242106,0.370461,0.626166", \ "0.132064,0.150056,0.168638,0.202396,0.267189,0.395523,0.651308", \ "0.162140,0.180986,0.200141,0.234081,0.298855,0.427041,0.682835", \ "0.192948,0.213101,0.233154,0.267790,0.332726,0.460826,0.716567", \ "0.221603,0.243634,0.264834,0.300316,0.365554,0.493506,0.749042"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.017891,0.022124,0.026483,0.034300,0.048826,0.077222,0.135866", \ "0.017890,0.022124,0.026491,0.034297,0.048811,0.077224,0.135876", \ "0.017888,0.022132,0.026503,0.034325,0.048813,0.077229,0.135872", \ "0.017954,0.022227,0.026584,0.034385,0.048858,0.077241,0.135868", \ "0.021284,0.024925,0.028688,0.035779,0.049693,0.077698,0.136040", \ "0.027398,0.031157,0.034792,0.041449,0.054396,0.080803,0.137337", \ "0.034128,0.038345,0.042051,0.048429,0.060688,0.086020,0.140870"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.019893,0.030847,0.044481,0.072977,0.132822,0.254911,0.499779", \ "0.019886,0.030847,0.044480,0.072974,0.132786,0.254951,0.499752", \ "0.019865,0.030830,0.044469,0.072969,0.132793,0.254934,0.499763", \ "0.020342,0.031116,0.044633,0.073033,0.132800,0.254926,0.499724", \ "0.022234,0.032887,0.046074,0.073860,0.133034,0.254919,0.499723", \ "0.025141,0.035583,0.048340,0.075349,0.133722,0.255058,0.499788", \ "0.029350,0.039651,0.051836,0.077722,0.134720,0.255552,0.499902"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & D & !RN & SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.106991,0.119399,0.130346,0.146993,0.172779,0.214912,0.289315", \ "0.111676,0.124089,0.135032,0.151686,0.177476,0.219625,0.293989", \ "0.129806,0.142192,0.153110,0.169771,0.195569,0.237721,0.312123", \ "0.166667,0.178994,0.190003,0.206526,0.232359,0.274552,0.349023", \ "0.221599,0.234906,0.246261,0.263263,0.289425,0.331814,0.406299", \ "0.281083,0.296762,0.310142,0.329470,0.357925,0.402258,0.477646", \ "0.343237,0.361663,0.377185,0.399303,0.430620,0.477681,0.555237"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.087174,0.105127,0.123780,0.157724,0.222638,0.350954,0.606640", \ "0.092213,0.110171,0.128824,0.162767,0.227693,0.356012,0.611708", \ "0.106793,0.124736,0.143370,0.177274,0.242177,0.370521,0.626226", \ "0.132130,0.150115,0.168683,0.202447,0.267237,0.395566,0.651318", \ "0.162297,0.181127,0.200200,0.234143,0.298907,0.427092,0.682888", \ "0.193346,0.213482,0.233136,0.267996,0.332910,0.461010,0.716743", \ "0.221500,0.243761,0.264819,0.300497,0.365761,0.493680,0.749211"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.017893,0.022123,0.026484,0.034296,0.048807,0.077243,0.135869", \ "0.017888,0.022123,0.026490,0.034295,0.048811,0.077224,0.135876", \ "0.017888,0.022132,0.026501,0.034324,0.048813,0.077229,0.135872", \ "0.017955,0.022222,0.026583,0.034384,0.048859,0.077242,0.135866", \ "0.021276,0.024915,0.028699,0.035785,0.049702,0.077706,0.136045", \ "0.027363,0.031137,0.034785,0.041449,0.054398,0.080807,0.137342", \ "0.034095,0.038296,0.042018,0.048378,0.060651,0.085999,0.140845"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.019900,0.030853,0.044485,0.072979,0.132797,0.254929,0.499777", \ "0.019898,0.030853,0.044485,0.072977,0.132807,0.254918,0.499748", \ "0.019872,0.030837,0.044473,0.072971,0.132810,0.254935,0.499766", \ "0.020354,0.031123,0.044639,0.073030,0.132809,0.254945,0.499766", \ "0.022236,0.032888,0.046078,0.073865,0.133044,0.254920,0.499723", \ "0.025114,0.035567,0.048344,0.075340,0.133710,0.255049,0.499887", \ "0.029367,0.039638,0.051845,0.077718,0.134696,0.255574,0.499908"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & D & RN & !SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.108487,0.121590,0.133265,0.151135,0.178979,0.224430,0.301218", \ "0.113196,0.126293,0.137966,0.155836,0.183678,0.229144,0.305933", \ "0.131324,0.144405,0.156068,0.173935,0.201807,0.247275,0.324077", \ "0.168155,0.181186,0.192821,0.210709,0.238624,0.284133,0.360936", \ "0.223006,0.237120,0.249586,0.267673,0.295851,0.341519,0.418291", \ "0.283247,0.299823,0.314143,0.335087,0.365849,0.413380,0.490373", \ "0.346064,0.365607,0.382320,0.406328,0.440572,0.491525,0.570111"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.018783,0.023488,0.028359,0.037024,0.052989,0.082271,0.137779", \ "0.018782,0.023490,0.028358,0.037015,0.053000,0.082273,0.137779", \ "0.018782,0.023501,0.028370,0.037034,0.053000,0.082268,0.137771", \ "0.018842,0.023591,0.028461,0.037110,0.053032,0.082257,0.137728", \ "0.022279,0.026350,0.030537,0.038431,0.053788,0.082562,0.137730", \ "0.028747,0.033119,0.037321,0.044777,0.058961,0.085481,0.138199", \ "0.036006,0.040941,0.045374,0.052736,0.066390,0.091254,0.140379"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & D & RN & !SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.108487,0.121590,0.133265,0.151135,0.178979,0.224428,0.301218", \ "0.113196,0.126293,0.137966,0.155836,0.183678,0.229144,0.305933", \ "0.131324,0.144405,0.156068,0.173935,0.201807,0.247275,0.324077", \ "0.168155,0.181186,0.192821,0.210709,0.238624,0.284133,0.360936", \ "0.223006,0.237120,0.249586,0.267673,0.295861,0.341519,0.418291", \ "0.283247,0.299824,0.314143,0.335087,0.365849,0.413380,0.490373", \ "0.346064,0.365607,0.382320,0.406328,0.440572,0.491525,0.570111"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.018783,0.023488,0.028359,0.037024,0.052989,0.082283,0.137779", \ "0.018782,0.023490,0.028358,0.037015,0.053000,0.082273,0.137779", \ "0.018782,0.023501,0.028370,0.037034,0.053000,0.082268,0.137771", \ "0.018842,0.023591,0.028461,0.037110,0.053032,0.082257,0.137728", \ "0.022279,0.026350,0.030537,0.038431,0.053785,0.082562,0.137730", \ "0.028747,0.033119,0.037321,0.044777,0.058961,0.085481,0.138199", \ "0.036006,0.040941,0.045374,0.052736,0.066390,0.091254,0.140379"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & D & RN & SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.108494,0.121600,0.133271,0.151130,0.178977,0.224438,0.301216", \ "0.113203,0.126300,0.137969,0.155847,0.183696,0.229150,0.305948", \ "0.131331,0.144411,0.156090,0.173962,0.201801,0.247265,0.324089", \ "0.168221,0.181230,0.192829,0.210719,0.238639,0.284142,0.360944", \ "0.223260,0.237320,0.249476,0.267891,0.296092,0.341779,0.418532", \ "0.283170,0.299913,0.314261,0.335455,0.366212,0.413907,0.490894", \ "0.346106,0.365639,0.382348,0.407211,0.441409,0.492286,0.570164"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.018787,0.023491,0.028363,0.037036,0.052994,0.082275,0.137787", \ "0.018784,0.023491,0.028361,0.037020,0.052991,0.082279,0.137786", \ "0.018781,0.023502,0.028372,0.037040,0.053006,0.082284,0.137786", \ "0.018845,0.023592,0.028459,0.037108,0.053035,0.082260,0.137729", \ "0.022248,0.026329,0.030552,0.038419,0.053776,0.082567,0.137718", \ "0.028779,0.033100,0.037305,0.044730,0.058931,0.085454,0.138201", \ "0.036003,0.040967,0.045369,0.052616,0.066297,0.091197,0.140391"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & D & RN & SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.108487,0.121590,0.133265,0.151135,0.178979,0.224430,0.301219", \ "0.113196,0.126293,0.137966,0.155836,0.183678,0.229144,0.305933", \ "0.131324,0.144405,0.156068,0.173935,0.201807,0.247275,0.324077", \ "0.168155,0.181186,0.192821,0.210709,0.238624,0.284133,0.360936", \ "0.223006,0.237120,0.249586,0.267673,0.295851,0.341519,0.418291", \ "0.283247,0.299824,0.314143,0.335087,0.365849,0.413380,0.490373", \ "0.346064,0.365607,0.382320,0.406328,0.440572,0.491525,0.570111"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.018783,0.023488,0.028359,0.037024,0.052989,0.082271,0.137779", \ "0.018782,0.023490,0.028358,0.037015,0.053000,0.082273,0.137779", \ "0.018782,0.023501,0.028370,0.037034,0.053000,0.082268,0.137771", \ "0.018842,0.023591,0.028461,0.037110,0.053032,0.082257,0.137728", \ "0.022279,0.026350,0.030537,0.038431,0.053788,0.082562,0.137730", \ "0.028747,0.033119,0.037321,0.044777,0.058961,0.085481,0.138199", \ "0.036006,0.040941,0.045374,0.052736,0.066390,0.091254,0.140379"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & !D & !RN & !SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.107405,0.119799,0.130739,0.147396,0.173197,0.215350,0.289742", \ "0.112092,0.124486,0.135430,0.152087,0.177890,0.220043,0.294426", \ "0.130214,0.142589,0.153501,0.170165,0.195963,0.238138,0.312528", \ "0.166990,0.179312,0.190326,0.206890,0.232741,0.274949,0.349396", \ "0.221820,0.235145,0.246641,0.263489,0.289655,0.332082,0.406546", \ "0.281009,0.296783,0.310347,0.329483,0.357970,0.402289,0.477653", \ "0.343709,0.361992,0.377529,0.399559,0.430881,0.477905,0.555531"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.452156,0.470419,0.489021,0.522812,0.587531,0.715755,0.971515", \ "0.457176,0.475424,0.494059,0.527818,0.592527,0.720714,0.976462", \ "0.470389,0.488715,0.507211,0.541107,0.605737,0.733961,0.989749", \ "0.492978,0.511257,0.529864,0.563625,0.628343,0.756562,1.012352", \ "0.526824,0.545086,0.563476,0.597590,0.662319,0.790540,1.046327", \ "0.569022,0.587288,0.606148,0.639538,0.704252,0.832480,1.088270", \ "0.616398,0.634666,0.653256,0.687240,0.751960,0.880178,1.135972"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.017871,0.022105,0.026484,0.034309,0.048827,0.077256,0.135826", \ "0.017871,0.022107,0.026480,0.034318,0.048834,0.077261,0.135825", \ "0.017867,0.022120,0.026498,0.034327,0.048850,0.077244,0.135818", \ "0.017926,0.022207,0.026578,0.034403,0.048868,0.077259,0.135819", \ "0.021206,0.024857,0.028646,0.035770,0.049710,0.077705,0.136005", \ "0.027329,0.031092,0.034727,0.041445,0.054418,0.080825,0.137322", \ "0.033998,0.038193,0.041915,0.048329,0.060622,0.085992,0.140835"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.021250,0.031793,0.045105,0.073270,0.132816,0.254878,0.499781", \ "0.021248,0.031790,0.045103,0.073268,0.132810,0.254877,0.499648", \ "0.021248,0.031788,0.045108,0.073266,0.132820,0.254847,0.499655", \ "0.021259,0.031788,0.045107,0.073263,0.132808,0.254866,0.499651", \ "0.021250,0.031793,0.045110,0.073270,0.132814,0.254883,0.499650", \ "0.021251,0.031789,0.045106,0.073264,0.132817,0.254844,0.499656", \ "0.021323,0.031830,0.045138,0.073274,0.132812,0.254862,0.499643"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & !D & !RN & !SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.107405,0.119799,0.130739,0.147396,0.173197,0.215350,0.289742", \ "0.112092,0.124486,0.135430,0.152087,0.177890,0.220043,0.294426", \ "0.130214,0.142589,0.153501,0.170165,0.195963,0.238138,0.312528", \ "0.166992,0.179312,0.190326,0.206890,0.232741,0.274949,0.349396", \ "0.221820,0.235145,0.246641,0.263489,0.289652,0.332082,0.406546", \ "0.281009,0.296783,0.310347,0.329483,0.357970,0.402289,0.477653", \ "0.343709,0.361992,0.377529,0.399559,0.430881,0.477905,0.555531"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.452156,0.470419,0.489021,0.522812,0.587531,0.715755,0.971515", \ "0.457176,0.475424,0.494059,0.527818,0.592527,0.720714,0.976462", \ "0.470389,0.488715,0.507211,0.541107,0.605737,0.733961,0.989749", \ "0.492978,0.511257,0.529864,0.563625,0.628343,0.756562,1.012352", \ "0.526824,0.545086,0.563477,0.597590,0.662319,0.790540,1.046327", \ "0.569022,0.587288,0.606148,0.639538,0.704252,0.832480,1.088270", \ "0.616398,0.634666,0.653256,0.687240,0.751960,0.880178,1.135972"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.017871,0.022105,0.026484,0.034309,0.048827,0.077256,0.135826", \ "0.017871,0.022107,0.026480,0.034318,0.048834,0.077261,0.135825", \ "0.017867,0.022120,0.026498,0.034327,0.048850,0.077244,0.135818", \ "0.017925,0.022208,0.026578,0.034403,0.048868,0.077259,0.135819", \ "0.021206,0.024857,0.028646,0.035770,0.049714,0.077705,0.136005", \ "0.027329,0.031092,0.034727,0.041445,0.054418,0.080825,0.137322", \ "0.033998,0.038193,0.041915,0.048329,0.060622,0.085992,0.140835"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.021250,0.031793,0.045105,0.073270,0.132816,0.254878,0.499781", \ "0.021248,0.031790,0.045103,0.073268,0.132810,0.254877,0.499648", \ "0.021248,0.031788,0.045108,0.073266,0.132820,0.254847,0.499655", \ "0.021259,0.031788,0.045107,0.073263,0.132808,0.254866,0.499651", \ "0.021250,0.031793,0.045110,0.073270,0.132814,0.254883,0.499650", \ "0.021251,0.031789,0.045106,0.073264,0.132817,0.254844,0.499656", \ "0.021323,0.031830,0.045138,0.073274,0.132812,0.254862,0.499643"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & !D & !RN & SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.107405,0.119799,0.130739,0.147396,0.173197,0.215350,0.289742", \ "0.112092,0.124486,0.135430,0.152087,0.177890,0.220043,0.294426", \ "0.130214,0.142589,0.153501,0.170165,0.195963,0.238138,0.312528", \ "0.166992,0.179312,0.190326,0.206890,0.232741,0.274949,0.349396", \ "0.221820,0.235145,0.246641,0.263489,0.289655,0.332082,0.406546", \ "0.281009,0.296783,0.310347,0.329483,0.357970,0.402289,0.477653", \ "0.343709,0.361992,0.377529,0.399559,0.430881,0.477905,0.555531"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.452156,0.470419,0.489021,0.522812,0.587531,0.715755,0.971515", \ "0.457176,0.475424,0.494059,0.527818,0.592527,0.720714,0.976462", \ "0.470389,0.488715,0.507211,0.541107,0.605737,0.733961,0.989749", \ "0.492978,0.511257,0.529864,0.563625,0.628343,0.756562,1.012352", \ "0.526824,0.545086,0.563476,0.597590,0.662319,0.790540,1.046327", \ "0.569022,0.587288,0.606148,0.639538,0.704252,0.832480,1.088270", \ "0.616398,0.634666,0.653256,0.687240,0.751960,0.880178,1.135972"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.017871,0.022105,0.026484,0.034309,0.048827,0.077256,0.135826", \ "0.017871,0.022107,0.026480,0.034318,0.048834,0.077261,0.135825", \ "0.017867,0.022120,0.026498,0.034327,0.048850,0.077244,0.135818", \ "0.017925,0.022208,0.026578,0.034403,0.048868,0.077259,0.135819", \ "0.021206,0.024857,0.028646,0.035770,0.049710,0.077705,0.136005", \ "0.027329,0.031092,0.034727,0.041445,0.054418,0.080825,0.137322", \ "0.033998,0.038193,0.041915,0.048329,0.060622,0.085992,0.140835"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.021250,0.031793,0.045105,0.073270,0.132816,0.254878,0.499781", \ "0.021248,0.031790,0.045103,0.073268,0.132810,0.254877,0.499648", \ "0.021248,0.031788,0.045108,0.073266,0.132820,0.254847,0.499655", \ "0.021259,0.031788,0.045107,0.073263,0.132808,0.254866,0.499651", \ "0.021250,0.031793,0.045110,0.073270,0.132814,0.254883,0.499650", \ "0.021251,0.031789,0.045106,0.073264,0.132817,0.254844,0.499656", \ "0.021323,0.031830,0.045138,0.073274,0.132812,0.254862,0.499643"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & !D & !RN & SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.107405,0.119799,0.130739,0.147396,0.173197,0.215350,0.289742", \ "0.112092,0.124486,0.135427,0.152087,0.177890,0.220043,0.294426", \ "0.130214,0.142589,0.153501,0.170165,0.195963,0.238138,0.312528", \ "0.166992,0.179312,0.190326,0.206890,0.232741,0.274949,0.349396", \ "0.221820,0.235145,0.246641,0.263489,0.289653,0.332081,0.406546", \ "0.281008,0.296783,0.310347,0.329483,0.357970,0.402289,0.477653", \ "0.343710,0.361993,0.377529,0.399632,0.430882,0.477905,0.555532"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.452263,0.470519,0.489173,0.522970,0.587689,0.715912,0.971663", \ "0.457298,0.475554,0.494214,0.527977,0.592687,0.720872,0.976623", \ "0.470634,0.488724,0.507455,0.541264,0.605902,0.734127,0.989914", \ "0.493163,0.511425,0.530112,0.563807,0.628512,0.756731,1.012520", \ "0.526814,0.545074,0.563817,0.597791,0.662527,0.790735,1.046519", \ "0.569526,0.587785,0.606178,0.639808,0.704521,0.832744,1.088540", \ "0.616693,0.634968,0.653562,0.687547,0.752265,0.880478,1.136279"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.017871,0.022105,0.026484,0.034309,0.048827,0.077256,0.135826", \ "0.017871,0.022108,0.026481,0.034318,0.048834,0.077261,0.135825", \ "0.017867,0.022120,0.026498,0.034327,0.048850,0.077244,0.135818", \ "0.017925,0.022208,0.026578,0.034403,0.048868,0.077259,0.135819", \ "0.021206,0.024857,0.028646,0.035770,0.049710,0.077705,0.136005", \ "0.027328,0.031092,0.034727,0.041445,0.054418,0.080825,0.137322", \ "0.033999,0.038193,0.041915,0.048320,0.060622,0.085992,0.140835"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.021249,0.031789,0.045104,0.073270,0.132816,0.254878,0.499711", \ "0.021256,0.031791,0.045103,0.073264,0.132809,0.254876,0.499649", \ "0.021246,0.031791,0.045108,0.073266,0.132821,0.254850,0.499655", \ "0.021248,0.031789,0.045105,0.073262,0.132807,0.254865,0.499651", \ "0.021250,0.031791,0.045109,0.073265,0.132820,0.254861,0.499641", \ "0.021249,0.031792,0.045109,0.073262,0.132819,0.254816,0.499657", \ "0.021315,0.031828,0.045140,0.073273,0.132810,0.254881,0.499654"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & !D & RN & !SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.108742,0.121695,0.133237,0.150878,0.178270,0.222703,0.298684", \ "0.113449,0.126398,0.137941,0.155588,0.182976,0.227410,0.303386", \ "0.131576,0.144502,0.156039,0.173683,0.201084,0.245523,0.321496", \ "0.168397,0.181295,0.192751,0.210418,0.237863,0.282332,0.358319", \ "0.223407,0.237308,0.249550,0.267420,0.295254,0.339905,0.415935", \ "0.283764,0.300236,0.314447,0.335063,0.365283,0.411735,0.488412", \ "0.347475,0.366566,0.383078,0.406260,0.439746,0.489434,0.568878"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.018592,0.023234,0.028019,0.036482,0.051944,0.080568,0.137719", \ "0.018594,0.023233,0.028015,0.036482,0.051939,0.080558,0.137695", \ "0.018593,0.023244,0.028028,0.036496,0.051943,0.080558,0.137697", \ "0.018648,0.023326,0.028109,0.036554,0.051962,0.080553,0.137718", \ "0.021972,0.026000,0.030140,0.037864,0.052729,0.080910,0.137824", \ "0.028313,0.032593,0.036719,0.043981,0.057717,0.083937,0.138942", \ "0.035309,0.040167,0.044490,0.051691,0.064807,0.089711,0.142612"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & !D & RN & !SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.108742,0.121695,0.133237,0.150878,0.178270,0.222703,0.298684", \ "0.113449,0.126398,0.137941,0.155588,0.182976,0.227410,0.303386", \ "0.131576,0.144502,0.156039,0.173683,0.201084,0.245523,0.321496", \ "0.168399,0.181295,0.192744,0.210418,0.237863,0.282332,0.358319", \ "0.223407,0.237308,0.249550,0.267420,0.295254,0.339905,0.415935", \ "0.283764,0.300236,0.314447,0.335063,0.365283,0.411735,0.488412", \ "0.347475,0.366566,0.383078,0.406260,0.439746,0.489434,0.568878"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.018592,0.023234,0.028019,0.036482,0.051944,0.080568,0.137719", \ "0.018594,0.023234,0.028015,0.036482,0.051939,0.080558,0.137695", \ "0.018593,0.023244,0.028028,0.036496,0.051943,0.080558,0.137697", \ "0.018650,0.023326,0.028106,0.036554,0.051962,0.080553,0.137718", \ "0.021972,0.026000,0.030140,0.037864,0.052729,0.080910,0.137824", \ "0.028313,0.032593,0.036719,0.043981,0.057717,0.083937,0.138942", \ "0.035309,0.040167,0.044490,0.051691,0.064807,0.089711,0.142612"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & !D & RN & SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.108742,0.121695,0.133237,0.150878,0.178270,0.222703,0.298684", \ "0.113449,0.126398,0.137941,0.155588,0.182976,0.227410,0.303386", \ "0.131576,0.144502,0.156039,0.173683,0.201084,0.245523,0.321496", \ "0.168399,0.181295,0.192744,0.210418,0.237863,0.282332,0.358319", \ "0.223407,0.237308,0.249550,0.267420,0.295254,0.339905,0.415935", \ "0.283764,0.300236,0.314447,0.335063,0.365283,0.411735,0.488412", \ "0.347475,0.366566,0.383078,0.406260,0.439746,0.489434,0.568878"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.018592,0.023234,0.028019,0.036482,0.051944,0.080568,0.137719", \ "0.018594,0.023234,0.028015,0.036482,0.051939,0.080558,0.137695", \ "0.018593,0.023244,0.028028,0.036496,0.051943,0.080558,0.137697", \ "0.018650,0.023326,0.028106,0.036554,0.051962,0.080553,0.137718", \ "0.021972,0.026000,0.030140,0.037864,0.052729,0.080910,0.137824", \ "0.028313,0.032593,0.036719,0.043981,0.057717,0.083937,0.138942", \ "0.035309,0.040167,0.044490,0.051691,0.064807,0.089711,0.142612"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & !D & RN & SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.108742,0.121695,0.133237,0.150878,0.178268,0.222703,0.298684", \ "0.113449,0.126398,0.137941,0.155588,0.182976,0.227410,0.303381", \ "0.131577,0.144502,0.156039,0.173683,0.201084,0.245523,0.321496", \ "0.168399,0.181276,0.192751,0.210418,0.237863,0.282332,0.358319", \ "0.223407,0.237308,0.249550,0.267442,0.295254,0.339905,0.415935", \ "0.283761,0.300236,0.314446,0.335063,0.365283,0.411735,0.488410", \ "0.347469,0.366565,0.383067,0.406260,0.439746,0.489434,0.568878"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.018592,0.023234,0.028019,0.036482,0.051944,0.080568,0.137719", \ "0.018594,0.023233,0.028016,0.036482,0.051939,0.080558,0.137700", \ "0.018592,0.023244,0.028028,0.036496,0.051943,0.080558,0.137697", \ "0.018650,0.023323,0.028109,0.036554,0.051962,0.080553,0.137718", \ "0.021972,0.026000,0.030139,0.037862,0.052729,0.080910,0.137824", \ "0.028320,0.032593,0.036719,0.043981,0.057717,0.083937,0.138942", \ "0.035303,0.040168,0.044486,0.051691,0.064807,0.089711,0.142613"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & D & !RN & !SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.107405,0.119799,0.130739,0.147396,0.173197,0.215350,0.289742", \ "0.112092,0.124486,0.135427,0.152087,0.177890,0.220043,0.294426", \ "0.130214,0.142589,0.153501,0.170165,0.195963,0.238138,0.312528", \ "0.166990,0.179312,0.190325,0.206890,0.232741,0.274949,0.349396", \ "0.221820,0.235145,0.246641,0.263489,0.289654,0.332081,0.406546", \ "0.281008,0.296783,0.310347,0.329483,0.357970,0.402289,0.477653", \ "0.343710,0.361993,0.377529,0.399632,0.430882,0.477905,0.555532"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.452263,0.470519,0.489173,0.522970,0.587689,0.715912,0.971663", \ "0.457298,0.475554,0.494214,0.527977,0.592687,0.720872,0.976623", \ "0.470634,0.488724,0.507455,0.541264,0.605902,0.734127,0.989914", \ "0.493163,0.511425,0.530112,0.563807,0.628512,0.756731,1.012520", \ "0.526814,0.545074,0.563817,0.597791,0.662527,0.790735,1.046519", \ "0.569526,0.587785,0.606178,0.639808,0.704521,0.832744,1.088540", \ "0.616693,0.634968,0.653562,0.687547,0.752265,0.880478,1.136279"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.017871,0.022105,0.026484,0.034309,0.048827,0.077256,0.135826", \ "0.017871,0.022108,0.026481,0.034318,0.048834,0.077261,0.135825", \ "0.017867,0.022120,0.026498,0.034327,0.048850,0.077244,0.135818", \ "0.017926,0.022207,0.026577,0.034403,0.048868,0.077259,0.135819", \ "0.021206,0.024857,0.028646,0.035770,0.049709,0.077705,0.136005", \ "0.027328,0.031092,0.034727,0.041445,0.054418,0.080825,0.137322", \ "0.033999,0.038193,0.041915,0.048320,0.060622,0.085992,0.140835"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.021249,0.031789,0.045104,0.073270,0.132816,0.254878,0.499711", \ "0.021256,0.031791,0.045103,0.073264,0.132809,0.254876,0.499649", \ "0.021246,0.031791,0.045108,0.073266,0.132821,0.254850,0.499655", \ "0.021248,0.031789,0.045105,0.073262,0.132807,0.254865,0.499651", \ "0.021250,0.031791,0.045109,0.073265,0.132820,0.254861,0.499641", \ "0.021249,0.031792,0.045109,0.073262,0.132819,0.254816,0.499657", \ "0.021315,0.031828,0.045140,0.073273,0.132810,0.254881,0.499654"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & D & !RN & !SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.107405,0.119799,0.130739,0.147396,0.173197,0.215350,0.289742", \ "0.112092,0.124486,0.135427,0.152087,0.177890,0.220043,0.294426", \ "0.130214,0.142589,0.153501,0.170165,0.195963,0.238138,0.312528", \ "0.166990,0.179312,0.190325,0.206890,0.232741,0.274949,0.349396", \ "0.221820,0.235145,0.246641,0.263489,0.289652,0.332081,0.406546", \ "0.281008,0.296783,0.310347,0.329483,0.357970,0.402289,0.477653", \ "0.343710,0.361993,0.377529,0.399632,0.430882,0.477905,0.555532"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.452263,0.470519,0.489173,0.522970,0.587689,0.715912,0.971663", \ "0.457298,0.475554,0.494214,0.527977,0.592687,0.720872,0.976623", \ "0.470634,0.488724,0.507455,0.541264,0.605902,0.734127,0.989914", \ "0.493163,0.511425,0.530112,0.563807,0.628512,0.756731,1.012520", \ "0.526814,0.545074,0.563817,0.597791,0.662527,0.790735,1.046519", \ "0.569526,0.587785,0.606178,0.639808,0.704521,0.832744,1.088540", \ "0.616693,0.634968,0.653562,0.687547,0.752265,0.880478,1.136279"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.017871,0.022105,0.026484,0.034309,0.048827,0.077256,0.135826", \ "0.017871,0.022108,0.026481,0.034318,0.048834,0.077261,0.135825", \ "0.017867,0.022120,0.026498,0.034327,0.048850,0.077244,0.135818", \ "0.017926,0.022208,0.026577,0.034403,0.048868,0.077259,0.135819", \ "0.021206,0.024857,0.028646,0.035770,0.049714,0.077705,0.136005", \ "0.027328,0.031092,0.034727,0.041445,0.054418,0.080825,0.137322", \ "0.033999,0.038193,0.041915,0.048320,0.060622,0.085992,0.140835"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.021249,0.031789,0.045104,0.073270,0.132816,0.254878,0.499711", \ "0.021256,0.031791,0.045103,0.073264,0.132809,0.254876,0.499649", \ "0.021246,0.031791,0.045108,0.073266,0.132821,0.254850,0.499655", \ "0.021248,0.031789,0.045105,0.073262,0.132807,0.254865,0.499651", \ "0.021250,0.031791,0.045109,0.073265,0.132820,0.254861,0.499641", \ "0.021249,0.031792,0.045109,0.073262,0.132819,0.254816,0.499657", \ "0.021315,0.031828,0.045140,0.073273,0.132810,0.254881,0.499654"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & D & !RN & SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.107405,0.119799,0.130739,0.147396,0.173197,0.215350,0.289742", \ "0.112092,0.124486,0.135430,0.152087,0.177890,0.220043,0.294426", \ "0.130214,0.142589,0.153501,0.170165,0.195963,0.238138,0.312528", \ "0.166992,0.179312,0.190326,0.206890,0.232741,0.274949,0.349396", \ "0.221820,0.235145,0.246641,0.263489,0.289652,0.332082,0.406546", \ "0.281009,0.296783,0.310347,0.329483,0.357970,0.402289,0.477653", \ "0.343709,0.361992,0.377529,0.399559,0.430881,0.477905,0.555531"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.452156,0.470419,0.489021,0.522812,0.587531,0.715755,0.971515", \ "0.457176,0.475424,0.494059,0.527818,0.592527,0.720714,0.976462", \ "0.470389,0.488715,0.507211,0.541107,0.605737,0.733961,0.989749", \ "0.492978,0.511257,0.529864,0.563625,0.628343,0.756562,1.012352", \ "0.526824,0.545086,0.563477,0.597590,0.662319,0.790540,1.046327", \ "0.569022,0.587288,0.606148,0.639538,0.704252,0.832480,1.088270", \ "0.616398,0.634666,0.653256,0.687240,0.751960,0.880178,1.135972"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.017871,0.022105,0.026484,0.034309,0.048827,0.077256,0.135826", \ "0.017871,0.022107,0.026480,0.034318,0.048834,0.077261,0.135825", \ "0.017867,0.022120,0.026498,0.034327,0.048850,0.077244,0.135818", \ "0.017925,0.022208,0.026578,0.034403,0.048868,0.077259,0.135819", \ "0.021206,0.024857,0.028646,0.035770,0.049714,0.077705,0.136005", \ "0.027329,0.031092,0.034727,0.041445,0.054418,0.080825,0.137322", \ "0.033998,0.038193,0.041915,0.048329,0.060622,0.085992,0.140835"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.021250,0.031793,0.045105,0.073270,0.132816,0.254878,0.499781", \ "0.021248,0.031790,0.045103,0.073268,0.132810,0.254877,0.499648", \ "0.021248,0.031788,0.045108,0.073266,0.132820,0.254847,0.499655", \ "0.021259,0.031788,0.045107,0.073263,0.132808,0.254866,0.499651", \ "0.021250,0.031793,0.045110,0.073270,0.132814,0.254883,0.499650", \ "0.021251,0.031789,0.045106,0.073264,0.132817,0.254844,0.499656", \ "0.021323,0.031830,0.045138,0.073274,0.132812,0.254862,0.499643"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & D & !RN & SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.107405,0.119799,0.130739,0.147396,0.173197,0.215350,0.289742", \ "0.112092,0.124486,0.135427,0.152087,0.177890,0.220043,0.294426", \ "0.130214,0.142589,0.153501,0.170165,0.195963,0.238138,0.312528", \ "0.166992,0.179312,0.190325,0.206890,0.232741,0.274949,0.349396", \ "0.221820,0.235145,0.246641,0.263489,0.289655,0.332081,0.406546", \ "0.281008,0.296783,0.310347,0.329483,0.357970,0.402289,0.477653", \ "0.343710,0.361993,0.377529,0.399632,0.430882,0.477905,0.555532"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.452263,0.470519,0.489173,0.522970,0.587689,0.715912,0.971663", \ "0.457298,0.475554,0.494214,0.527977,0.592687,0.720872,0.976623", \ "0.470634,0.488724,0.507455,0.541264,0.605902,0.734127,0.989914", \ "0.493163,0.511425,0.530112,0.563807,0.628512,0.756731,1.012520", \ "0.526814,0.545074,0.563817,0.597791,0.662527,0.790735,1.046519", \ "0.569526,0.587785,0.606178,0.639808,0.704521,0.832744,1.088540", \ "0.616693,0.634968,0.653562,0.687547,0.752265,0.880478,1.136279"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.017871,0.022105,0.026484,0.034309,0.048827,0.077256,0.135826", \ "0.017871,0.022108,0.026481,0.034318,0.048834,0.077261,0.135825", \ "0.017867,0.022120,0.026498,0.034327,0.048850,0.077243,0.135818", \ "0.017925,0.022207,0.026577,0.034403,0.048868,0.077259,0.135819", \ "0.021206,0.024857,0.028646,0.035770,0.049710,0.077705,0.136005", \ "0.027328,0.031092,0.034727,0.041445,0.054418,0.080825,0.137322", \ "0.033999,0.038193,0.041915,0.048320,0.060622,0.085992,0.140835"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.021249,0.031789,0.045104,0.073270,0.132816,0.254878,0.499711", \ "0.021256,0.031791,0.045103,0.073264,0.132809,0.254876,0.499649", \ "0.021246,0.031791,0.045108,0.073266,0.132821,0.254850,0.499655", \ "0.021248,0.031789,0.045105,0.073262,0.132807,0.254865,0.499651", \ "0.021250,0.031791,0.045109,0.073265,0.132820,0.254861,0.499641", \ "0.021249,0.031792,0.045109,0.073262,0.132819,0.254816,0.499657", \ "0.021315,0.031828,0.045140,0.073273,0.132810,0.254881,0.499654"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & D & RN & !SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.108742,0.121695,0.133237,0.150878,0.178268,0.222703,0.298684", \ "0.113449,0.126398,0.137941,0.155588,0.182976,0.227410,0.303386", \ "0.131577,0.144502,0.156039,0.173683,0.201084,0.245523,0.321496", \ "0.168397,0.181273,0.192744,0.210418,0.237863,0.282332,0.358319", \ "0.223407,0.237308,0.249550,0.267442,0.295254,0.339905,0.415935", \ "0.283762,0.300236,0.314446,0.335063,0.365283,0.411735,0.488410", \ "0.347469,0.366565,0.383067,0.406260,0.439746,0.489434,0.568878"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.018592,0.023234,0.028019,0.036482,0.051944,0.080567,0.137719", \ "0.018594,0.023233,0.028016,0.036483,0.051939,0.080558,0.137695", \ "0.018592,0.023244,0.028028,0.036496,0.051943,0.080558,0.137697", \ "0.018648,0.023325,0.028106,0.036554,0.051962,0.080553,0.137718", \ "0.021972,0.026000,0.030139,0.037862,0.052729,0.080910,0.137824", \ "0.028319,0.032593,0.036719,0.043981,0.057717,0.083937,0.138942", \ "0.035302,0.040168,0.044486,0.051691,0.064807,0.089711,0.142613"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & D & RN & !SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.108742,0.121695,0.133237,0.150878,0.178268,0.222703,0.298684", \ "0.113449,0.126398,0.137941,0.155588,0.182976,0.227410,0.303386", \ "0.131577,0.144502,0.156039,0.173683,0.201084,0.245523,0.321496", \ "0.168397,0.181273,0.192744,0.210418,0.237863,0.282332,0.358319", \ "0.223407,0.237308,0.249550,0.267442,0.295254,0.339905,0.415935", \ "0.283762,0.300236,0.314446,0.335063,0.365283,0.411735,0.488410", \ "0.347469,0.366565,0.383067,0.406260,0.439746,0.489434,0.568878"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.018592,0.023234,0.028019,0.036482,0.051944,0.080567,0.137719", \ "0.018594,0.023233,0.028016,0.036483,0.051939,0.080558,0.137695", \ "0.018592,0.023244,0.028028,0.036496,0.051943,0.080558,0.137697", \ "0.018648,0.023325,0.028106,0.036554,0.051962,0.080553,0.137718", \ "0.021972,0.026000,0.030139,0.037862,0.052729,0.080910,0.137824", \ "0.028319,0.032593,0.036719,0.043981,0.057717,0.083937,0.138942", \ "0.035303,0.040168,0.044486,0.051691,0.064807,0.089711,0.142613"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & D & RN & SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.108742,0.121695,0.133237,0.150877,0.178267,0.222703,0.298684", \ "0.113449,0.126398,0.137941,0.155588,0.182976,0.227410,0.303386", \ "0.131576,0.144502,0.156039,0.173683,0.201084,0.245523,0.321496", \ "0.168399,0.181295,0.192744,0.210418,0.237863,0.282332,0.358319", \ "0.223407,0.237308,0.249550,0.267420,0.295254,0.339905,0.415935", \ "0.283764,0.300236,0.314447,0.335063,0.365283,0.411735,0.488412", \ "0.347475,0.366566,0.383078,0.406260,0.439746,0.489434,0.568878"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.018592,0.023234,0.028019,0.036481,0.051944,0.080568,0.137719", \ "0.018594,0.023234,0.028015,0.036482,0.051939,0.080558,0.137695", \ "0.018593,0.023244,0.028028,0.036496,0.051943,0.080558,0.137697", \ "0.018650,0.023326,0.028106,0.036554,0.051962,0.080553,0.137718", \ "0.021972,0.026000,0.030140,0.037864,0.052729,0.080910,0.137824", \ "0.028313,0.032593,0.036719,0.043981,0.057717,0.083937,0.138942", \ "0.035309,0.040167,0.044490,0.051691,0.064807,0.089711,0.142612"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & D & RN & SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.108742,0.121695,0.133237,0.150878,0.178270,0.222703,0.298684", \ "0.113449,0.126398,0.137941,0.155588,0.182976,0.227410,0.303381", \ "0.131577,0.144502,0.156039,0.173683,0.201084,0.245523,0.321496", \ "0.168399,0.181276,0.192744,0.210418,0.237863,0.282332,0.358319", \ "0.223407,0.237308,0.249550,0.267442,0.295254,0.339905,0.415935", \ "0.283761,0.300236,0.314446,0.335063,0.365283,0.411735,0.488410", \ "0.347469,0.366565,0.383067,0.406260,0.439746,0.489434,0.568878"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.018592,0.023234,0.028019,0.036481,0.051944,0.080567,0.137719", \ "0.018594,0.023233,0.028015,0.036482,0.051939,0.080558,0.137700", \ "0.018592,0.023244,0.028028,0.036496,0.051943,0.080558,0.137697", \ "0.018650,0.023323,0.028106,0.036554,0.051962,0.080553,0.137718", \ "0.021972,0.026000,0.030139,0.037862,0.052729,0.080910,0.137824", \ "0.028320,0.032593,0.036719,0.043981,0.057717,0.083937,0.138942", \ "0.035303,0.040168,0.044486,0.051691,0.064807,0.089711,0.142613"); } } internal_power () { related_pin : "CK"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("4.232534,4.297807,4.342103,4.384068,4.413426,4.433577,4.439311", \ "4.223477,4.289213,4.335129,4.371745,4.405056,4.417233,4.437181", \ "4.209685,4.272993,4.316547,4.357245,4.384563,4.399393,4.419485", \ "4.205176,4.269727,4.316847,4.345191,4.387639,4.398005,4.418058", \ "4.233792,4.298669,4.339527,4.383385,4.395083,4.433099,4.442372", \ "4.298462,4.361965,4.406635,4.445469,4.475853,4.474327,4.496802", \ "4.412833,4.474930,4.516993,4.551960,4.563289,4.603742,4.617250"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("4.167503,4.233319,4.274105,4.452426,4.517404,4.524831,4.549071", \ "4.162257,4.228611,4.268412,4.437396,4.503845,4.522846,4.552590", \ "4.142136,4.207653,4.248828,4.420995,4.484026,4.502945,4.528876", \ "4.134653,4.198719,4.238761,4.417119,4.481470,4.500885,4.501748", \ "4.159036,4.222759,4.258388,4.434149,4.488075,4.513577,4.542258", \ "4.215245,4.282063,4.320702,4.497420,4.567452,4.581366,4.599694", \ "4.337995,4.399932,4.444368,4.610077,4.674908,4.704241,4.707083"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & !SE & !SI & SN"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("3.303742,3.420316,3.495269,3.538905,3.609492,3.642043,3.648712", \ "3.291215,3.407480,3.474378,3.545385,3.570287,3.602565,3.644286", \ "3.277378,3.398242,3.464153,3.515916,3.580556,3.614634,3.621196", \ "3.283651,3.402069,3.466952,3.513686,3.562879,3.621072,3.641747", \ "3.340277,3.449965,3.508790,3.544563,3.594988,3.630327,3.673796", \ "3.442268,3.534151,3.587450,3.629442,3.691105,3.725220,3.737730", \ "3.594926,3.673211,3.710967,3.735054,3.790741,3.822452,3.834117"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & !SE & SI & SN"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("3.302835,3.419400,3.494352,3.537989,3.608594,3.641165,3.649218", \ "3.290314,3.406573,3.473469,3.544478,3.569440,3.601657,3.643485", \ "3.276514,3.397365,3.463274,3.515037,3.580522,3.613741,3.620457", \ "3.282842,3.401251,3.466122,3.512860,3.562041,3.620254,3.641010", \ "3.339562,3.449242,3.508058,3.543891,3.594216,3.629507,3.673091", \ "3.441712,3.533572,3.586823,3.628779,3.690427,3.724579,3.737088", \ "3.594473,3.672741,3.710635,3.734534,3.790153,3.821982,3.833466"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & SE & !SI & SN"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("3.303192,3.419867,3.494779,3.538392,3.609181,3.641800,3.646099", \ "3.290610,3.407038,3.473878,3.544902,3.569874,3.602267,3.644258", \ "3.276886,3.397708,3.463698,3.515461,3.580981,3.614361,3.621129", \ "3.283179,3.401646,3.466615,3.513234,3.562420,3.620630,3.641771", \ "3.339869,3.449560,3.508404,3.544332,3.594657,3.630074,3.673804", \ "3.442039,3.533933,3.586466,3.629259,3.690926,3.725125,3.736654", \ "3.594729,3.672992,3.710859,3.734881,3.790561,3.822405,3.834176"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & SE & SI & SN"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("3.818682,3.942900,4.004101,4.060944,4.130295,4.161051,4.161744", \ "3.788240,3.911757,3.985595,4.022054,4.072364,4.138879,4.139239", \ "3.765410,3.881699,3.954597,4.006260,4.069526,4.100915,4.108966", \ "3.790651,3.908711,3.973062,4.018681,4.067185,4.099880,4.139700", \ "3.888910,3.999197,4.061145,4.093568,4.142851,4.211047,4.218939", \ "4.064339,4.159422,4.213443,4.254953,4.314036,4.346435,4.355459", \ "4.328337,4.406086,4.443632,4.490320,4.524232,4.554779,4.563673"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D & !SE & !SI & SN"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("3.819778,3.944083,4.005079,4.062089,4.131423,4.162172,4.165742", \ "3.793332,3.912939,3.986818,4.023759,4.073532,4.139786,4.140364", \ "3.766452,3.882743,3.955663,4.007319,4.070662,4.102505,4.110095", \ "3.791384,3.909534,3.974089,4.019746,4.068246,4.100933,4.140811", \ "3.889787,4.000095,4.062049,4.094515,4.143853,4.212120,4.219958", \ "4.065088,4.160173,4.214157,4.255759,4.314922,4.347468,4.356458", \ "4.328913,4.406687,4.444203,4.491128,4.524977,4.555610,4.564591"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D & !SE & SI & SN"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("3.819788,3.944100,4.017788,4.062007,4.131220,4.162191,4.162990", \ "3.793460,3.912917,3.986793,4.023090,4.073535,4.139800,4.140384", \ "3.766457,3.882669,3.955822,4.007015,4.070673,4.102010,4.110106", \ "3.791389,3.909550,3.974089,4.019747,4.068265,4.100954,4.140822", \ "3.889791,4.000099,4.062052,4.094461,4.143866,4.212133,4.219979", \ "4.065091,4.160178,4.214161,4.255687,4.314937,4.347388,4.356470", \ "4.329125,4.406587,4.444206,4.491077,4.524991,4.555624,4.564600"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D & SE & !SI & SN"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("3.302150,3.418753,3.493718,3.537237,3.607774,3.640450,3.644557", \ "3.289571,3.405927,3.472812,3.543759,3.568641,3.600847,3.642683", \ "3.275849,3.396743,3.462617,3.514313,3.578942,3.612949,3.619640", \ "3.282167,3.400611,3.465548,3.512105,3.561301,3.619379,3.640210", \ "3.338905,3.448566,3.507384,3.543209,3.593495,3.628730,3.672360", \ "3.441158,3.533022,3.585560,3.628234,3.689761,3.723847,3.736380", \ "3.593937,3.672206,3.709997,3.733964,3.789581,3.821279,3.832793"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D & SE & SI & SN"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("3.819773,3.944076,4.018770,4.061970,4.131192,4.162212,4.165763", \ "3.789345,3.912908,3.986746,4.023061,4.073489,4.139784,4.140426", \ "3.768175,3.882744,3.955857,4.007349,4.070685,4.102538,4.110125", \ "3.791402,3.909536,3.974139,4.019743,4.068265,4.100982,4.140834", \ "3.889812,4.000118,4.062079,4.094404,4.143899,4.212124,4.220146", \ "4.065100,4.160199,4.214178,4.255685,4.314941,4.347517,4.356498", \ "4.328951,4.406622,4.444375,4.491134,4.525002,4.555665,4.564639"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D & !SE & !SI & SN"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("4.651171,4.701662,4.762231,4.804682,4.833923,4.884934,4.893517", \ "4.619772,4.670179,4.722456,4.774799,4.809095,4.854921,4.870915", \ "4.593535,4.641443,4.699124,4.798760,4.794553,4.836617,4.834794", \ "4.622843,4.657874,4.777645,4.816319,4.811133,4.841979,4.862860", \ "4.721634,4.807354,4.861883,4.838076,4.890651,4.922281,4.941876", \ "4.829828,4.911567,4.952473,4.994259,5.047786,5.078404,5.097772", \ "5.152000,5.207653,5.187158,5.216230,5.241203,5.267118,5.284769"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D & !SE & SI & SN"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("4.650380,4.700842,4.761417,4.803649,4.832911,4.882636,4.910454", \ "4.618965,4.669383,4.721654,4.774014,4.808273,4.854102,4.867607", \ "4.592767,4.640674,4.698349,4.797541,4.793752,4.835848,4.834016", \ "4.624000,4.657175,4.776912,4.815573,4.810372,4.841193,4.862100", \ "4.721012,4.806699,4.861235,4.837407,4.889958,4.921563,4.941144", \ "4.829324,4.911050,4.951946,4.993700,5.047187,5.077754,5.097115", \ "5.151239,5.207280,5.186779,5.215803,5.240721,5.266565,5.284165"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D & SE & !SI & SN"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("4.650756,4.701206,4.761738,4.804177,4.833394,4.897546,4.893014", \ "4.619340,4.669802,4.721976,4.774153,4.808524,4.854361,4.870433", \ "4.593142,4.641013,4.698678,4.802598,4.794020,4.835677,4.835610", \ "4.622506,4.657484,4.777227,4.815867,4.810655,4.841529,4.862392", \ "4.721361,4.807057,4.861553,4.837708,4.890217,4.921826,4.941478", \ "4.829646,4.911332,4.952224,4.993981,5.047442,5.078192,5.097387", \ "5.151891,5.207530,5.186875,5.216033,5.240958,5.266838,5.284507"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D & SE & SI & SN"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("4.649435,4.699662,4.760070,4.802058,4.830771,4.877868,4.923994", \ "4.618002,4.668221,4.720365,4.763846,4.805798,4.851362,4.865520", \ "4.591978,4.639490,4.696945,4.804181,4.791388,4.825976,4.829216", \ "4.620130,4.663134,4.775452,4.813531,4.807921,4.838023,4.857345", \ "4.719830,4.812724,4.859747,4.835575,4.887383,4.918098,4.936310", \ "4.827957,4.909614,4.950327,4.991753,5.044907,5.073420,5.092226", \ "5.149727,5.211276,5.184599,5.213697,5.238095,5.262975,5.313108"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D & !SE & !SI & SN"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("4.650373,4.700616,4.761034,4.802993,4.831672,4.882747,4.888896", \ "4.618929,4.669165,4.721335,4.764829,4.806854,4.851733,4.866534", \ "4.592878,4.640406,4.697867,4.805175,4.792375,4.826980,4.830262", \ "4.621818,4.664000,4.776349,4.814656,4.808868,4.838985,4.858372", \ "4.720584,4.813498,4.860524,4.836407,4.888296,4.919035,4.937250", \ "4.828576,4.910306,4.950980,4.992447,5.045679,5.074239,5.093162", \ "5.150172,5.211748,5.185077,5.214268,5.238723,5.263674,5.313972"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D & !SE & SI & SN"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("4.650378,4.700621,4.761033,4.811312,4.831784,4.883848,4.888923", \ "4.618896,4.669169,4.721332,4.764836,4.806866,4.851749,4.863850", \ "4.592885,4.640409,4.697876,4.805188,4.792393,4.827006,4.830278", \ "4.621822,4.664004,4.776356,4.814668,4.808885,4.839005,4.858381", \ "4.720587,4.813500,4.860534,4.836420,4.888304,4.919048,4.937266", \ "4.828585,4.910249,4.950990,4.992459,5.045690,5.074254,5.093156", \ "5.150178,5.211752,5.179065,5.214272,5.238731,5.263684,5.313965"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D & SE & !SI & SN"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("4.649848,4.700268,4.760768,4.803108,4.832270,4.878287,4.927600", \ "4.618434,4.668866,4.721005,4.773133,4.807392,4.853092,4.868994", \ "4.592241,4.640076,4.697715,4.801467,4.792900,4.834432,4.832909", \ "4.621612,4.656563,4.776274,4.814849,4.809551,4.840284,4.860989", \ "4.720514,4.806181,4.860651,4.836741,4.889156,4.920632,4.940099", \ "4.828891,4.910655,4.951411,4.993105,5.046464,5.077069,5.096077", \ "5.151276,5.206883,5.186198,5.215284,5.240103,5.265823,5.283289"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D & SE & SI & SN"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("4.650373,4.700617,4.761044,4.803090,4.831760,4.893244,4.925137", \ "4.618931,4.669168,4.721338,4.764828,4.806836,4.852430,4.866610", \ "4.592884,4.640413,4.697887,4.805131,4.792401,4.827019,4.830317", \ "4.621830,4.664012,4.776359,4.814595,4.808896,4.839035,4.858409", \ "4.720608,4.813522,4.860550,4.836422,4.888298,4.919061,4.937342", \ "4.828597,4.910271,4.951015,4.992485,5.045709,5.074291,5.093202", \ "5.150209,5.211783,5.185132,5.214288,5.238754,5.263735,5.314005"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & !RN & !SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("2.564479,2.747883,2.890878,3.051396,3.220673,3.315481,3.347103", \ "2.546095,2.727893,2.862581,3.031677,3.200897,3.297771,3.325945", \ "2.534829,2.705144,2.845252,3.016083,3.174890,3.270156,3.306219", \ "2.549610,2.711885,2.847039,3.001711,3.175671,3.277381,3.314100", \ "2.617055,2.768138,2.899299,3.061181,3.222814,3.327173,3.371709", \ "2.668664,2.803655,2.928645,3.110071,3.307087,3.441696,3.486244", \ "2.836401,2.936555,3.031859,3.191733,3.394398,3.571416,3.660479"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("1.781626,1.953486,2.038325,2.115474,2.190837,2.193001,2.262953", \ "1.769107,1.941315,2.026185,2.103052,2.166482,2.235812,2.237021", \ "1.750795,1.922376,1.996852,2.071366,2.156497,2.158880,2.229303", \ "1.745610,1.898923,1.986890,2.055642,2.117919,2.120695,2.189680", \ "1.773911,1.923720,1.991982,2.019561,2.053446,2.122713,2.126358", \ "1.836867,2.001088,2.057166,2.094964,2.114713,2.178066,2.191933", \ "1.941035,2.118154,2.201963,2.244271,2.241526,2.218970,2.295738"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & !RN & !SE & SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("2.564478,2.747884,2.890879,3.051390,3.220667,3.315473,3.347090", \ "2.546095,2.727894,2.862579,3.031672,3.200897,3.297769,3.325929", \ "2.534831,2.705146,2.845253,3.016084,3.174889,3.270144,3.306214", \ "2.549612,2.711887,2.847041,3.001705,3.175670,3.277375,3.314094", \ "2.617058,2.768139,2.899300,3.061181,3.222811,3.327160,3.371702", \ "2.668660,2.803657,2.928646,3.110071,3.307078,3.441695,3.486232", \ "2.836401,2.936556,3.031857,3.191731,3.394399,3.571409,3.660466"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("1.781625,1.953486,2.038325,2.115473,2.190837,2.192985,2.262952", \ "1.769104,1.941314,2.026184,2.103051,2.166475,2.235812,2.237015", \ "1.750793,1.922375,1.996849,2.071362,2.156497,2.158863,2.229302", \ "1.745609,1.898920,1.986891,2.055643,2.117918,2.120678,2.189679", \ "1.773911,1.923721,1.991982,2.019552,2.053428,2.122702,2.126341", \ "1.836868,2.001089,2.057162,2.094960,2.114703,2.178065,2.191924", \ "1.941035,2.118153,2.201962,2.244270,2.241521,2.218951,2.295736"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & !RN & SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("2.564474,2.747876,2.890870,3.051396,3.220661,3.315488,3.347116", \ "2.546090,2.727885,2.862576,3.031677,3.200894,3.297771,3.325964", \ "2.534821,2.705136,2.845245,3.016077,3.174887,3.270169,3.306224", \ "2.548275,2.711878,2.847032,3.001713,3.175668,3.277385,3.314105", \ "2.617050,2.768134,2.899293,3.061177,3.222814,3.327187,3.371716", \ "2.668660,2.803651,2.928642,3.110067,3.307094,3.441694,3.486258", \ "2.836400,2.936551,3.031858,3.191732,3.394395,3.571422,3.660493"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("1.781629,1.953484,2.038321,2.115471,2.190834,2.193017,2.262953", \ "1.768893,1.941315,2.026183,2.103050,2.166485,2.235809,2.237028", \ "1.750798,1.922374,1.996852,2.071367,2.156494,2.158897,2.229303", \ "1.745611,1.898924,1.986886,2.055637,2.117918,2.120713,2.189680", \ "1.773909,1.923716,1.991978,2.019568,2.053462,2.122722,2.126376", \ "1.836866,2.001085,2.057168,2.094963,2.114720,2.178065,2.191941", \ "1.941035,2.118153,2.201960,2.244268,2.241529,2.218988,2.295738"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & !RN & SE & SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("2.559461,2.734865,2.878335,3.039429,3.201304,3.301111,3.338742", \ "2.533288,2.707524,2.850760,3.019315,3.188380,3.286206,3.315053", \ "2.521777,2.692038,2.832108,3.003292,3.162395,3.257931,3.294436", \ "2.535284,2.691128,2.833702,2.987917,3.162464,3.264971,3.302011", \ "2.604295,2.755048,2.874108,3.047326,3.210704,3.314291,3.357651", \ "2.656588,2.790959,2.916462,3.097629,3.294921,3.422944,3.475590", \ "2.818443,2.925326,3.023625,3.184681,3.386562,3.562940,3.651346"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("1.789582,1.961477,2.046139,2.122877,2.199333,2.200175,2.269804", \ "1.791925,1.949244,2.034377,2.110442,2.173482,2.243081,2.244084", \ "1.758884,1.930406,2.004560,2.078756,2.163501,2.215488,2.236752", \ "1.753587,1.920816,1.994602,2.063759,2.125589,2.128059,2.201669", \ "1.782229,1.932178,1.999899,2.036490,2.061047,2.130489,2.134218", \ "1.842210,2.007013,2.066231,2.103397,2.122907,2.186646,2.198028", \ "1.952397,2.125960,2.212526,2.249416,2.243146,2.223433,2.300195"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & RN & !SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("2.950187,3.096891,3.226535,3.340569,3.399870,3.417910,3.436312", \ "2.941815,3.080727,3.216712,3.344544,3.392507,3.411042,3.428198", \ "2.930726,3.068670,3.209107,3.321743,3.372773,3.390885,3.410018", \ "2.928426,3.071397,3.202859,3.311367,3.356823,3.410925,3.397777", \ "2.987623,3.111611,3.241117,3.358674,3.380355,3.435058,3.423198", \ "3.074818,3.186445,3.309412,3.398958,3.442826,3.468043,3.484739", \ "3.153825,3.263867,3.392787,3.531165,3.559583,3.563188,3.555517"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & RN & !SE & SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("2.950180,3.096718,3.226349,3.340374,3.399631,3.417623,3.436006", \ "2.941655,3.080553,3.216525,3.344359,3.392265,3.410770,3.427874", \ "2.930566,3.068498,3.208925,3.321535,3.372543,3.390607,3.409710", \ "2.928269,3.071230,3.202681,3.311226,3.356598,3.410659,3.397472", \ "2.987478,3.111456,3.240953,3.358497,3.380133,3.434816,3.422889", \ "3.074700,3.186315,3.309270,3.398798,3.442640,3.467806,3.484462", \ "3.153741,3.263774,3.392685,3.531043,3.559439,3.563111,3.555261"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & RN & SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("2.952337,3.097319,3.226986,3.341062,3.400408,3.418493,3.436822", \ "2.942209,3.081149,3.217161,3.345032,3.393051,3.411603,3.428726", \ "2.931112,3.069082,3.209541,3.322222,3.373292,3.391444,3.410513", \ "2.928790,3.071783,3.203270,3.311888,3.357315,3.411446,3.398251", \ "2.987941,3.111951,3.241474,3.359061,3.380808,3.435515,3.423654", \ "3.075053,3.186701,3.309667,3.399277,3.443184,3.468450,3.485111", \ "3.153954,3.264015,3.392960,3.531368,3.559822,3.563498,3.555817"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & RN & SE & SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("2.885946,3.031754,3.161555,3.275043,3.333451,3.351407,3.371014", \ "2.878040,3.016938,3.152700,3.279531,3.327275,3.345673,3.364333", \ "2.867599,3.005541,3.145936,3.257978,3.308054,3.326058,3.344973", \ "2.863864,3.007585,3.133325,3.245613,3.291099,3.345206,3.351765", \ "2.913803,3.048322,3.176817,3.294227,3.314862,3.368529,3.357663", \ "3.015801,3.122689,3.246409,3.335948,3.378923,3.413857,3.419814", \ "3.092499,3.204024,3.336023,3.444076,3.484606,3.501529,3.490726"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D & !RN & !SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("2.559453,2.734859,2.878331,3.039416,3.201287,3.301082,3.338729", \ "2.533278,2.707516,2.850752,3.019303,3.188378,3.286202,3.315026", \ "2.521771,2.692033,2.832105,3.003289,3.162390,3.257910,3.294428", \ "2.535298,2.691120,2.833698,2.987902,3.162459,3.264963,3.302004", \ "2.604289,2.755041,2.874097,3.047322,3.210700,3.314273,3.357639", \ "2.656580,2.790954,2.916457,3.097624,3.294904,3.422911,3.475567", \ "2.818433,2.925321,3.023620,3.184676,3.386559,3.562925,3.651323"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("1.789573,1.961471,2.046134,2.122872,2.199329,2.200144,2.269799", \ "1.791922,1.949236,2.034371,2.110435,2.173469,2.243078,2.244069", \ "1.758874,1.930399,2.004550,2.078746,2.163497,2.215484,2.236747", \ "1.753579,1.920812,1.994598,2.063756,2.125584,2.128027,2.201662", \ "1.782224,1.932174,1.999895,2.036475,2.061015,2.130469,2.134187", \ "1.842203,2.007006,2.066220,2.103386,2.122887,2.186152,2.198012", \ "1.952392,2.125953,2.212522,2.249410,2.243132,2.223398,2.300188"); } } internal_power () { related_pin : "SN"; when : "!CK & D & !RN & !SE & SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("2.559456,2.734862,2.878333,3.039419,3.201291,3.301088,3.338732", \ "2.533282,2.707519,2.850755,3.019306,3.188379,3.286203,3.315031", \ "2.521774,2.692036,2.832107,3.003290,3.162392,3.257914,3.294429", \ "2.535282,2.691123,2.833700,2.987906,3.162460,3.260326,3.302005", \ "2.604292,2.755044,2.874100,3.047323,3.210701,3.314277,3.357642", \ "2.656583,2.790956,2.916459,3.097626,3.294907,3.422918,3.475572", \ "2.818436,2.925323,3.023622,3.184678,3.386560,3.562928,3.651328"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("1.789576,1.961473,2.046136,2.122873,2.199330,2.200151,2.269801", \ "1.791975,1.949239,2.034373,2.110437,2.173472,2.243080,2.244073", \ "1.758877,1.930401,2.004553,2.078748,2.163498,2.215486,2.236748", \ "1.753582,1.920814,1.994600,2.063757,2.125585,2.128034,2.201664", \ "1.782226,1.932176,1.999897,2.036478,2.061022,2.130473,2.134194", \ "1.842205,2.007008,2.066223,2.103389,2.122891,2.186154,2.198016", \ "1.952394,2.125955,2.212523,2.249411,2.243466,2.223406,2.300190"); } } internal_power () { related_pin : "SN"; when : "!CK & D & !RN & SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("2.564471,2.747876,2.890871,3.051388,3.220658,3.315478,3.347100", \ "2.546088,2.727886,2.862573,3.031670,3.200893,3.297769,3.325942", \ "2.534822,2.705137,2.845246,3.016078,3.174885,3.270152,3.306218", \ "2.548276,2.711879,2.847033,3.001704,3.175666,3.277378,3.314098", \ "2.617051,2.768133,2.899293,3.061176,3.222810,3.327169,3.371707", \ "2.668657,2.803651,2.928642,3.110067,3.307082,3.441694,3.486242", \ "2.836398,2.936552,3.031855,3.191729,3.394395,3.571413,3.660476"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("1.781625,1.953483,2.038321,2.115469,2.190833,2.192995,2.262951", \ "1.769105,1.941312,2.026181,2.103048,2.166476,2.235809,2.237018", \ "1.750794,1.922373,1.996847,2.071361,2.156493,2.158874,2.229301", \ "1.745609,1.898919,1.986887,2.055638,2.117915,2.120689,2.189678", \ "1.773909,1.923717,1.991978,2.019555,2.053438,2.122708,2.126353", \ "1.836866,2.001085,2.057162,2.094958,2.114707,2.178063,2.191929", \ "1.941033,2.118151,2.201959,2.244266,2.241521,2.218963,2.295735"); } } internal_power () { related_pin : "SN"; when : "!CK & D & !RN & SE & SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("2.559463,2.734867,2.878336,3.039432,3.201309,3.301119,3.338745", \ "2.533291,2.707527,2.850762,3.019319,3.188381,3.286207,3.315060", \ "2.521778,2.692039,2.832110,3.003293,3.162396,3.257937,3.294438", \ "2.535286,2.691130,2.833703,2.987921,3.162465,3.264974,3.302012", \ "2.604297,2.755051,2.874112,3.047327,3.210705,3.314296,3.357655", \ "2.656591,2.790961,2.916464,3.097630,3.294925,3.422952,3.475597", \ "2.818445,2.925327,3.023627,3.184683,3.386562,3.562944,3.651352"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("1.789585,1.961479,2.046140,2.122879,2.199335,2.200183,2.269806", \ "1.791737,1.949246,2.034379,2.110443,2.173486,2.243082,2.244088", \ "1.758887,1.930408,2.004563,2.078759,2.163502,2.215490,2.236754", \ "1.753589,1.920816,1.994603,2.063760,2.125590,2.128068,2.201671", \ "1.782231,1.932179,1.999900,2.036494,2.061056,2.130495,2.134227", \ "1.842212,2.007015,2.066235,2.103400,2.122912,2.186647,2.198033", \ "1.952399,2.125962,2.212528,2.249417,2.243150,2.223442,2.300197"); } } internal_power () { related_pin : "SN"; when : "!CK & D & RN & !SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("2.886148,3.031918,3.161740,3.275257,3.333703,3.351640,3.371292", \ "2.878193,3.017096,3.152868,3.279737,3.327492,3.345949,3.364587", \ "2.867746,3.005691,3.146112,3.258161,3.308300,3.326293,3.345253", \ "2.863998,3.007732,3.133481,3.245538,3.291329,3.345452,3.352074", \ "2.913922,3.048454,3.176975,3.294423,3.316375,3.368790,3.357879", \ "3.015905,3.122798,3.246521,3.336089,3.379111,3.414058,3.420054", \ "3.092554,3.204086,3.336110,3.444168,3.484769,3.501707,3.490937"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D & RN & !SE & SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("2.887116,3.032560,3.162434,3.276039,3.334598,3.352683,3.372411", \ "2.878792,3.017731,3.153547,3.280515,3.328365,3.346970,3.365686", \ "2.868334,3.006319,3.146794,3.258906,3.309183,3.327281,3.346354", \ "2.864567,3.008337,3.134126,3.246229,3.292175,3.346411,3.353075", \ "2.914443,3.049007,3.177578,3.295110,3.315803,3.369714,3.358909", \ "3.016332,3.123254,3.247014,3.336661,3.379806,3.414888,3.421028", \ "3.092830,3.204395,3.336467,3.444590,3.485330,3.502418,3.491824"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D & RN & SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("2.949124,3.096741,3.226368,3.340381,3.399587,3.417631,3.435909", \ "2.941671,3.080575,3.216550,3.344359,3.392290,3.410755,3.427793", \ "2.930584,3.068520,3.208943,3.321563,3.372549,3.390603,3.409606", \ "2.933213,3.071249,3.202701,3.312280,3.356602,3.410640,3.397360", \ "2.987490,3.111470,3.240961,3.358494,3.380144,3.434767,3.422778", \ "3.074698,3.186315,3.309248,3.398795,3.442614,3.467759,3.484308", \ "3.153726,3.263758,3.392661,3.531015,3.559382,3.562908,3.555084"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D & RN & SE & SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("2.886349,3.032420,3.162278,3.275848,3.334360,3.352404,3.372117", \ "2.878664,3.017596,3.153405,3.280327,3.328161,3.346689,3.365424", \ "2.868207,3.006184,3.146636,3.258742,3.308944,3.327037,3.346056", \ "2.864442,3.008203,3.133988,3.246119,3.291950,3.346163,3.352545", \ "2.914323,3.048882,3.177428,3.294917,3.316967,3.369441,3.358672", \ "3.016222,3.123142,3.246899,3.336517,3.379609,3.414670,3.420767", \ "3.092764,3.204322,3.336327,3.444490,3.485155,3.502230,3.491594"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D & !RN & !SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("2.810507,3.007652,3.170255,3.375133,3.628569,3.873574,4.229439", \ "2.791160,2.987684,3.150014,3.354517,3.605774,3.865822,4.204738", \ "2.759108,2.956299,3.122651,3.340143,3.581679,3.838541,4.186407", \ "2.786020,2.969328,3.131432,3.347576,3.583916,3.848622,4.199114", \ "2.871741,3.045025,3.207651,3.410768,3.650872,3.898115,4.270144", \ "2.926461,3.096614,3.247146,3.475443,3.764520,4.039864,4.402320", \ "3.128398,3.252685,3.376242,3.568838,3.854739,4.169619,4.576846"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("4.417193,4.621874,4.716616,4.790695,4.847850,4.853021,4.872277", \ "4.411674,4.616606,4.711383,4.780587,4.828456,4.833882,4.937806", \ "4.413860,4.603218,4.691958,4.753193,4.789058,4.863199,4.928727", \ "4.418231,4.607110,4.704119,4.762471,4.819837,4.825273,4.929480", \ "4.405431,4.610593,4.704291,4.783383,4.808460,4.881569,4.933711", \ "4.420859,4.610382,4.707648,4.772718,4.799765,4.874355,4.933297", \ "4.433297,4.622829,4.719365,4.792975,4.856623,4.908129,4.928435"); } } internal_power () { related_pin : "SN"; when : "CK & !D & !RN & !SE & SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("2.810510,3.007655,3.170256,3.375127,3.628566,3.873557,4.229426", \ "2.791164,2.987687,3.150015,3.354512,3.605770,3.865822,4.204759", \ "2.759110,2.956300,3.122652,3.340143,3.581678,3.838541,4.186323", \ "2.786070,2.969331,3.131433,3.347577,3.583911,3.848623,4.199109", \ "2.871745,3.045025,3.207654,3.410769,3.650601,3.898097,4.270136", \ "2.926462,3.096616,3.247147,3.475452,3.764522,4.039866,4.402308", \ "3.128400,3.252687,3.376243,3.568834,3.854740,4.169611,4.576833"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("4.417192,4.621875,4.716619,4.790695,4.847850,4.853005,4.872256", \ "4.411672,4.616606,4.711381,4.780585,4.828450,4.833861,4.937805", \ "4.413860,4.603215,4.691953,4.753183,4.789041,4.863188,4.928725", \ "4.418232,4.607109,4.704119,4.762465,4.819830,4.825251,4.929478", \ "4.405429,4.610594,4.704291,4.783384,4.808449,4.881565,4.933710", \ "4.420861,4.610381,4.707647,4.772713,4.799749,4.874346,4.933295", \ "4.433297,4.622826,4.719362,4.792972,4.856619,4.908126,4.928426"); } } internal_power () { related_pin : "SN"; when : "CK & !D & !RN & SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("2.810500,3.007646,3.170251,3.375136,3.628569,3.873590,4.229494", \ "2.791153,2.987677,3.150008,3.354519,3.605773,3.865818,4.204752", \ "2.759104,2.956294,3.122647,3.340139,3.581678,3.838539,4.186328", \ "2.786060,2.969324,3.131428,3.347570,3.583917,3.848617,4.199114", \ "2.871736,3.045022,3.207646,3.410764,3.650871,3.898132,4.270149", \ "2.926474,3.096611,3.247144,3.475439,3.764515,4.039859,4.402329", \ "3.128395,3.252682,3.376239,3.568840,3.854734,4.169625,4.576857"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("4.417177,4.621856,4.716601,4.790682,4.847843,4.853033,4.872294", \ "4.411660,4.616589,4.711366,4.780577,4.828455,4.833900,4.937803", \ "4.413843,4.603203,4.691946,4.753189,4.789069,4.863204,4.928724", \ "4.418212,4.607094,4.704103,4.762464,4.819836,4.825292,4.929476", \ "4.405416,4.610575,4.704275,4.783369,4.808464,4.881566,4.933705", \ "4.420841,4.610366,4.707631,4.772710,4.799774,4.874358,4.933293", \ "4.433281,4.622814,4.719351,4.792966,4.856616,4.908126,4.928440"); } } internal_power () { related_pin : "SN"; when : "CK & !D & !RN & SE & SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("2.810775,3.007955,3.170664,3.375602,3.628690,3.873837,4.230876", \ "2.791436,2.987948,3.150389,3.354993,3.606406,3.866458,4.206186", \ "2.759439,2.956668,3.123125,3.340668,3.582301,3.839367,4.187816", \ "2.786505,2.969806,3.132022,3.348189,3.584688,3.849605,4.200781", \ "2.872340,3.045671,3.208443,3.411558,3.647529,3.899379,4.272122", \ "2.927356,3.097561,3.248338,3.476646,3.765777,4.041424,4.404709", \ "3.129601,3.253987,3.377586,3.579602,3.856384,4.171655,4.579696"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("4.416500,4.621366,4.712994,4.790800,4.846048,4.851127,4.942717", \ "4.425601,4.614640,4.710137,4.779022,4.826616,4.831913,4.937243", \ "4.411367,4.602249,4.708459,4.759478,4.787147,4.861069,4.929050", \ "4.415472,4.604825,4.706051,4.760604,4.817886,4.891623,4.929251", \ "4.404766,4.609875,4.701042,4.775276,4.806181,4.879858,4.900507", \ "4.413854,4.618741,4.707935,4.769672,4.796622,4.870778,4.933132", \ "4.429310,4.634075,4.716064,4.790007,4.830992,4.903891,4.942395"); } } internal_power () { related_pin : "SN"; when : "CK & !D & RN & !SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("2.829062,2.921540,2.995767,3.086123,3.335811,4.582165,4.907401", \ "2.820420,2.911264,2.985496,3.089697,3.342666,4.571570,4.898653", \ "2.810370,2.899625,2.962383,3.065865,3.305363,4.526405,4.879510", \ "2.821358,2.901785,2.970671,3.057987,3.301033,4.562760,4.867323", \ "2.886757,2.951184,3.011556,3.094111,3.345880,4.606075,4.899160", \ "2.984479,3.047991,3.094975,3.175781,3.430305,4.656978,4.972689", \ "3.089194,3.137378,3.196163,3.285916,3.523026,4.742326,5.054361"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D & RN & !SE & SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("2.828904,2.921370,2.995585,3.085917,3.335573,4.581873,4.907082", \ "2.820262,2.910550,2.985313,3.089496,3.342431,4.571305,4.898335", \ "2.810213,2.899455,2.962202,3.065662,3.305127,4.526132,4.879208", \ "2.821099,2.901619,2.970302,3.057788,3.300815,4.562501,4.867023", \ "2.886615,2.951030,3.011397,3.093933,3.345663,4.605836,4.898855", \ "2.984361,3.047864,3.094833,3.175624,3.430124,4.656741,4.972420", \ "3.089113,3.137287,3.196063,3.283237,3.522885,4.742127,5.054112"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D & RN & SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("2.829446,2.921950,2.996201,3.086601,3.336316,4.582704,4.907900", \ "2.820803,2.911128,2.985928,3.090121,3.343182,4.572163,4.899169", \ "2.810743,2.900020,2.962803,3.066304,3.305860,4.526947,4.879991", \ "2.821607,2.902161,2.970875,3.058480,3.301507,4.563263,4.867784", \ "2.887068,2.951515,3.011907,3.094489,3.346318,4.606518,4.899604", \ "2.984712,3.048242,3.095252,3.176086,3.430651,4.657369,4.973049", \ "3.089321,3.137526,3.196326,3.283564,3.523261,4.742625,5.054654"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D & RN & SE & SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("2.828992,2.921906,2.996175,3.086681,3.336602,4.585939,4.910902", \ "2.820677,2.911432,2.985930,3.090288,3.342625,4.551817,4.902597", \ "2.810521,2.900048,2.962853,3.066544,3.306135,4.528099,4.883055", \ "2.821638,2.901962,2.971193,3.058811,3.301423,4.565810,4.870904", \ "2.887239,2.951803,3.012258,3.094526,3.346991,4.608070,4.902836", \ "2.985094,3.048747,3.095838,3.176831,3.431654,4.659784,4.977385", \ "3.084813,3.138322,3.195480,3.287141,3.524643,4.744792,5.058215"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D & !RN & !SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("2.810767,3.007948,3.170655,3.375584,3.628676,3.873803,4.230849", \ "2.791429,2.987902,3.150381,3.354976,3.606393,3.866453,4.206187", \ "2.759429,2.956659,3.123116,3.340660,3.582291,3.839361,4.187881", \ "2.786451,2.969796,3.131836,3.348183,3.584673,3.849602,4.200769", \ "2.872333,3.045660,3.208437,3.411550,3.645525,3.899343,4.272103", \ "2.927351,3.097554,3.248328,3.476639,3.765773,4.041421,4.404683", \ "3.129587,3.253980,3.377579,3.579594,3.856379,4.171636,4.579668"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("4.416490,4.621361,4.712988,4.790798,4.846042,4.851099,4.942713", \ "4.425597,4.614633,4.710131,4.779015,4.826604,4.831877,4.937241", \ "4.411357,4.602238,4.708455,4.759473,4.787116,4.861049,4.929045", \ "4.415464,4.604815,4.706047,4.760589,4.817872,4.891621,4.929247", \ "4.404755,4.609869,4.701035,4.775269,4.806160,4.879848,4.900487", \ "4.413844,4.618736,4.707930,4.769659,4.796592,4.870760,4.933126", \ "4.429298,4.634069,4.716053,4.789996,4.830972,4.903884,4.942386"); } } internal_power () { related_pin : "SN"; when : "CK & D & !RN & !SE & SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("2.810771,3.007952,3.170658,3.375589,3.628682,3.873811,4.230856", \ "2.791433,2.987905,3.150384,3.354981,3.606397,3.866455,4.206160", \ "2.759433,2.956663,3.123120,3.340663,3.582294,3.839364,4.187885", \ "2.786455,2.969801,3.131840,3.348186,3.584677,3.849603,4.200772", \ "2.872337,3.045665,3.208440,3.411553,3.651596,3.899351,4.272108", \ "2.927375,3.097563,3.248332,3.476642,3.765775,4.041422,4.404690", \ "3.129598,3.253983,3.377582,3.579597,3.856382,4.171641,4.579675"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("4.416494,4.621363,4.712990,4.790799,4.846043,4.851105,4.942713", \ "4.425599,4.614635,4.710133,4.779017,4.826607,4.831884,4.937242", \ "4.411360,4.602241,4.708456,4.759484,4.787122,4.861054,4.929047", \ "4.415468,4.604818,4.706050,4.760593,4.817876,4.891622,4.929249", \ "4.404759,4.609871,4.701037,4.775271,4.806165,4.879851,4.900492", \ "4.413848,4.618738,4.707931,4.769662,4.796598,4.870764,4.933128", \ "4.429302,4.634072,4.716056,4.789999,4.830976,4.903886,4.942389"); } } internal_power () { related_pin : "SN"; when : "CK & D & !RN & SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("2.810502,3.007647,3.170250,3.375126,3.628563,3.873566,4.229431", \ "2.791155,2.987679,3.150008,3.354510,3.605767,3.865817,4.204766", \ "2.759103,2.956294,3.122646,3.340137,3.581674,3.838537,4.186321", \ "2.786062,2.969324,3.131427,3.347570,3.583910,3.848617,4.199107", \ "2.871738,3.045020,3.207647,3.410763,3.650597,3.898107,4.270137", \ "2.926472,3.096627,3.247143,3.475439,3.764516,4.039860,4.402312", \ "3.128395,3.252682,3.376239,3.568833,3.854735,4.169613,4.576838"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("4.417175,4.621856,4.716602,4.790682,4.847842,4.853012,4.872267", \ "4.411656,4.616588,4.711364,4.780574,4.828447,4.833872,4.937802", \ "4.413842,4.603198,4.691940,4.753176,4.789046,4.863191,4.928721", \ "4.418213,4.607091,4.704102,4.762456,4.819827,4.825263,4.929474", \ "4.405412,4.610575,4.704274,4.783370,4.808450,4.881562,4.933705", \ "4.420841,4.610363,4.707629,4.772703,4.799753,4.874346,4.933290", \ "4.433279,4.622810,4.719347,4.792961,4.856612,4.908122,4.928427"); } } internal_power () { related_pin : "SN"; when : "CK & D & !RN & SE & SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("2.810777,3.007958,3.170667,3.375607,3.628694,3.873846,4.230883", \ "2.791438,2.987950,3.150391,3.354998,3.606410,3.866460,4.206229", \ "2.759442,2.956671,3.123128,3.340670,3.582304,3.839369,4.187820", \ "2.786507,2.969808,3.131848,3.348191,3.584692,3.849607,4.200785", \ "2.872342,3.045675,3.208445,3.411560,3.651829,3.899388,4.272128", \ "2.927359,3.097585,3.248340,3.476650,3.765778,4.041425,4.404716", \ "3.129603,3.253989,3.377588,3.579605,3.856385,4.171660,4.579704"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("4.416504,4.621368,4.712996,4.790801,4.846049,4.851135,4.942719", \ "4.425603,4.614642,4.710139,4.779024,4.826620,4.831923,4.937244", \ "4.411370,4.602252,4.708460,4.759481,4.787156,4.861074,4.929052", \ "4.415474,4.604827,4.706052,4.760608,4.817890,4.891624,4.929252", \ "4.404770,4.609877,4.701044,4.775278,4.806187,4.879860,4.900513", \ "4.413857,4.618742,4.707937,4.769676,4.796630,4.870783,4.933133", \ "4.429313,4.634077,4.716068,4.790010,4.830997,4.903893,4.942398"); } } internal_power () { related_pin : "SN"; when : "CK & D & RN & !SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("2.829134,2.922060,2.996350,3.086884,3.336832,4.586144,4.911180", \ "2.820818,2.911823,2.986092,3.090487,3.342787,4.552055,4.900512", \ "2.810659,2.900197,2.963016,3.066718,3.306357,4.528313,4.883320", \ "2.821869,2.900859,2.971153,3.058966,3.301604,4.566076,4.871165", \ "2.887353,2.951927,3.012402,3.094699,3.347148,4.608309,4.903050", \ "2.985193,3.048855,3.095941,3.176970,3.431824,4.660036,4.977616", \ "3.084884,3.138384,3.195562,3.284678,3.524792,4.744958,5.058412"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D & RN & !SE & SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("2.829722,2.922697,2.997036,3.087655,3.337709,4.587118,4.912254", \ "2.821403,2.912455,2.986762,3.091213,3.343653,4.553053,4.901582", \ "2.811239,2.900808,2.963681,3.067365,3.307223,4.529294,4.884407", \ "2.822429,2.901453,2.971791,3.059666,3.302443,4.567036,4.872230", \ "2.887865,2.952471,3.012992,3.095367,3.347903,4.609210,4.904072", \ "2.985611,3.049305,3.096420,3.177527,3.432509,4.660843,4.978587", \ "3.085142,3.138691,3.195916,3.285098,3.525351,4.745650,5.059296"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D & RN & SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("2.828922,2.921389,2.995601,3.085948,3.335703,4.581883,4.906971", \ "2.820281,2.910570,2.985335,3.089509,3.342439,4.571338,4.898248", \ "2.810232,2.899476,2.962221,3.065665,3.305128,4.526121,4.879097", \ "2.821116,2.901638,2.970320,3.057869,3.300814,4.562472,4.866905", \ "2.886627,2.951044,3.011405,3.093932,3.345669,4.605782,4.898739", \ "2.984361,3.047862,3.094836,3.175615,3.430094,4.656684,4.972257", \ "3.089096,3.137270,3.196038,3.285768,3.522825,4.742043,5.053928"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D & RN & SE & SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("2.829603,2.922565,2.996885,3.087808,3.337434,4.586757,4.911949", \ "2.821284,2.912085,2.986624,3.091072,3.343515,4.552811,4.903617", \ "2.811120,2.900687,2.963538,3.067208,3.307011,4.529061,4.884094", \ "2.822212,2.902557,2.971656,3.059522,3.302275,4.566730,4.871929", \ "2.887751,2.952348,3.012854,3.095195,3.347748,4.608959,4.903814", \ "2.985511,3.049198,3.096317,3.177386,3.432332,4.660553,4.978312", \ "3.085095,3.138619,3.195823,3.287552,3.525185,4.745479,5.059062"); } rise_power(scalar) {values ("0.0"); } } } } /****************************************************************************************** Module : SDFFRS_X2 Cell Description : Pos.edge D-Flip-Flop with active low reset, and active high scan, and active low set, and drive strength X2 *******************************************************************************************/ cell (SDFFRS_X2) { drive_strength : 2; ff ("IQ" , "IQN") { next_state : "((SE * SI) + (D * !SE))"; clocked_on : "CK"; preset : "!SN"; clear : "!RN"; clear_preset_var1 : L; clear_preset_var2 : L; } area : 8.246000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 102.566446; leakage_power () { when : "!CK & !D & !RN & !SE & !SI & !SN & !Q & !QN"; value : 95.173850; } leakage_power () { when : "!CK & !D & !RN & !SE & !SI & SN & !Q & QN"; value : 92.067711; } leakage_power () { when : "!CK & !D & !RN & !SE & SI & !SN & !Q & !QN"; value : 102.206529; } leakage_power () { when : "!CK & !D & !RN & !SE & SI & SN & !Q & QN"; value : 99.100295; } leakage_power () { when : "!CK & !D & !RN & SE & !SI & !SN & !Q & !QN"; value : 92.589926; } leakage_power () { when : "!CK & !D & !RN & SE & !SI & SN & !Q & QN"; value : 89.483502; } leakage_power () { when : "!CK & !D & !RN & SE & SI & !SN & !Q & !QN"; value : 106.405842; } leakage_power () { when : "!CK & !D & !RN & SE & SI & SN & !Q & QN"; value : 106.126409; } leakage_power () { when : "!CK & !D & RN & !SE & !SI & !SN & Q & !QN"; value : 96.660277; } leakage_power () { when : "!CK & !D & RN & !SE & !SI & SN & !Q & QN"; value : 99.741421; } leakage_power () { when : "!CK & !D & RN & !SE & !SI & SN & Q & !QN"; value : 100.948434; } leakage_power () { when : "!CK & !D & RN & !SE & SI & !SN & Q & !QN"; value : 103.692481; } leakage_power () { when : "!CK & !D & RN & !SE & SI & SN & !Q & QN"; value : 106.774196; } leakage_power () { when : "!CK & !D & RN & !SE & SI & SN & Q & !QN"; value : 107.981114; } leakage_power () { when : "!CK & !D & RN & SE & !SI & !SN & Q & !QN"; value : 94.076163; } leakage_power () { when : "!CK & !D & RN & SE & !SI & SN & !Q & QN"; value : 97.157212; } leakage_power () { when : "!CK & !D & RN & SE & !SI & SN & Q & !QN"; value : 98.364416; } leakage_power () { when : "!CK & !D & RN & SE & SI & !SN & Q & !QN"; value : 99.277337; } leakage_power () { when : "!CK & !D & RN & SE & SI & SN & !Q & QN"; value : 108.639216; } leakage_power () { when : "!CK & !D & RN & SE & SI & SN & Q & !QN"; value : 103.855815; } leakage_power () { when : "!CK & D & !RN & !SE & !SI & !SN & !Q & !QN"; value : 109.656894; } leakage_power () { when : "!CK & D & !RN & !SE & !SI & SN & !Q & QN"; value : 109.377756; } leakage_power () { when : "!CK & D & !RN & !SE & SI & !SN & !Q & !QN"; value : 110.703661; } leakage_power () { when : "!CK & D & !RN & !SE & SI & SN & !Q & QN"; value : 110.424523; } leakage_power () { when : "!CK & D & !RN & SE & !SI & !SN & !Q & !QN"; value : 101.907887; } leakage_power () { when : "!CK & D & !RN & SE & !SI & SN & !Q & QN"; value : 98.801558; } leakage_power () { when : "!CK & D & !RN & SE & SI & !SN & !Q & !QN"; value : 107.768950; } leakage_power () { when : "!CK & D & !RN & SE & SI & SN & !Q & QN"; value : 107.489622; } leakage_power () { when : "!CK & D & RN & !SE & !SI & !SN & Q & !QN"; value : 102.528864; } leakage_power () { when : "!CK & D & RN & !SE & !SI & SN & !Q & QN"; value : 111.890753; } leakage_power () { when : "!CK & D & RN & !SE & !SI & SN & Q & !QN"; value : 107.106961; } leakage_power () { when : "!CK & D & RN & !SE & SI & !SN & Q & !QN"; value : 103.575631; } leakage_power () { when : "!CK & D & RN & !SE & SI & SN & !Q & QN"; value : 112.937520; } leakage_power () { when : "!CK & D & RN & !SE & SI & SN & Q & !QN"; value : 108.154014; } leakage_power () { when : "!CK & D & RN & SE & !SI & !SN & Q & !QN"; value : 103.394124; } leakage_power () { when : "!CK & D & RN & SE & !SI & SN & !Q & QN"; value : 106.475268; } leakage_power () { when : "!CK & D & RN & SE & !SI & SN & Q & !QN"; value : 107.682472; } leakage_power () { when : "!CK & D & RN & SE & SI & !SN & Q & !QN"; value : 100.640635; } leakage_power () { when : "!CK & D & RN & SE & SI & SN & !Q & QN"; value : 110.002428; } leakage_power () { when : "!CK & D & RN & SE & SI & SN & Q & !QN"; value : 105.219017; } leakage_power () { when : "CK & !D & !RN & !SE & !SI & !SN & !Q & !QN"; value : 98.677706; } leakage_power () { when : "CK & !D & !RN & !SE & !SI & SN & !Q & QN"; value : 92.388536; } leakage_power () { when : "CK & !D & !RN & !SE & SI & !SN & !Q & !QN"; value : 105.708485; } leakage_power () { when : "CK & !D & !RN & !SE & SI & SN & !Q & QN"; value : 99.419885; } leakage_power () { when : "CK & !D & !RN & SE & !SI & !SN & !Q & !QN"; value : 96.092452; } leakage_power () { when : "CK & !D & !RN & SE & !SI & SN & !Q & QN"; value : 89.803757; } leakage_power () { when : "CK & !D & !RN & SE & SI & !SN & !Q & !QN"; value : 91.630473; } leakage_power () { when : "CK & !D & !RN & SE & SI & SN & !Q & QN"; value : 91.311767; } leakage_power () { when : "CK & !D & RN & !SE & !SI & !SN & Q & !QN"; value : 106.028873; } leakage_power () { when : "CK & !D & RN & !SE & !SI & SN & !Q & QN"; value : 99.208396; } leakage_power () { when : "CK & !D & RN & !SE & !SI & SN & Q & !QN"; value : 111.457496; } leakage_power () { when : "CK & !D & RN & !SE & SI & !SN & Q & !QN"; value : 113.060602; } leakage_power () { when : "CK & !D & RN & !SE & SI & SN & !Q & QN"; value : 106.240029; } leakage_power () { when : "CK & !D & RN & !SE & SI & SN & Q & !QN"; value : 118.488275; } leakage_power () { when : "CK & !D & RN & SE & !SI & !SN & Q & !QN"; value : 103.444284; } leakage_power () { when : "CK & !D & RN & SE & !SI & SN & !Q & QN"; value : 96.623616; } leakage_power () { when : "CK & !D & RN & SE & !SI & SN & Q & !QN"; value : 108.872337; } leakage_power () { when : "CK & !D & RN & SE & SI & !SN & Q & !QN"; value : 98.966535; } leakage_power () { when : "CK & !D & RN & SE & SI & SN & !Q & QN"; value : 98.132948; } leakage_power () { when : "CK & !D & RN & SE & SI & SN & Q & !QN"; value : 104.396773; } leakage_power () { when : "CK & D & !RN & !SE & !SI & !SN & !Q & !QN"; value : 94.882276; } leakage_power () { when : "CK & D & !RN & !SE & !SI & SN & !Q & QN"; value : 94.563665; } leakage_power () { when : "CK & D & !RN & !SE & SI & !SN & !Q & !QN"; value : 95.929233; } leakage_power () { when : "CK & D & !RN & !SE & SI & SN & !Q & QN"; value : 95.610812; } leakage_power () { when : "CK & D & !RN & SE & !SI & !SN & !Q & !QN"; value : 105.407944; } leakage_power () { when : "CK & D & !RN & SE & !SI & SN & !Q & QN"; value : 99.119627; } leakage_power () { when : "CK & D & !RN & SE & SI & !SN & !Q & !QN"; value : 92.994141; } leakage_power () { when : "CK & D & !RN & SE & SI & SN & !Q & QN"; value : 92.675720; } leakage_power () { when : "CK & D & RN & !SE & !SI & !SN & Q & !QN"; value : 102.218337; } leakage_power () { when : "CK & D & RN & !SE & !SI & SN & !Q & QN"; value : 101.384750; } leakage_power () { when : "CK & D & RN & !SE & !SI & SN & Q & !QN"; value : 107.648576; } leakage_power () { when : "CK & D & RN & !SE & SI & !SN & Q & !QN"; value : 103.265390; } leakage_power () { when : "CK & D & RN & !SE & SI & SN & !Q & QN"; value : 102.431897; } leakage_power () { when : "CK & D & RN & !SE & SI & SN & Q & !QN"; value : 108.695532; } leakage_power () { when : "CK & D & RN & SE & !SI & !SN & Q & !QN"; value : 112.759110; } leakage_power () { when : "CK & D & RN & SE & !SI & SN & !Q & QN"; value : 105.939487; } leakage_power () { when : "CK & D & RN & SE & !SI & SN & Q & !QN"; value : 118.187733; } leakage_power () { when : "CK & D & RN & SE & SI & !SN & Q & !QN"; value : 100.330298; } leakage_power () { when : "CK & D & RN & SE & SI & SN & !Q & QN"; value : 99.496806; } leakage_power () { when : "CK & D & RN & SE & SI & SN & Q & !QN"; value : 105.760441; } pin (D) { direction : input; nextstate_type : data; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.099217; fall_capacitance : 1.035432; rise_capacitance : 1.099217; timing () { related_pin : "CK"; timing_type : hold_rising; when : "RN & !SE & SN"; sdf_cond : "RN_AND_NEG_SE_AND_SN === 1'b1"; fall_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.064535,-0.013260,0.043533", \ "-0.107249,-0.054294,0.005081", \ "0.158744,0.215560,0.280967"); } rise_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.022211,0.014028,0.048137", \ "-0.022506,0.009176,0.020985", \ "0.116422,0.145011,0.122298"); } } timing () { related_pin : "CK"; timing_type : setup_rising; when : "RN & !SE & SN"; sdf_cond : "RN_AND_NEG_SE_AND_SN === 1'b1"; fall_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.234644,0.205695,0.221747", \ "0.292303,0.263281,0.279081", \ "0.447461,0.417496,0.431300"); } rise_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.232092,0.175560,0.110220", \ "0.276334,0.219570,0.154318", \ "0.342012,0.285201,0.219820"); } } internal_power () { when : "!CK & !RN & !SE & !SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.020024,4.003725,3.976192,3.964165,3.973373,4.024308,4.140400"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.167883,3.144904,3.116458,3.093236,3.094423,3.144103,3.265168"); } } internal_power () { when : "!CK & !RN & !SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.020888,4.004813,3.980144,3.965295,3.974815,4.027890,4.142802"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.192643,3.172446,3.143624,3.118881,3.117965,3.171293,3.292323"); } } internal_power () { when : "!CK & !RN & !SE & SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.014391,3.995646,3.967983,3.955764,3.964594,4.015227,4.130818"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.170375,3.147395,3.118962,3.095809,3.094801,3.146630,3.267389"); } } internal_power () { when : "!CK & !RN & !SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.012231,3.996285,3.968428,3.956357,3.965635,4.018349,4.132785"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.187904,3.167516,3.137382,3.113306,3.112659,3.166374,3.286465"); } } internal_power () { when : "!CK & !RN & SE & !SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.547694,0.524593,0.515824,0.512208,0.509239,0.509187,0.508945"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.444214,-0.446719,-0.450899,-0.454549,-0.456800,-0.458389,-0.459242"); } } internal_power () { when : "!CK & !RN & SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.547161,0.524041,0.515291,0.511686,0.508789,0.508689,0.508549"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.446903,-0.449458,-0.453630,-0.457264,-0.459491,-0.461039,-0.461838"); } } internal_power () { when : "!CK & !RN & SE & SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.480060,0.483369,0.484133,0.484712,0.484691,0.486246,0.484791"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.329841,-0.361901,-0.400885,-0.420500,-0.430901,-0.437183,-0.441851"); } } internal_power () { when : "!CK & !RN & SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.480204,0.483502,0.484273,0.484853,0.484862,0.486387,0.484970"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.329772,-0.361858,-0.400840,-0.420449,-0.430843,-0.437115,-0.441768"); } } internal_power () { when : "!CK & RN & !SE & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.346124,5.327880,5.302657,5.289963,5.297520,5.346504,5.461495"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.869449,3.847722,3.819305,3.796723,3.797388,3.844117,3.969072"); } } internal_power () { when : "!CK & RN & !SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.427751,5.408931,5.385109,5.370641,5.379153,5.428755,5.543734"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.998896,3.976533,3.947841,3.923569,3.923620,3.965807,4.091069"); } } internal_power () { when : "!CK & RN & !SE & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.394852,5.376789,5.352010,5.338215,5.345941,5.394886,5.508724"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.887199,3.862980,3.835969,3.812929,3.812900,3.859400,3.986176"); } } internal_power () { when : "!CK & RN & !SE & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.338044,5.319782,5.294467,5.281479,5.288831,5.337414,5.451952"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.874070,3.850174,3.821781,3.799355,3.799289,3.845911,3.969688"); } } internal_power () { when : "!CK & RN & !SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.419660,5.400839,5.376909,5.362264,5.370460,5.419682,5.534147"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.001400,3.979035,3.950347,3.925178,3.925548,3.968782,4.090897"); } } internal_power () { when : "!CK & RN & !SE & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.386754,5.368585,5.343860,5.329686,5.337270,5.385834,5.500256"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.889715,3.865464,3.836663,3.813469,3.814803,3.862430,3.985862"); } } internal_power () { when : "!CK & RN & SE & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.547220,0.524114,0.515357,0.511754,0.508826,0.508773,0.508592"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.446721,-0.449244,-0.453419,-0.457057,-0.459290,-0.460847,-0.461657"); } } internal_power () { when : "!CK & RN & SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.543817,0.523413,0.514654,0.510413,0.508052,0.508071,0.507877"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.448525,-0.451043,-0.455217,-0.458854,-0.461085,-0.462635,-0.463436"); } } internal_power () { when : "!CK & RN & SE & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.544337,0.523940,0.515180,0.510927,0.508573,0.508625,0.508429"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.447729,-0.450231,-0.454403,-0.458035,-0.460257,-0.461798,-0.462586"); } } internal_power () { when : "!CK & RN & SE & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.480179,0.483452,0.484237,0.484815,0.484886,0.486323,0.484991"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.329649,-0.361791,-0.400774,-0.420385,-0.430780,-0.437055,-0.441712"); } } internal_power () { when : "!CK & RN & SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.480058,0.483353,0.484126,0.484705,0.484721,0.486230,0.484825"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.329928,-0.362020,-0.401003,-0.420616,-0.431013,-0.437291,-0.442004"); } } internal_power () { when : "!CK & RN & SE & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.480025,0.483307,0.484089,0.484075,0.484661,0.486187,0.484831"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.330113,-0.362235,-0.401218,-0.420830,-0.431225,-0.437499,-0.442156"); } } internal_power () { when : "CK & !RN & !SE & !SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.308109,2.289418,2.263999,2.252815,2.263868,2.329843,2.442118"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.187442,1.165164,1.138025,1.119366,1.123249,1.173084,1.289132"); } } internal_power () { when : "CK & !RN & !SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.347531,2.329096,2.303491,2.291761,2.301953,2.367205,2.476470"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.151438,1.129245,1.101290,1.084658,1.088199,1.139331,1.259855"); } } internal_power () { when : "CK & !RN & !SE & SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.299394,2.280317,2.255161,2.243786,2.254554,2.320789,2.430941"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.187379,1.165258,1.137931,1.119371,1.122553,1.172653,1.288875"); } } internal_power () { when : "CK & !RN & !SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.339874,2.321291,2.296170,2.283699,2.293573,2.358743,2.466303"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.155956,1.133700,1.105770,1.087396,1.092233,1.142923,1.264224"); } } internal_power () { when : "CK & !RN & SE & !SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.543785,0.523473,0.513983,0.511037,0.508084,0.508072,0.507866"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.448357,-0.451035,-0.455622,-0.459287,-0.461507,-0.463165,-0.464273"); } } internal_power () { when : "CK & !RN & SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.543617,0.523276,0.513881,0.510859,0.507980,0.507881,0.507778"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.448744,-0.451489,-0.456075,-0.459741,-0.461961,-0.463619,-0.464727"); } } internal_power () { when : "CK & !RN & SE & SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.479573,0.482776,0.483015,0.484126,0.484177,0.485543,0.484182"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.330403,-0.362626,-0.401577,-0.421200,-0.431557,-0.437829,-0.442488"); } } internal_power () { when : "CK & !RN & SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.480051,0.483243,0.483526,0.484627,0.484734,0.486111,0.484846"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.330245,-0.362493,-0.401444,-0.421062,-0.431411,-0.437675,-0.442320"); } } internal_power () { when : "CK & RN & !SE & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.308228,2.289541,2.264160,2.252920,2.264182,2.328698,2.442162"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.185850,1.163605,1.136433,1.117847,1.122539,1.169869,1.288843"); } } internal_power () { when : "CK & RN & !SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.346874,2.328349,2.302655,2.291058,2.301172,2.366735,2.475798"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.194047,1.171212,1.144232,1.126093,1.130155,1.180912,1.302705"); } } internal_power () { when : "CK & RN & !SE & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.307372,2.288673,2.263222,2.252128,2.263190,2.329243,2.444115"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.235264,1.212025,1.185713,1.164603,1.168218,1.217583,1.335246"); } } internal_power () { when : "CK & RN & !SE & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.299478,2.280381,2.255276,2.243834,2.254872,2.319949,2.430986"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.185786,1.164495,1.136336,1.118641,1.121808,1.169314,1.288592"); } } } pin (RN) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 2.634777; fall_capacitance : 2.472142; rise_capacitance : 2.634777; timing () { related_pin : "CK"; timing_type : recovery_rising; when : "SN"; sdf_cond : "SN === 1'b1"; rise_constraint(Recovery_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.191150,-0.242333,-0.306582", \ "-0.177744,-0.229997,-0.293207", \ "-0.038197,-0.111574,-0.200166"); } } timing () { related_pin : "CK"; timing_type : removal_rising; when : "SN"; sdf_cond : "SN === 1'b1"; rise_constraint(Removal_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.569176,0.621548,0.687363", \ "0.708336,0.760589,0.825139", \ "1.192541,1.243450,1.308805"); } } timing () { related_pin : "RN"; timing_type : min_pulse_width; when : "SN"; sdf_cond : "SN === 1'b1"; fall_constraint(Pulse_width_3) { index_1 ("0.00231025,0.112657,0.500000"); values ("0.586316,0.662369,1.061161"); } } internal_power () { when : "!CK & !D & !SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.159935,1.157626,1.158181,1.158691,1.157482,1.156314,1.155281"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.879973,-0.883353,-0.889320,-0.894827,-0.892912,-0.890984,-0.891448"); } } internal_power () { when : "!CK & !D & !SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.160127,1.156208,1.156296,1.158961,1.157599,1.156473,1.153779"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.768300,-0.772534,-0.779620,-0.780459,-0.778162,-0.782959,-0.776785"); } } internal_power () { when : "!CK & !D & SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.160072,1.156126,1.156231,1.158895,1.157593,1.156388,1.153775"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.768341,-0.772627,-0.779712,-0.780553,-0.778256,-0.783055,-0.776880"); } } internal_power () { when : "!CK & !D & SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.185138,2.147201,2.117236,2.154457,2.241578,2.390432,2.607407"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.063932,-0.080736,-0.106589,-0.100007,-0.040050,0.086762,0.302143"); } } internal_power () { when : "!CK & D & !SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.185177,2.147263,2.117285,2.154507,2.241582,2.390498,2.607411"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.063901,-0.080661,-0.106514,-0.099932,-0.039975,0.086838,0.302218"); } } internal_power () { when : "!CK & D & !SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.185168,2.147251,2.117275,2.154497,2.241581,2.390485,2.607635"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.063908,-0.080675,-0.106528,-0.099946,-0.039989,0.086823,0.302204"); } } internal_power () { when : "!CK & D & SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.160105,1.156174,1.156270,1.158935,1.157596,1.156440,1.153777"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.768316,-0.772569,-0.779654,-0.780495,-0.778198,-0.782996,-0.776821"); } } internal_power () { when : "!CK & D & SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.185126,2.147185,2.117223,2.154443,2.241577,2.390415,2.607406"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.063939,-0.080755,-0.106609,-0.100027,-0.040069,0.086742,0.302124"); } } internal_power () { when : "CK & !D & !SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.141982,1.146970,1.149458,1.152245,1.151159,1.150649,1.147812"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.769083,-0.771103,-0.773307,-0.773532,-0.773191,-0.775743,-0.771539"); } } internal_power () { when : "CK & !D & !SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.142242,1.146179,1.149188,1.151437,1.150308,1.149861,1.146998"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.758250,-0.761127,-0.765893,-0.766198,-0.764015,-0.768387,-0.762290"); } } internal_power () { when : "CK & !D & SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.141138,1.145984,1.148434,1.151205,1.150312,1.149572,1.146793"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.773234,-0.771810,-0.776949,-0.778585,-0.777047,-0.781144,-0.776936"); } } internal_power () { when : "CK & !D & SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.140452,1.145423,1.147988,1.150721,1.149708,1.149143,1.146410"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.773166,-0.775243,-0.777446,-0.777660,-0.777319,-0.779855,-0.775633"); } } internal_power () { when : "CK & D & !SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.141375,1.146232,1.148643,1.151442,1.150523,1.149820,1.147006"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.772970,-0.771525,-0.776658,-0.778289,-0.776745,-0.780840,-0.776621"); } } internal_power () { when : "CK & D & !SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.141140,1.146124,1.148637,1.151406,1.150347,1.149824,1.147020"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.771293,-0.773336,-0.775538,-0.775754,-0.775414,-0.779889,-0.773744"); } } internal_power () { when : "CK & D & SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.141801,1.146792,1.149275,1.152076,1.150993,1.150505,1.147676"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.770004,-0.772018,-0.774220,-0.774442,-0.774094,-0.776637,-0.772419"); } } internal_power () { when : "CK & D & SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.146277,1.148070,1.150193,1.152104,1.150894,1.150212,1.147420"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.762236,-0.761960,-0.766687,-0.766683,-0.764874,-0.767641,-0.765125"); } } } pin (SE) { direction : input; nextstate_type : scan_enable; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.963771; fall_capacitance : 1.722493; rise_capacitance : 1.963771; timing () { related_pin : "CK"; timing_type : hold_rising; when : "RN & SN"; sdf_cond : "RN_AND_SN === 1'b1"; fall_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.044065,-0.008993,0.018944", \ "-0.097285,-0.066492,-0.035964", \ "0.165766,0.210100,0.197780"); } rise_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.058042,-0.008800,0.054940", \ "-0.083352,-0.030921,0.029616", \ "0.035796,0.092394,0.132857"); } } timing () { related_pin : "CK"; timing_type : setup_rising; when : "RN & SN"; sdf_cond : "RN_AND_SN === 1'b1"; fall_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.272474,0.215536,0.183710", \ "0.336276,0.279123,0.237089", \ "0.464968,0.408376,0.390849"); } rise_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.259514,0.229720,0.244567", \ "0.293316,0.263550,0.278231", \ "0.334990,0.290662,0.303012"); } } internal_power () { when : "!CK & !D & !RN & !SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.688509,1.650254,1.640790,1.690755,1.790814,1.954351,2.194499"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.029095,-0.053063,-0.076583,-0.053473,0.035971,0.192192,0.422835"); } } internal_power () { when : "!CK & !D & !RN & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.688415,1.648296,1.640555,1.690519,1.790605,1.954887,2.193600"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.030316,-0.054165,-0.077754,-0.054667,0.029773,0.191083,0.423868"); } } internal_power () { when : "!CK & !D & !RN & SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.561428,4.493956,4.449815,4.525887,4.711044,5.031725,5.480323"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.723812,2.699556,2.651243,2.666700,2.801829,3.091535,3.542611"); } } internal_power () { when : "!CK & !D & !RN & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.562417,4.491504,4.450120,4.526276,4.711580,5.032750,5.482322"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.743133,2.718851,2.672008,2.684675,2.819463,3.110544,3.562446"); } } internal_power () { when : "!CK & !D & RN & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.688928,1.649789,1.640323,1.690302,1.790401,1.953938,2.194145"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.031274,-0.055601,-0.079106,-0.055981,0.033477,0.189734,0.420423"); } } internal_power () { when : "!CK & !D & RN & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.686637,1.647360,1.639619,1.689005,1.789104,1.953237,2.192717"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.033140,-0.057329,-0.080906,-0.057805,0.029994,0.187951,0.418661"); } } internal_power () { when : "!CK & !D & RN & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.687978,1.647895,1.640145,1.689519,1.789627,1.953791,2.193268"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.032517,-0.056519,-0.080093,-0.056987,0.030820,0.188787,0.419509"); } } internal_power () { when : "!CK & !D & RN & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.886595,5.816017,5.776127,5.853107,6.036679,6.354701,6.800924"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.426103,3.401936,3.353699,3.369526,3.505260,3.789210,4.234713"); } } internal_power () { when : "!CK & !D & RN & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.968480,5.897459,5.857743,5.933379,6.118848,6.435497,6.883607"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.553237,3.529752,3.481344,3.496773,3.631171,3.910744,4.367235"); } } internal_power () { when : "!CK & !D & RN & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.935838,5.865064,5.825090,5.901135,6.086221,6.402921,6.854662"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.442794,3.418803,3.370601,3.386440,3.520315,3.806250,4.254655"); } } internal_power () { when : "!CK & D & !RN & !SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.707279,4.669108,4.685237,4.775226,4.923407,5.139731,5.449107"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.451288,3.449444,3.392380,3.378284,3.434792,3.580489,3.813629"); } } internal_power () { when : "!CK & D & !RN & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.706240,4.668455,4.684008,4.776080,4.922486,5.141052,5.446862"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.400387,3.395375,3.341554,3.325566,3.380322,3.525763,3.764359"); } } internal_power () { when : "!CK & D & !RN & SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.553001,1.516800,1.511770,1.561954,1.666015,1.834283,2.071305"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.032608,0.013684,-0.006228,0.013906,0.097424,0.252160,0.489992"); } } internal_power () { when : "!CK & D & !RN & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.553966,1.517208,1.511986,1.562492,1.666326,1.834710,2.069973"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.032943,0.014037,-0.006035,0.013978,0.097725,0.252453,0.490203"); } } internal_power () { when : "!CK & D & RN & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.411081,5.375884,5.392197,5.481129,5.630097,5.846083,6.150288"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.768232,4.761079,4.709289,4.692629,4.747281,4.891270,5.123337"); } } internal_power () { when : "!CK & D & RN & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.390759,5.355517,5.371823,5.459752,5.611063,5.827532,6.126417"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.809882,4.805310,4.751247,4.734472,4.792054,4.933319,5.168091"); } } internal_power () { when : "!CK & D & RN & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.421681,5.387028,5.401125,5.489596,5.642770,5.859727,6.162593"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.827257,4.825680,4.771637,4.754699,4.807021,4.956247,5.187074"); } } internal_power () { when : "!CK & D & RN & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.553893,1.517164,1.511976,1.562828,1.666380,1.834471,2.070820"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.033001,0.014016,-0.005898,0.014251,0.097762,0.252503,0.490233"); } } internal_power () { when : "!CK & D & RN & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.553182,1.517065,1.511856,1.562114,1.666155,1.834396,2.069831"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.032578,0.013789,-0.006229,0.014029,0.097466,0.252256,0.490010"); } } internal_power () { when : "!CK & D & RN & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.553740,1.517015,1.511827,1.562092,1.666155,1.834335,2.071456"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.032544,0.013640,-0.006341,0.013806,0.097317,0.252059,0.489904"); } } internal_power () { when : "CK & !D & !RN & !SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.687861,1.647563,1.639006,1.689993,1.789725,1.954490,2.193407"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.029661,-0.055017,-0.077841,-0.054426,0.034913,0.189251,0.426027"); } } internal_power () { when : "CK & !D & !RN & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.690111,1.648727,1.640272,1.691043,1.790995,1.955054,2.194636"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.027235,-0.051453,-0.074879,-0.051565,0.037540,0.192175,0.428936"); } } internal_power () { when : "CK & !D & !RN & SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.839383,2.769828,2.731653,2.814527,3.006412,3.334352,3.789243"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.717480,0.692792,0.649808,0.671919,0.810584,1.096573,1.543493"); } } internal_power () { when : "CK & !D & !RN & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.880923,2.812192,2.774959,2.855219,3.046693,3.372097,3.823824"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.687892,0.663519,0.620915,0.645459,0.786054,1.075667,1.523926"); } } internal_power () { when : "CK & !D & RN & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.687825,1.647529,1.638971,1.689962,1.789696,1.954468,2.193390"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.029874,-0.055229,-0.078052,-0.054635,0.034704,0.189042,0.425820"); } } internal_power () { when : "CK & !D & RN & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.688188,1.647210,1.637620,1.689617,1.789487,1.953704,2.193491"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.038057,-0.059401,-0.081513,-0.058479,0.025951,0.185851,0.416341"); } } internal_power () { when : "CK & !D & RN & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.687997,1.649045,1.638993,1.689637,1.789629,1.953200,2.192697"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.037687,-0.059320,-0.081526,-0.058385,0.025511,0.186159,0.418829"); } } internal_power () { when : "CK & !D & RN & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.839407,2.769901,2.731672,2.814547,3.006107,3.334395,3.789297"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.716421,0.692585,0.649611,0.671760,0.810529,1.096175,1.543482"); } } internal_power () { when : "CK & !D & RN & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.880023,2.811135,2.773336,2.854184,3.043730,3.371176,3.822977"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.749863,0.725787,0.682162,0.706309,0.843867,1.132474,1.578719"); } } internal_power () { when : "CK & !D & RN & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.839191,2.769790,2.731515,2.814433,3.006447,3.334254,3.789151"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.788274,0.764434,0.720255,0.741519,0.879184,1.159873,1.608758"); } } internal_power () { when : "CK & D & !RN & !SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.744370,2.709122,2.724145,2.811946,2.961817,3.177449,3.472255"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.605921,1.609865,1.585983,1.590057,1.658642,1.811494,2.040168"); } } internal_power () { when : "CK & D & !RN & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.707215,2.671625,2.686521,2.776357,2.925034,3.144022,3.439545"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.642901,1.646954,1.623172,1.625681,1.697000,1.843512,2.081712"); } } } pin (SI) { direction : input; nextstate_type : scan_in; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 0.843755; fall_capacitance : 0.800529; rise_capacitance : 0.843755; timing () { related_pin : "CK"; timing_type : hold_rising; when : "RN & SE & SN"; sdf_cond : "RN_AND_SE_AND_SN === 1'b1"; fall_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.076575,-0.024469,0.032473", \ "-0.113459,-0.058730,0.001961", \ "0.137646,0.194292,0.259314"); } rise_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.022902,0.013316,0.046708", \ "-0.024201,0.007430,0.019918", \ "0.132778,0.163669,0.138863"); } } timing () { related_pin : "CK"; timing_type : setup_rising; when : "RN & SE & SN"; sdf_cond : "RN_AND_SE_AND_SN === 1'b1"; fall_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.268294,0.238787,0.253697", \ "0.325780,0.296277,0.310892", \ "0.489621,0.458736,0.472040"); } rise_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.236657,0.179745,0.114444", \ "0.285582,0.228304,0.163676", \ "0.363112,0.306471,0.241474"); } } internal_power () { when : "!CK & !D & !RN & !SE & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.415374,0.398803,0.391335,0.388141,0.385672,0.385578,0.384287"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.339889,-0.341431,-0.344489,-0.346155,-0.347095,-0.347767,-0.348198"); } } internal_power () { when : "!CK & !D & !RN & !SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.412951,0.398555,0.391101,0.387906,0.385493,0.385325,0.384106"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.341004,-0.342597,-0.345645,-0.347309,-0.348233,-0.348882,-0.349327"); } } internal_power () { when : "!CK & !D & !RN & SE & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.991665,3.979791,3.961236,3.948620,3.954312,3.987050,4.069498"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.823300,2.807640,2.786194,2.771112,2.764349,2.797317,2.875858"); } } internal_power () { when : "!CK & !D & !RN & SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.988947,3.977223,3.958906,3.949236,3.955322,3.989216,4.070522"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.844675,2.826749,2.807688,2.791397,2.785247,2.818286,2.898800"); } } internal_power () { when : "!CK & !D & RN & !SE & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.414901,0.398325,0.390870,0.387688,0.385259,0.385164,0.383934"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.342396,-0.343956,-0.347010,-0.348663,-0.349585,-0.350225,-0.350612"); } } internal_power () { when : "!CK & !D & RN & !SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.411998,0.397623,0.390167,0.386318,0.384482,0.384462,0.383219"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.344198,-0.345755,-0.348800,-0.350460,-0.351380,-0.352012,-0.352391"); } } internal_power () { when : "!CK & !D & RN & !SE & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.412518,0.398150,0.390692,0.386832,0.385005,0.385017,0.383771"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.343403,-0.344943,-0.347986,-0.349640,-0.350552,-0.351176,-0.351541"); } } internal_power () { when : "!CK & !D & RN & SE & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.318621,5.306332,5.287019,5.276764,5.279737,5.309961,5.387739"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.526220,3.509970,3.490953,3.473666,3.468676,3.498002,3.584464"); } } internal_power () { when : "!CK & !D & RN & SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.396066,5.384797,5.367433,5.356403,5.358262,5.391028,5.474289"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.649612,3.637801,3.618731,3.601034,3.595730,3.623146,3.701202"); } } internal_power () { when : "!CK & !D & RN & SE & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.367435,5.355448,5.336177,5.325111,5.330017,5.358442,5.441641"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.542218,3.523948,3.507348,3.490462,3.486085,3.514823,3.600303"); } } internal_power () { when : "!CK & D & !RN & !SE & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.357592,0.360827,0.361807,0.361633,0.360945,0.361576,0.361158"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.251071,-0.272858,-0.302624,-0.316792,-0.324343,-0.329014,-0.332194"); } } internal_power () { when : "!CK & D & !RN & !SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.357739,0.360960,0.361948,0.361774,0.361116,0.361713,0.361336"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.251002,-0.272814,-0.302578,-0.316742,-0.324284,-0.328946,-0.332111"); } } internal_power () { when : "!CK & D & !RN & SE & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.980794,3.968874,3.950314,3.936616,3.942589,3.974599,4.056465"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.849649,2.833506,2.814364,2.794818,2.789532,2.823060,2.903223"); } } internal_power () { when : "!CK & D & !RN & SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.978083,3.966267,3.947853,3.938130,3.943608,3.975098,4.057491"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.846255,2.829426,2.810390,2.792601,2.787722,2.820695,2.899094"); } } internal_power () { when : "!CK & D & RN & !SE & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.357712,0.360911,0.361912,0.361737,0.361140,0.361653,0.361358"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.250877,-0.272749,-0.302513,-0.316677,-0.324222,-0.328886,-0.332055"); } } internal_power () { when : "!CK & D & RN & !SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.357590,0.360812,0.361801,0.360941,0.360907,0.361560,0.361192"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.251156,-0.272978,-0.302741,-0.316908,-0.324455,-0.329122,-0.332295"); } } internal_power () { when : "!CK & D & RN & !SE & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.357557,0.360766,0.361763,0.360941,0.360909,0.361517,0.361197"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.251340,-0.273193,-0.302956,-0.317122,-0.324667,-0.329330,-0.332499"); } } internal_power () { when : "!CK & D & RN & SE & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.306736,5.294365,5.274956,5.264397,5.266888,5.297204,5.374667"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.528817,3.512274,3.493650,3.476246,3.471307,3.500389,3.587028"); } } internal_power () { when : "!CK & D & RN & SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.385335,5.373909,5.356336,5.345061,5.346567,5.380080,5.461189"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.656232,3.639310,3.620686,3.603463,3.598314,3.621773,3.706608"); } } internal_power () { when : "!CK & D & RN & SE & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.355584,5.343600,5.324146,5.312815,5.317303,5.346279,5.427464"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.544892,3.529325,3.509943,3.493005,3.488652,3.517211,3.601785"); } } internal_power () { when : "CK & !D & !RN & !SE & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.415159,0.397588,0.389449,0.386949,0.384505,0.384450,0.383201"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.344631,-0.346111,-0.349174,-0.350836,-0.351803,-0.352496,-0.352883"); } } internal_power () { when : "CK & !D & !RN & !SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.416358,0.398759,0.390705,0.388130,0.385743,0.385594,0.384420"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.341633,-0.343173,-0.346237,-0.347898,-0.348861,-0.349558,-0.349948"); } } internal_power () { when : "CK & !D & !RN & SE & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.275810,2.264386,2.245219,2.234520,2.243363,2.291912,2.366561"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.891567,0.875489,0.858063,0.844268,0.842031,0.873748,0.954390"); } } internal_power () { when : "CK & !D & !RN & SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.313995,2.302631,2.282972,2.271714,2.279877,2.332077,2.405721"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.851606,0.837380,0.820514,0.804862,0.804205,0.837895,0.922210"); } } internal_power () { when : "CK & !D & RN & !SE & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.415123,0.397553,0.389412,0.386917,0.384474,0.384428,0.383183"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.344843,-0.346322,-0.349384,-0.351046,-0.352011,-0.352704,-0.353090"); } } internal_power () { when : "CK & !D & RN & !SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.411823,0.397439,0.389346,0.386806,0.384405,0.384310,0.383112"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.344762,-0.346335,-0.349384,-0.351048,-0.351971,-0.352606,-0.352988"); } } internal_power () { when : "CK & !D & RN & !SE & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.412021,0.397663,0.389485,0.387019,0.384553,0.384546,0.383264"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.344731,-0.346242,-0.349287,-0.350943,-0.351854,-0.352472,-0.352832"); } } internal_power () { when : "CK & !D & RN & SE & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.275694,2.264262,2.245165,2.234326,2.243157,2.292058,2.366337"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.890198,0.874196,0.856044,0.844115,0.841803,0.870536,0.954113"); } } internal_power () { when : "CK & !D & RN & SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.312922,2.301573,2.281881,2.270474,2.279471,2.331126,2.406196"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.854034,0.837683,0.820817,0.805269,0.803799,0.838173,0.919099"); } } internal_power () { when : "CK & !D & RN & SE & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.274685,2.263278,2.244112,2.233432,2.242299,2.290976,2.366936"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.891669,0.875616,0.857560,0.844587,0.841548,0.874000,0.951077"); } } internal_power () { when : "CK & D & !RN & !SE & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.358495,0.361700,0.362111,0.362506,0.361907,0.362373,0.362078"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.248306,-0.270533,-0.299612,-0.314124,-0.321602,-0.326071,-0.329237"); } } internal_power () { when : "CK & D & !RN & !SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.357998,0.361191,0.361648,0.362015,0.361460,0.361901,0.361672"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.249995,-0.272254,-0.301329,-0.315841,-0.323313,-0.327771,-0.330921"); } } } pin (SN) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.811146; fall_capacitance : 1.788904; rise_capacitance : 1.811146; timing () { related_pin : "CK"; timing_type : recovery_rising; when : "RN"; sdf_cond : "RN === 1'b1"; rise_constraint(Recovery_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.192066,-0.249762,-0.319231", \ "-0.133477,-0.191716,-0.262323", \ "0.144085,0.067817,-0.023004"); } } timing () { related_pin : "CK"; timing_type : removal_rising; when : "RN"; sdf_cond : "RN === 1'b1"; rise_constraint(Removal_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.556657,0.597092,0.656550", \ "0.567484,0.608408,0.667761", \ "0.743323,0.784457,0.843581"); } } timing () { related_pin : "SN"; timing_type : min_pulse_width; when : "RN"; sdf_cond : "RN === 1'b1"; fall_constraint(Pulse_width_3) { index_1 ("0.00231025,0.112657,0.500000"); values ("0.625698,0.696506,1.053288"); } } internal_power () { when : "!CK & !D & RN & !SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.727006,0.729354,0.731368,0.734820,0.735365,0.736458,0.736224"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.437598,-0.443905,-0.451648,-0.460002,-0.462316,-0.461352,-0.461138"); } } internal_power () { when : "!CK & !D & RN & !SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.727031,0.729390,0.731398,0.734850,0.735367,0.736497,0.735537"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.437580,-0.443862,-0.451604,-0.459957,-0.462271,-0.461308,-0.461093"); } } internal_power () { when : "!CK & !D & RN & SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.726976,0.729312,0.731333,0.734784,0.735361,0.736413,0.736221"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.437619,-0.443956,-0.451698,-0.460052,-0.462366,-0.461403,-0.461188"); } } internal_power () { when : "!CK & !D & RN & SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.725833,0.729070,0.732003,0.734652,0.735190,0.736243,0.734119"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.506720,-0.508360,-0.513318,-0.515204,-0.516805,-0.513896,-0.514112"); } } internal_power () { when : "!CK & D & RN & !SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.725877,0.729131,0.732054,0.734703,0.735196,0.736309,0.734123"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.506689,-0.508285,-0.513243,-0.515129,-0.516730,-0.513822,-0.514037"); } } internal_power () { when : "!CK & D & RN & !SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.725868,0.729119,0.732044,0.734693,0.735195,0.736296,0.734122"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.506695,-0.508300,-0.513258,-0.515144,-0.516745,-0.513060,-0.514052"); } } internal_power () { when : "!CK & D & RN & SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.727009,0.729360,0.731373,0.734824,0.735364,0.736464,0.735534"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.437596,-0.443899,-0.451640,-0.459994,-0.462308,-0.461345,-0.461130"); } } internal_power () { when : "!CK & D & RN & SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.725822,0.729054,0.731990,0.734638,0.735189,0.736226,0.734118"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.506729,-0.508380,-0.513338,-0.515224,-0.516825,-0.513916,-0.514132"); } } internal_power () { when : "CK & !D & RN & !SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.747410,0.742388,0.740754,0.742457,0.742144,0.742750,0.741618"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.506318,-0.510018,-0.515216,-0.517435,-0.518145,-0.515170,-0.515981"); } } internal_power () { when : "CK & !D & RN & !SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.747200,0.742190,0.740523,0.742261,0.741927,0.742577,0.741423"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.507225,-0.510898,-0.516095,-0.518311,-0.519018,-0.517925,-0.516835"); } } internal_power () { when : "CK & !D & RN & SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.747233,0.742241,0.740735,0.740835,0.742079,0.742665,0.740953"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.507094,-0.507509,-0.515185,-0.517725,-0.518078,-0.515697,-0.517352"); } } internal_power () { when : "CK & !D & RN & SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.746316,0.741215,0.739640,0.739723,0.741063,0.741701,0.740927"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.507319,-0.511127,-0.516328,-0.518524,-0.519215,-0.518162,-0.517073"); } } internal_power () { when : "CK & D & RN & !SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.746553,0.741511,0.739852,0.740196,0.741362,0.741990,0.741165"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.507003,-0.507702,-0.515446,-0.518331,-0.516856,-0.516211,-0.517536"); } } internal_power () { when : "CK & D & RN & !SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.747003,0.741915,0.740288,0.740407,0.741702,0.742376,0.741537"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.505446,-0.509219,-0.514420,-0.516618,-0.517310,-0.514364,-0.515184"); } } internal_power () { when : "CK & D & RN & SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.747246,0.742228,0.740590,0.742304,0.741994,0.742618,0.741496"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.507171,-0.510865,-0.516061,-0.518277,-0.518983,-0.517889,-0.516797"); } } internal_power () { when : "CK & D & RN & SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.746498,0.741434,0.739852,0.740132,0.741356,0.741907,0.741160"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.507043,-0.507797,-0.515540,-0.518426,-0.516951,-0.516305,-0.517631"); } } } pin (CK) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock : true; capacitance : 0.897514; fall_capacitance : 0.808058; rise_capacitance : 0.897514; timing () { related_pin : "CK"; timing_type : min_pulse_width; when : "RN & SN"; sdf_cond : "RN_AND_SN === 1'b1"; fall_constraint(Pulse_width_3) { index_1 ("0.00231025,0.112657,0.500000"); values ("0.266992,0.277246,0.500500"); } rise_constraint(Pulse_width_3) { index_1 ("0.00231025,0.112657,0.500000"); values ("0.154953,0.166147,0.500500"); } } internal_power () { when : "!D & !RN & !SE & !SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.492686,5.465909,5.436876,5.454314,5.536046,5.697574,5.922976"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.875234,5.860481,5.813389,5.789259,5.827812,5.950099,6.164172"); } } internal_power () { when : "!D & !RN & !SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.947047,2.921979,2.893620,2.910817,2.989471,3.145375,3.357652"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.985876,2.973055,2.942179,2.933881,2.988892,3.101985,3.313958"); } } internal_power () { when : "!D & !RN & !SE & SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.492695,5.466901,5.436912,5.454338,5.536060,5.697615,5.922759"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.875252,5.860521,5.813434,5.789300,5.827851,5.950141,6.164233"); } } internal_power () { when : "!D & !RN & !SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.952097,2.927069,2.898699,2.915936,2.994198,3.149702,3.368309"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.773069,2.761102,2.727083,2.720847,2.776947,2.891448,3.095845"); } } internal_power () { when : "!D & !RN & SE & !SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.491610,5.465836,5.436828,5.454259,5.536032,5.697500,5.922752"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.875237,5.860444,5.813352,5.789225,5.827777,5.950034,6.164108"); } } internal_power () { when : "!D & !RN & SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.951968,2.926921,2.898573,2.915819,2.994151,3.149578,3.368301"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.773056,2.761050,2.727028,2.720790,2.776885,2.891346,3.095734"); } } internal_power () { when : "!D & !RN & SE & SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.378381,4.356624,4.336614,4.355613,4.438505,4.580919,4.812078"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.694495,3.680629,3.648058,3.646011,3.705931,3.844685,4.062551"); } } internal_power () { when : "!D & !RN & SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.736974,4.711919,4.683243,4.707333,4.798936,4.962719,5.199753"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("14.868020,14.852990,14.823610,14.834610,14.904100,15.051180,15.279470"); } } internal_power () { when : "!D & RN & !SE & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.473390,5.448987,5.419930,5.435573,5.511574,5.668613,5.893703"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("7.826837,7.819095,7.745234,7.700174,7.733452,7.857600,8.072109"); } } internal_power () { when : "!D & RN & !SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.958693,2.933642,2.905126,2.921369,3.000237,3.154360,3.375700"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.795191,2.780872,2.747789,2.742205,2.797501,2.912092,3.121004"); } } internal_power () { when : "!D & RN & !SE & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.567701,5.539851,5.510938,5.530981,5.610865,5.769737,5.998647"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "!D & RN & !SE & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.473408,5.449028,5.419967,5.435604,5.511580,5.668659,5.893713"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("7.826867,7.819140,7.745282,7.700217,7.733503,7.857661,8.072152"); } } internal_power () { when : "!D & RN & !SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.958718,2.933682,2.905159,2.921372,3.000240,3.154404,3.375706"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.795205,2.780909,2.747826,2.742245,2.797540,2.912133,3.121060"); } } internal_power () { when : "!D & RN & !SE & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.567753,5.539914,5.511004,5.531046,5.610943,5.769807,5.998714"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "!D & RN & SE & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.473658,5.448862,5.419861,5.435485,5.511331,5.668500,5.893624"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("7.826634,7.819065,7.745200,7.700137,7.733426,7.857536,8.072039"); } } internal_power () { when : "!D & RN & SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.958593,2.933531,2.905027,2.921323,3.000198,3.154279,3.377122"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.795191,2.780833,2.747751,2.742176,2.797474,2.912027,3.121522"); } } internal_power () { when : "!D & RN & SE & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.571109,5.539559,5.510653,5.530881,5.610765,5.769622,5.998574"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "!D & RN & SE & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.060146,3.034560,3.007158,3.025496,3.107875,3.263500,3.479993"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.672913,2.664170,2.628464,2.621619,2.680988,2.793999,3.005121"); } } internal_power () { when : "!D & RN & SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.626010,5.598889,5.571870,5.591721,5.672094,5.824438,6.046410"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "!D & RN & SE & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.061657,3.036259,3.007103,3.025528,3.107490,3.262787,3.483125"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.687647,2.671375,2.640210,2.632800,2.692303,2.809458,3.016987"); } } internal_power () { when : "D & !RN & !SE & !SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.378344,4.356688,4.336665,4.355670,4.438508,4.580980,4.812079"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.693810,3.680821,3.648135,3.646091,3.706007,3.844762,4.062627"); } } internal_power () { when : "D & !RN & !SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.737054,4.712016,4.683304,4.707375,4.798934,4.962710,5.199760"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("14.868010,14.852300,14.823640,14.834640,14.904140,15.051230,15.279530"); } } internal_power () { when : "D & !RN & !SE & SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.384955,4.353434,4.326184,4.354957,4.431856,4.580896,4.796956"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.722791,3.710238,3.676909,3.675390,3.734658,3.873463,4.089748"); } } internal_power () { when : "D & !RN & !SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.737043,4.712002,4.683300,4.707369,4.798937,4.962705,5.199759"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("14.868000,14.852280,14.823630,14.834630,14.904130,15.051220,15.279510"); } } internal_power () { when : "D & !RN & SE & !SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.489601,5.468681,5.433534,5.450704,5.533119,5.688265,5.919991"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.906055,5.926862,5.876444,5.848524,5.895238,6.007953,6.224407"); } } internal_power () { when : "D & !RN & SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.952002,2.926974,2.898619,2.915865,2.994161,3.149636,3.368310"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.773096,2.761112,2.727096,2.720854,2.776953,2.891408,3.095793"); } } internal_power () { when : "D & !RN & SE & SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.378365,4.356602,4.336596,4.355594,4.426185,4.580897,4.812073"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.694487,3.680609,3.648039,3.645991,3.706315,3.844665,4.062531"); } } internal_power () { when : "D & !RN & SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.736960,4.711900,4.683230,4.709379,4.798931,4.962715,5.199752"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("14.868010,14.852970,14.823590,14.834590,14.904080,15.051160,15.279450"); } } internal_power () { when : "D & RN & !SE & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.060186,3.034625,3.007202,3.025540,3.107877,3.263561,3.477769"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.672968,2.664103,2.628536,2.621724,2.681063,2.794072,3.003579"); } } internal_power () { when : "D & RN & !SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.612998,5.585954,5.559141,5.579643,5.663003,5.818670,6.037953"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & RN & !SE & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.061695,3.036318,3.007149,3.025525,3.107495,3.262847,3.485968"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.688602,2.671437,2.640299,2.632884,2.692380,2.809531,3.016654"); } } internal_power () { when : "D & RN & !SE & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.060176,3.034611,3.007190,3.025529,3.107874,3.263546,3.479991"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.672962,2.664089,2.628522,2.621709,2.681048,2.794057,3.005184"); } } internal_power () { when : "D & RN & !SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.612992,5.585938,5.559121,5.579627,5.662988,5.818648,6.037939"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & RN & !SE & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.061684,3.036304,3.007137,3.025524,3.107493,3.262833,3.483126"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.688595,2.671422,2.640285,2.632869,2.692365,2.809516,3.017050"); } } internal_power () { when : "D & RN & SE & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.473680,5.448927,5.419906,5.435544,5.511344,5.668568,5.893638"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("7.826673,7.819136,7.745272,7.700204,7.733485,7.857608,8.072099"); } } internal_power () { when : "D & RN & SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.958628,2.933586,2.905073,2.921330,3.000204,3.154338,3.375700"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.795221,2.780902,2.747822,2.742235,2.797544,2.912089,3.121020"); } } internal_power () { when : "D & RN & SE & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.571204,5.539650,5.510748,5.530986,5.610868,5.769727,5.998672"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & RN & SE & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.060131,3.034540,3.007142,3.025479,3.107870,3.263479,3.479988"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.672904,2.664150,2.628444,2.621599,2.680968,2.793978,3.005101"); } } internal_power () { when : "D & RN & SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.612974,5.585866,5.558547,5.579570,5.662917,5.818524,6.037866"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & RN & SE & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.061642,3.036239,3.007086,3.025524,3.107485,3.262766,3.485961"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.687639,2.671355,2.640190,2.632779,2.692283,2.809438,3.016556"); } } } pin (Q) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 120.632900; function : "IQ"; timing () { related_pin : "CK"; timing_type : rising_edge; timing_sense : non_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.356064,0.365993,0.373907,0.387070,0.409384,0.448327,0.520199", \ "0.361328,0.371270,0.379201,0.392352,0.414686,0.453641,0.525494", \ "0.379306,0.389234,0.397148,0.410299,0.432642,0.471599,0.543463", \ "0.403666,0.413586,0.421457,0.434598,0.456940,0.495913,0.567839", \ "0.427731,0.437700,0.445469,0.458410,0.480923,0.519833,0.591777", \ "0.449332,0.459114,0.467112,0.480207,0.502385,0.541781,0.613797", \ "0.467261,0.477146,0.484951,0.498422,0.520926,0.559655,0.631367"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.364983,0.380590,0.395407,0.424961,0.486529,0.612681,0.866873", \ "0.370177,0.385775,0.400625,0.430192,0.491754,0.617877,0.872066", \ "0.388150,0.403753,0.418598,0.448135,0.509705,0.635853,0.890036", \ "0.413397,0.429019,0.443820,0.473406,0.534989,0.661124,0.915292", \ "0.438598,0.454187,0.468855,0.498414,0.560045,0.686206,0.940378", \ "0.461973,0.477585,0.492453,0.521783,0.582836,0.709559,0.963445", \ "0.480547,0.496128,0.511748,0.541176,0.602481,0.728812,0.983128"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.017338,0.021787,0.025818,0.033100,0.047156,0.075686,0.135381", \ "0.017336,0.021788,0.025819,0.033094,0.047159,0.075693,0.135387", \ "0.017333,0.021788,0.025816,0.033098,0.047156,0.075694,0.135385", \ "0.017339,0.021786,0.025819,0.033102,0.047168,0.075692,0.135382", \ "0.017338,0.021788,0.025817,0.033091,0.047153,0.075695,0.135381", \ "0.017339,0.021785,0.025815,0.033091,0.047153,0.075679,0.135378", \ "0.017341,0.021791,0.025820,0.033099,0.047156,0.075683,0.135335"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.018605,0.030910,0.044827,0.073791,0.133924,0.255780,0.499962", \ "0.018601,0.030910,0.044827,0.073789,0.133925,0.255779,0.499962", \ "0.018602,0.030909,0.044827,0.073794,0.133925,0.255781,0.499956", \ "0.018604,0.030911,0.044826,0.073800,0.133922,0.255780,0.499962", \ "0.018602,0.030910,0.044826,0.073796,0.133921,0.255778,0.499954", \ "0.018613,0.030910,0.044821,0.073795,0.133922,0.255780,0.499954", \ "0.018603,0.030909,0.044821,0.073794,0.133921,0.255777,0.499959"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & !D & !SE & !SI & !SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.081485,0.092868,0.101971,0.116326,0.139459,0.178885,0.251138", \ "0.086054,0.097442,0.106553,0.120898,0.144041,0.183473,0.255744", \ "0.103935,0.115282,0.124392,0.138753,0.161905,0.201335,0.273620", \ "0.140727,0.152059,0.161224,0.175592,0.198823,0.238306,0.310606", \ "0.188348,0.201618,0.211924,0.227509,0.251741,0.291694,0.363987", \ "0.238600,0.254413,0.266629,0.284170,0.310490,0.352474,0.426112", \ "0.291789,0.310024,0.324343,0.344143,0.373204,0.417661,0.492816"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.067339,0.085589,0.103201,0.136322,0.200803,0.328702,0.583861", \ "0.071753,0.090001,0.107611,0.140734,0.205221,0.333122,0.588281", \ "0.088380,0.106543,0.124059,0.157086,0.221542,0.349506,0.604750", \ "0.117001,0.135409,0.152895,0.185648,0.249960,0.377831,0.633143", \ "0.145421,0.165170,0.183057,0.215923,0.280110,0.407632,0.662799", \ "0.169867,0.192159,0.210980,0.244088,0.307847,0.435348,0.690132", \ "0.188699,0.214065,0.234855,0.269046,0.332583,0.459502,0.714033"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.015708,0.019970,0.024052,0.031588,0.045952,0.074747,0.134665", \ "0.015709,0.019968,0.024057,0.031591,0.045948,0.074744,0.134658", \ "0.015720,0.019995,0.024079,0.031611,0.045956,0.074762,0.134660", \ "0.016358,0.020432,0.024407,0.031832,0.046087,0.074808,0.134686", \ "0.022029,0.025469,0.028846,0.035396,0.048436,0.075926,0.135022", \ "0.028931,0.032426,0.035524,0.041557,0.053838,0.080053,0.137033", \ "0.036539,0.040402,0.043520,0.049252,0.060635,0.085300,0.140456"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.017419,0.029853,0.043961,0.073335,0.133868,0.255917,0.500225", \ "0.017419,0.029854,0.043958,0.073329,0.133863,0.255910,0.500361", \ "0.017435,0.029870,0.043979,0.073331,0.133900,0.255929,0.500365", \ "0.019118,0.030863,0.044527,0.073504,0.133899,0.255945,0.500394", \ "0.023458,0.033824,0.046578,0.074692,0.134300,0.255959,0.500361", \ "0.029421,0.039019,0.050236,0.076379,0.135054,0.256354,0.500454", \ "0.036258,0.046189,0.056300,0.079748,0.136067,0.257073,0.500743"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & !D & !SE & !SI & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.081478,0.092856,0.101965,0.116301,0.139440,0.178863,0.251133", \ "0.086050,0.097439,0.106541,0.120897,0.144034,0.183458,0.255729", \ "0.103928,0.115281,0.124383,0.138735,0.161887,0.201319,0.273603", \ "0.140707,0.152055,0.161170,0.175583,0.198795,0.238297,0.310593", \ "0.188337,0.201605,0.211810,0.227462,0.251734,0.291679,0.363967", \ "0.238896,0.254515,0.266326,0.284141,0.310492,0.352466,0.426117", \ "0.292065,0.309915,0.323889,0.344148,0.373208,0.417591,0.492748"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.015709,0.019968,0.024058,0.031599,0.045955,0.074751,0.134668", \ "0.015712,0.019965,0.024052,0.031590,0.045947,0.074746,0.134664", \ "0.015721,0.019996,0.024079,0.031604,0.045961,0.074750,0.134658", \ "0.016358,0.020429,0.024409,0.031837,0.046087,0.074823,0.134690", \ "0.022028,0.025467,0.028847,0.035392,0.048441,0.075935,0.135022", \ "0.028885,0.032405,0.035569,0.041561,0.053837,0.080050,0.137022", \ "0.036496,0.040417,0.043598,0.049243,0.060628,0.085296,0.140461"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & !D & !SE & SI & !SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.081485,0.092868,0.101971,0.116326,0.139459,0.178885,0.251138", \ "0.086054,0.097442,0.106553,0.120898,0.144041,0.183473,0.255744", \ "0.103935,0.115282,0.124392,0.138753,0.161905,0.201335,0.273620", \ "0.140727,0.152059,0.161224,0.175592,0.198823,0.238306,0.310606", \ "0.188348,0.201618,0.211924,0.227509,0.251741,0.291694,0.363987", \ "0.238600,0.254413,0.266629,0.284170,0.310490,0.352474,0.426112", \ "0.291789,0.310024,0.324343,0.344143,0.373204,0.417661,0.492816"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.067339,0.085589,0.103201,0.136322,0.200803,0.328702,0.583861", \ "0.071753,0.090001,0.107611,0.140734,0.205221,0.333122,0.588281", \ "0.088380,0.106543,0.124059,0.157086,0.221542,0.349506,0.604750", \ "0.117001,0.135409,0.152895,0.185648,0.249960,0.377831,0.633143", \ "0.145421,0.165170,0.183057,0.215923,0.280110,0.407632,0.662799", \ "0.169867,0.192159,0.210980,0.244088,0.307847,0.435348,0.690132", \ "0.188699,0.214065,0.234855,0.269046,0.332583,0.459502,0.714033"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.015708,0.019970,0.024052,0.031588,0.045952,0.074747,0.134665", \ "0.015709,0.019968,0.024057,0.031591,0.045948,0.074744,0.134658", \ "0.015720,0.019995,0.024079,0.031611,0.045956,0.074762,0.134660", \ "0.016358,0.020432,0.024407,0.031832,0.046087,0.074808,0.134686", \ "0.022029,0.025469,0.028846,0.035396,0.048436,0.075926,0.135022", \ "0.028931,0.032426,0.035524,0.041557,0.053838,0.080053,0.137033", \ "0.036539,0.040402,0.043520,0.049252,0.060635,0.085300,0.140456"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.017419,0.029853,0.043961,0.073335,0.133868,0.255917,0.500225", \ "0.017419,0.029854,0.043958,0.073329,0.133863,0.255910,0.500361", \ "0.017435,0.029870,0.043979,0.073331,0.133900,0.255929,0.500365", \ "0.019118,0.030863,0.044527,0.073504,0.133899,0.255945,0.500394", \ "0.023458,0.033824,0.046578,0.074692,0.134300,0.255959,0.500361", \ "0.029421,0.039019,0.050236,0.076379,0.135054,0.256354,0.500454", \ "0.036258,0.046189,0.056300,0.079748,0.136067,0.257073,0.500743"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & !D & !SE & SI & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.081478,0.092856,0.101965,0.116305,0.139440,0.178863,0.251133", \ "0.086050,0.097439,0.106541,0.120897,0.144034,0.183458,0.255729", \ "0.103928,0.115281,0.124383,0.138735,0.161887,0.201319,0.273603", \ "0.140707,0.152055,0.161170,0.175583,0.198795,0.238297,0.310593", \ "0.188337,0.201605,0.211810,0.227462,0.251734,0.291679,0.363967", \ "0.238896,0.254515,0.266326,0.284141,0.310492,0.352466,0.426122", \ "0.292065,0.309915,0.323889,0.344148,0.373208,0.417591,0.492748"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.015709,0.019968,0.024058,0.031589,0.045955,0.074751,0.134668", \ "0.015712,0.019965,0.024052,0.031590,0.045947,0.074746,0.134664", \ "0.015721,0.019996,0.024079,0.031604,0.045961,0.074750,0.134658", \ "0.016358,0.020429,0.024409,0.031837,0.046087,0.074823,0.134690", \ "0.022028,0.025467,0.028847,0.035392,0.048441,0.075935,0.135022", \ "0.028885,0.032405,0.035569,0.041561,0.053837,0.080050,0.137051", \ "0.036496,0.040417,0.043598,0.049243,0.060628,0.085296,0.140461"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & !D & SE & !SI & !SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.081485,0.092868,0.101971,0.116326,0.139459,0.178885,0.251138", \ "0.086054,0.097442,0.106553,0.120898,0.144041,0.183473,0.255744", \ "0.103935,0.115282,0.124392,0.138753,0.161905,0.201335,0.273620", \ "0.140727,0.152059,0.161224,0.175592,0.198823,0.238306,0.310606", \ "0.188348,0.201618,0.211924,0.227509,0.251741,0.291694,0.363987", \ "0.238600,0.254413,0.266629,0.284170,0.310490,0.352474,0.426112", \ "0.291789,0.310024,0.324343,0.344143,0.373204,0.417661,0.492816"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.067339,0.085589,0.103201,0.136322,0.200803,0.328702,0.583861", \ "0.071753,0.090001,0.107611,0.140734,0.205221,0.333122,0.588281", \ "0.088380,0.106543,0.124059,0.157086,0.221542,0.349506,0.604750", \ "0.117001,0.135409,0.152895,0.185648,0.249960,0.377831,0.633143", \ "0.145421,0.165170,0.183057,0.215923,0.280110,0.407632,0.662799", \ "0.169867,0.192159,0.210980,0.244088,0.307847,0.435348,0.690132", \ "0.188699,0.214065,0.234855,0.269046,0.332583,0.459502,0.714033"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.015708,0.019970,0.024052,0.031588,0.045952,0.074747,0.134665", \ "0.015709,0.019968,0.024057,0.031591,0.045948,0.074744,0.134658", \ "0.015720,0.019995,0.024079,0.031611,0.045956,0.074762,0.134660", \ "0.016358,0.020432,0.024407,0.031832,0.046087,0.074808,0.134686", \ "0.022029,0.025469,0.028846,0.035396,0.048436,0.075926,0.135022", \ "0.028931,0.032426,0.035524,0.041557,0.053838,0.080053,0.137033", \ "0.036539,0.040402,0.043520,0.049252,0.060635,0.085300,0.140456"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.017419,0.029853,0.043961,0.073335,0.133868,0.255917,0.500225", \ "0.017419,0.029854,0.043958,0.073329,0.133863,0.255910,0.500361", \ "0.017435,0.029870,0.043979,0.073331,0.133900,0.255929,0.500365", \ "0.019118,0.030863,0.044527,0.073504,0.133899,0.255945,0.500394", \ "0.023458,0.033824,0.046578,0.074692,0.134300,0.255959,0.500361", \ "0.029421,0.039019,0.050236,0.076379,0.135054,0.256354,0.500454", \ "0.036258,0.046189,0.056300,0.079748,0.136067,0.257073,0.500743"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & !D & SE & !SI & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.081478,0.092856,0.101965,0.116301,0.139443,0.178863,0.251125", \ "0.086050,0.097439,0.106541,0.120897,0.144034,0.183458,0.255729", \ "0.103928,0.115281,0.124383,0.138735,0.161887,0.201319,0.273603", \ "0.140707,0.152055,0.161170,0.175583,0.198795,0.238297,0.310593", \ "0.188337,0.201605,0.211810,0.227462,0.251734,0.291679,0.363967", \ "0.238896,0.254515,0.266326,0.284142,0.310492,0.352466,0.426117", \ "0.292065,0.309915,0.323889,0.344148,0.373208,0.417591,0.492748"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.015709,0.019968,0.024058,0.031595,0.045947,0.074751,0.134662", \ "0.015712,0.019965,0.024052,0.031590,0.045947,0.074746,0.134664", \ "0.015721,0.019996,0.024079,0.031604,0.045961,0.074750,0.134658", \ "0.016358,0.020429,0.024409,0.031837,0.046087,0.074823,0.134690", \ "0.022028,0.025467,0.028847,0.035392,0.048441,0.075935,0.135022", \ "0.028885,0.032405,0.035569,0.041561,0.053837,0.080050,0.137022", \ "0.036496,0.040417,0.043598,0.049243,0.060628,0.085296,0.140461"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & !D & SE & SI & !SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.081499,0.092890,0.101984,0.116341,0.139479,0.178904,0.251172", \ "0.086068,0.097453,0.106558,0.120916,0.144056,0.183497,0.255753", \ "0.103953,0.115301,0.124391,0.138757,0.161908,0.201350,0.273632", \ "0.140744,0.152074,0.161215,0.175590,0.198812,0.238318,0.310606", \ "0.188366,0.201692,0.211988,0.227525,0.251755,0.291706,0.364031", \ "0.238807,0.254578,0.266658,0.284241,0.310619,0.352595,0.426160", \ "0.292125,0.310236,0.324069,0.344210,0.373222,0.417650,0.492816"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.067366,0.085620,0.103232,0.136353,0.200834,0.328733,0.583892", \ "0.071786,0.090031,0.107640,0.140763,0.205247,0.333148,0.588320", \ "0.088405,0.106582,0.124090,0.157115,0.221572,0.349540,0.604704", \ "0.117029,0.135446,0.152939,0.185727,0.250002,0.377865,0.633167", \ "0.145495,0.165231,0.183076,0.215907,0.280099,0.407650,0.662878", \ "0.169847,0.192186,0.211119,0.244178,0.307912,0.435390,0.690227", \ "0.188764,0.214108,0.234888,0.269126,0.332586,0.459508,0.714226"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.015714,0.019969,0.024054,0.031600,0.045954,0.074742,0.134657", \ "0.015711,0.019971,0.024053,0.031588,0.045947,0.074756,0.134655", \ "0.015724,0.020000,0.024081,0.031605,0.045965,0.074760,0.134665", \ "0.016356,0.020432,0.024411,0.031837,0.046085,0.074797,0.134684", \ "0.022036,0.025459,0.028833,0.035396,0.048435,0.075933,0.135022", \ "0.028905,0.032407,0.035522,0.041554,0.053834,0.080046,0.137050", \ "0.036475,0.040377,0.043571,0.049240,0.060623,0.085296,0.140463"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.017425,0.029854,0.043962,0.073336,0.133868,0.255918,0.500224", \ "0.017425,0.029853,0.043961,0.073335,0.133885,0.255955,0.500232", \ "0.017418,0.029872,0.043980,0.073332,0.133871,0.255917,0.500453", \ "0.019118,0.030867,0.044529,0.073497,0.133896,0.255957,0.500361", \ "0.023446,0.033819,0.046578,0.074697,0.134299,0.255970,0.500343", \ "0.029433,0.039019,0.050222,0.076369,0.135062,0.256419,0.500274", \ "0.036247,0.046177,0.056302,0.079746,0.136069,0.257071,0.500876"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & !D & SE & SI & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.081491,0.092875,0.101983,0.116332,0.139482,0.178938,0.251220", \ "0.086072,0.097455,0.106569,0.120927,0.144078,0.183534,0.255819", \ "0.103948,0.115300,0.124395,0.138766,0.161933,0.201384,0.273680", \ "0.140740,0.152076,0.161186,0.175592,0.198819,0.238339,0.310635", \ "0.188353,0.201626,0.211839,0.227517,0.251774,0.291751,0.364085", \ "0.238776,0.254748,0.266694,0.284121,0.310485,0.352470,0.426062", \ "0.291963,0.310433,0.324208,0.344264,0.373319,0.417726,0.492918"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.015710,0.019964,0.024052,0.031581,0.045946,0.074737,0.134675", \ "0.015713,0.019965,0.024049,0.031577,0.045945,0.074742,0.134659", \ "0.015722,0.019994,0.024073,0.031591,0.045961,0.074731,0.134663", \ "0.016357,0.020427,0.024408,0.031830,0.046070,0.074794,0.134688", \ "0.022030,0.025465,0.028849,0.035386,0.048427,0.075913,0.135013", \ "0.028897,0.032373,0.035525,0.041560,0.053826,0.080040,0.137018", \ "0.036500,0.040331,0.043543,0.049223,0.060597,0.085267,0.140457"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & D & !SE & !SI & !SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.081499,0.092890,0.101984,0.116341,0.139480,0.178904,0.251172", \ "0.086068,0.097453,0.106558,0.120916,0.144056,0.183497,0.255753", \ "0.103953,0.115301,0.124391,0.138757,0.161908,0.201350,0.273632", \ "0.140744,0.152074,0.161215,0.175588,0.198812,0.238318,0.310606", \ "0.188366,0.201692,0.211988,0.227525,0.251755,0.291706,0.364031", \ "0.238807,0.254578,0.266658,0.284241,0.310619,0.352578,0.426160", \ "0.292125,0.310236,0.324069,0.344210,0.373222,0.417650,0.492816"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.067366,0.085620,0.103232,0.136353,0.200834,0.328733,0.583892", \ "0.071786,0.090031,0.107640,0.140763,0.205247,0.333148,0.588320", \ "0.088405,0.106582,0.124090,0.157115,0.221572,0.349540,0.604704", \ "0.117029,0.135446,0.152939,0.185727,0.250002,0.377865,0.633167", \ "0.145495,0.165231,0.183076,0.215907,0.280099,0.407650,0.662878", \ "0.169847,0.192186,0.211119,0.244178,0.307912,0.435391,0.690227", \ "0.188764,0.214108,0.234888,0.269126,0.332586,0.459508,0.714226"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.015714,0.019969,0.024054,0.031600,0.045953,0.074742,0.134657", \ "0.015711,0.019971,0.024053,0.031588,0.045947,0.074756,0.134655", \ "0.015724,0.020000,0.024081,0.031605,0.045965,0.074760,0.134665", \ "0.016356,0.020432,0.024411,0.031836,0.046085,0.074797,0.134684", \ "0.022036,0.025459,0.028833,0.035396,0.048435,0.075933,0.135022", \ "0.028905,0.032407,0.035522,0.041554,0.053834,0.080047,0.137050", \ "0.036475,0.040377,0.043571,0.049240,0.060623,0.085296,0.140463"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.017425,0.029854,0.043962,0.073336,0.133868,0.255918,0.500224", \ "0.017425,0.029853,0.043961,0.073335,0.133885,0.255955,0.500232", \ "0.017418,0.029872,0.043980,0.073332,0.133871,0.255917,0.500453", \ "0.019118,0.030867,0.044529,0.073497,0.133896,0.255957,0.500361", \ "0.023446,0.033819,0.046578,0.074697,0.134299,0.255970,0.500343", \ "0.029433,0.039019,0.050222,0.076369,0.135062,0.256420,0.500274", \ "0.036247,0.046177,0.056302,0.079746,0.136069,0.257071,0.500876"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & D & !SE & !SI & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.081491,0.092875,0.101982,0.116331,0.139482,0.178937,0.251221", \ "0.086072,0.097455,0.106569,0.120927,0.144078,0.183534,0.255819", \ "0.103948,0.115300,0.124395,0.138766,0.161933,0.201384,0.273680", \ "0.140770,0.152093,0.161186,0.175592,0.198819,0.238339,0.310635", \ "0.188353,0.201626,0.211839,0.227517,0.251774,0.291792,0.364162", \ "0.238776,0.254748,0.266694,0.284121,0.310485,0.352470,0.426062", \ "0.291963,0.310433,0.324208,0.344264,0.373319,0.417726,0.492918"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.015710,0.019964,0.024052,0.031581,0.045940,0.074737,0.134674", \ "0.015713,0.019965,0.024049,0.031577,0.045945,0.074742,0.134659", \ "0.015722,0.019994,0.024073,0.031591,0.045961,0.074731,0.134663", \ "0.016354,0.020426,0.024407,0.031830,0.046070,0.074794,0.134688", \ "0.022030,0.025465,0.028849,0.035386,0.048427,0.075918,0.135000", \ "0.028897,0.032373,0.035525,0.041560,0.053826,0.080040,0.137018", \ "0.036500,0.040331,0.043543,0.049223,0.060597,0.085267,0.140457"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & D & !SE & SI & !SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.081499,0.092890,0.101984,0.116341,0.139480,0.178904,0.251172", \ "0.086068,0.097453,0.106558,0.120916,0.144056,0.183497,0.255753", \ "0.103953,0.115301,0.124391,0.138757,0.161908,0.201350,0.273632", \ "0.140744,0.152074,0.161215,0.175590,0.198812,0.238318,0.310606", \ "0.188366,0.201692,0.211988,0.227525,0.251755,0.291706,0.364031", \ "0.238807,0.254578,0.266658,0.284241,0.310619,0.352578,0.426160", \ "0.292125,0.310236,0.324069,0.344210,0.373222,0.417650,0.492816"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.067366,0.085620,0.103232,0.136353,0.200834,0.328733,0.583892", \ "0.071786,0.090031,0.107640,0.140763,0.205247,0.333148,0.588320", \ "0.088405,0.106582,0.124090,0.157115,0.221572,0.349540,0.604704", \ "0.117029,0.135446,0.152939,0.185727,0.250002,0.377865,0.633167", \ "0.145495,0.165231,0.183076,0.215907,0.280099,0.407650,0.662878", \ "0.169847,0.192186,0.211119,0.244178,0.307912,0.435390,0.690227", \ "0.188764,0.214108,0.234888,0.269126,0.332586,0.459508,0.714226"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.015714,0.019969,0.024054,0.031600,0.045953,0.074742,0.134657", \ "0.015711,0.019971,0.024053,0.031588,0.045947,0.074756,0.134655", \ "0.015724,0.020000,0.024081,0.031605,0.045965,0.074760,0.134665", \ "0.016356,0.020432,0.024411,0.031837,0.046085,0.074797,0.134684", \ "0.022036,0.025459,0.028833,0.035396,0.048435,0.075933,0.135022", \ "0.028905,0.032407,0.035522,0.041554,0.053834,0.080047,0.137050", \ "0.036475,0.040377,0.043571,0.049240,0.060623,0.085296,0.140463"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.017425,0.029854,0.043962,0.073336,0.133868,0.255918,0.500224", \ "0.017425,0.029853,0.043961,0.073335,0.133885,0.255955,0.500232", \ "0.017418,0.029872,0.043980,0.073332,0.133871,0.255917,0.500453", \ "0.019118,0.030867,0.044529,0.073497,0.133896,0.255957,0.500361", \ "0.023446,0.033819,0.046578,0.074697,0.134299,0.255970,0.500343", \ "0.029433,0.039019,0.050222,0.076369,0.135062,0.256419,0.500274", \ "0.036247,0.046177,0.056302,0.079746,0.136069,0.257071,0.500876"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & D & !SE & SI & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.081491,0.092875,0.101982,0.116331,0.139482,0.178937,0.251221", \ "0.086072,0.097455,0.106569,0.120927,0.144078,0.183534,0.255819", \ "0.103948,0.115300,0.124395,0.138766,0.161933,0.201384,0.273680", \ "0.140770,0.152093,0.161186,0.175592,0.198819,0.238339,0.310635", \ "0.188353,0.201626,0.211839,0.227517,0.251774,0.291792,0.364162", \ "0.238776,0.254748,0.266694,0.284121,0.310485,0.352470,0.426062", \ "0.291963,0.310433,0.324208,0.344264,0.373319,0.417726,0.492918"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.015710,0.019964,0.024052,0.031581,0.045946,0.074737,0.134674", \ "0.015713,0.019965,0.024049,0.031577,0.045945,0.074742,0.134659", \ "0.015722,0.019994,0.024073,0.031591,0.045961,0.074731,0.134663", \ "0.016354,0.020426,0.024407,0.031830,0.046070,0.074794,0.134688", \ "0.022030,0.025465,0.028849,0.035386,0.048427,0.075918,0.135000", \ "0.028897,0.032373,0.035525,0.041560,0.053826,0.080040,0.137018", \ "0.036500,0.040331,0.043543,0.049223,0.060597,0.085267,0.140457"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & D & SE & !SI & !SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.081485,0.092868,0.101971,0.116326,0.139459,0.178885,0.251138", \ "0.086054,0.097442,0.106553,0.120898,0.144041,0.183473,0.255744", \ "0.103935,0.115282,0.124392,0.138753,0.161905,0.201335,0.273620", \ "0.140727,0.152059,0.161224,0.175592,0.198823,0.238306,0.310606", \ "0.188348,0.201618,0.211924,0.227509,0.251741,0.291694,0.363987", \ "0.238600,0.254413,0.266629,0.284170,0.310490,0.352474,0.426112", \ "0.291789,0.310024,0.324343,0.344143,0.373204,0.417661,0.492817"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.067339,0.085589,0.103201,0.136322,0.200803,0.328702,0.583861", \ "0.071753,0.090001,0.107611,0.140734,0.205221,0.333122,0.588281", \ "0.088380,0.106543,0.124059,0.157086,0.221542,0.349506,0.604750", \ "0.117001,0.135409,0.152895,0.185648,0.249960,0.377831,0.633143", \ "0.145421,0.165170,0.183057,0.215923,0.280110,0.407632,0.662799", \ "0.169867,0.192159,0.210980,0.244088,0.307847,0.435348,0.690132", \ "0.188699,0.214065,0.234855,0.269046,0.332583,0.459502,0.714033"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.015708,0.019970,0.024052,0.031588,0.045952,0.074747,0.134665", \ "0.015709,0.019968,0.024057,0.031591,0.045948,0.074744,0.134658", \ "0.015720,0.019995,0.024079,0.031611,0.045956,0.074762,0.134660", \ "0.016358,0.020432,0.024407,0.031832,0.046087,0.074808,0.134686", \ "0.022029,0.025469,0.028846,0.035396,0.048436,0.075926,0.135022", \ "0.028931,0.032426,0.035524,0.041557,0.053838,0.080053,0.137033", \ "0.036539,0.040402,0.043520,0.049252,0.060635,0.085300,0.140456"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.017419,0.029853,0.043961,0.073335,0.133868,0.255917,0.500225", \ "0.017419,0.029854,0.043958,0.073329,0.133863,0.255910,0.500361", \ "0.017435,0.029870,0.043979,0.073331,0.133900,0.255929,0.500365", \ "0.019118,0.030863,0.044527,0.073504,0.133899,0.255945,0.500394", \ "0.023458,0.033824,0.046578,0.074692,0.134300,0.255959,0.500361", \ "0.029421,0.039019,0.050236,0.076379,0.135054,0.256354,0.500454", \ "0.036258,0.046189,0.056300,0.079748,0.136067,0.257073,0.500743"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & D & SE & !SI & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.081478,0.092856,0.101965,0.116301,0.139442,0.178863,0.251133", \ "0.086050,0.097439,0.106541,0.120897,0.144034,0.183458,0.255729", \ "0.103928,0.115281,0.124383,0.138735,0.161887,0.201319,0.273603", \ "0.140707,0.152055,0.161170,0.175583,0.198795,0.238297,0.310593", \ "0.188337,0.201605,0.211810,0.227462,0.251734,0.291679,0.363967", \ "0.238896,0.254515,0.266326,0.284142,0.310492,0.352466,0.426122", \ "0.292065,0.309915,0.323889,0.344148,0.373208,0.417591,0.492748"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.015709,0.019968,0.024058,0.031596,0.045949,0.074751,0.134668", \ "0.015712,0.019965,0.024052,0.031590,0.045947,0.074746,0.134664", \ "0.015721,0.019996,0.024079,0.031604,0.045961,0.074750,0.134658", \ "0.016358,0.020429,0.024409,0.031837,0.046087,0.074823,0.134690", \ "0.022028,0.025467,0.028847,0.035392,0.048441,0.075935,0.135022", \ "0.028885,0.032405,0.035569,0.041561,0.053837,0.080050,0.137051", \ "0.036496,0.040417,0.043598,0.049243,0.060628,0.085296,0.140461"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & D & SE & SI & !SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.081499,0.092890,0.101984,0.116341,0.139479,0.178904,0.251172", \ "0.086068,0.097453,0.106558,0.120916,0.144056,0.183497,0.255753", \ "0.103953,0.115301,0.124391,0.138757,0.161908,0.201350,0.273632", \ "0.140744,0.152074,0.161215,0.175590,0.198812,0.238318,0.310606", \ "0.188366,0.201692,0.211988,0.227525,0.251755,0.291706,0.364031", \ "0.238807,0.254578,0.266658,0.284241,0.310619,0.352595,0.426160", \ "0.292125,0.310236,0.324069,0.344210,0.373222,0.417650,0.492816"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.067366,0.085620,0.103232,0.136353,0.200834,0.328733,0.583892", \ "0.071786,0.090031,0.107640,0.140763,0.205247,0.333148,0.588320", \ "0.088405,0.106582,0.124090,0.157115,0.221572,0.349540,0.604704", \ "0.117029,0.135446,0.152939,0.185727,0.250002,0.377865,0.633167", \ "0.145495,0.165231,0.183076,0.215907,0.280099,0.407650,0.662878", \ "0.169847,0.192186,0.211119,0.244178,0.307912,0.435390,0.690203", \ "0.188764,0.214108,0.234888,0.269126,0.332586,0.459508,0.714226"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.015714,0.019969,0.024054,0.031600,0.045954,0.074742,0.134657", \ "0.015711,0.019971,0.024053,0.031588,0.045947,0.074756,0.134655", \ "0.015724,0.020000,0.024081,0.031605,0.045965,0.074760,0.134665", \ "0.016356,0.020432,0.024411,0.031837,0.046085,0.074797,0.134684", \ "0.022036,0.025459,0.028833,0.035396,0.048435,0.075933,0.135022", \ "0.028905,0.032407,0.035522,0.041554,0.053834,0.080046,0.137050", \ "0.036475,0.040377,0.043571,0.049240,0.060623,0.085296,0.140463"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.017425,0.029854,0.043962,0.073336,0.133868,0.255918,0.500224", \ "0.017425,0.029853,0.043961,0.073335,0.133885,0.255955,0.500232", \ "0.017418,0.029872,0.043980,0.073332,0.133871,0.255917,0.500453", \ "0.019118,0.030867,0.044529,0.073497,0.133896,0.255957,0.500361", \ "0.023446,0.033819,0.046578,0.074697,0.134299,0.255970,0.500343", \ "0.029433,0.039019,0.050222,0.076369,0.135062,0.256419,0.500458", \ "0.036247,0.046177,0.056302,0.079746,0.136069,0.257071,0.500876"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & D & SE & SI & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.081491,0.092875,0.101983,0.116331,0.139483,0.178937,0.251221", \ "0.086072,0.097455,0.106569,0.120927,0.144078,0.183534,0.255819", \ "0.103948,0.115300,0.124395,0.138766,0.161933,0.201384,0.273680", \ "0.140770,0.152093,0.161186,0.175592,0.198819,0.238339,0.310635", \ "0.188353,0.201626,0.211839,0.227517,0.251774,0.291792,0.364162", \ "0.238776,0.254748,0.266694,0.284121,0.310485,0.352470,0.426062", \ "0.291963,0.310433,0.324208,0.344264,0.373319,0.417726,0.492918"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.015710,0.019964,0.024052,0.031581,0.045937,0.074737,0.134674", \ "0.015713,0.019965,0.024049,0.031577,0.045945,0.074742,0.134659", \ "0.015722,0.019994,0.024073,0.031591,0.045961,0.074731,0.134663", \ "0.016354,0.020426,0.024408,0.031830,0.046070,0.074794,0.134688", \ "0.022030,0.025465,0.028849,0.035386,0.048427,0.075918,0.135000", \ "0.028897,0.032373,0.035525,0.041560,0.053826,0.080040,0.137018", \ "0.036500,0.040331,0.043543,0.049223,0.060597,0.085267,0.140457"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & !D & !SE & !SI & !SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.081507,0.092898,0.101990,0.116343,0.139480,0.178911,0.251153", \ "0.086070,0.097453,0.106574,0.120921,0.144058,0.183479,0.255735", \ "0.103952,0.115297,0.124405,0.138761,0.161924,0.201371,0.273643", \ "0.140780,0.152135,0.161271,0.175584,0.198813,0.238316,0.310605", \ "0.188457,0.201788,0.211995,0.227551,0.251821,0.291786,0.364077", \ "0.238992,0.254794,0.266647,0.284216,0.310550,0.352575,0.426170", \ "0.291923,0.310429,0.324193,0.344357,0.373407,0.417773,0.492897"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.067152,0.085375,0.102969,0.136079,0.200568,0.328467,0.583544", \ "0.071582,0.089797,0.107387,0.140494,0.204986,0.332907,0.587934", \ "0.088240,0.106360,0.123860,0.156872,0.221329,0.349318,0.604508", \ "0.116826,0.135219,0.152693,0.185474,0.249731,0.377646,0.632793", \ "0.145149,0.164850,0.182704,0.215611,0.279751,0.407383,0.662476", \ "0.169057,0.191496,0.210352,0.243634,0.307592,0.435110,0.689490", \ "0.187637,0.213077,0.233932,0.268260,0.331663,0.458479,0.713219"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.015716,0.019975,0.024054,0.031597,0.045958,0.074738,0.134666", \ "0.015714,0.019973,0.024055,0.031590,0.045950,0.074745,0.134660", \ "0.015725,0.019998,0.024081,0.031608,0.045961,0.074757,0.134675", \ "0.016358,0.020432,0.024412,0.031837,0.046087,0.074797,0.134686", \ "0.022027,0.025453,0.028842,0.035394,0.048434,0.075931,0.135023", \ "0.028900,0.032374,0.035522,0.041564,0.053840,0.080053,0.137028", \ "0.036517,0.040339,0.043560,0.049225,0.060612,0.085291,0.140466"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.017370,0.029797,0.043906,0.073288,0.133860,0.255949,0.500378", \ "0.017370,0.029798,0.043912,0.073294,0.133858,0.255972,0.500428", \ "0.017364,0.029817,0.043929,0.073292,0.133858,0.255969,0.500347", \ "0.019078,0.030822,0.044487,0.073462,0.133891,0.255967,0.500419", \ "0.023431,0.033795,0.046542,0.074666,0.134272,0.256095,0.500387", \ "0.029491,0.039061,0.050241,0.076354,0.135040,0.256429,0.500412", \ "0.036363,0.046294,0.056369,0.079764,0.136083,0.257139,0.500807"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & !D & !SE & !SI & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.081497,0.092884,0.101989,0.116339,0.139495,0.178956,0.251208", \ "0.086078,0.097466,0.106577,0.120939,0.144095,0.183561,0.255808", \ "0.103955,0.115308,0.124409,0.138779,0.161949,0.201409,0.273663", \ "0.140729,0.152100,0.161199,0.175595,0.198817,0.238344,0.310603", \ "0.188306,0.201616,0.211907,0.227558,0.251827,0.291754,0.364078", \ "0.238974,0.254645,0.266453,0.284163,0.310631,0.352502,0.426166", \ "0.291928,0.310262,0.323984,0.344184,0.373244,0.417650,0.492679"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.015710,0.019967,0.024055,0.031593,0.045946,0.074739,0.134588", \ "0.015716,0.019968,0.024052,0.031583,0.045950,0.074750,0.134584", \ "0.015725,0.019997,0.024077,0.031595,0.045960,0.074737,0.134590", \ "0.016359,0.020431,0.024412,0.031841,0.046084,0.074807,0.134610", \ "0.022044,0.025473,0.028845,0.035387,0.048435,0.075931,0.134936", \ "0.028882,0.032392,0.035561,0.041562,0.053826,0.080053,0.136965", \ "0.036525,0.040366,0.043588,0.049265,0.060648,0.085292,0.140357"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & !D & !SE & SI & !SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.081507,0.092898,0.101990,0.116343,0.139480,0.178911,0.251153", \ "0.086070,0.097453,0.106574,0.120921,0.144058,0.183479,0.255735", \ "0.103952,0.115297,0.124405,0.138761,0.161924,0.201371,0.273643", \ "0.140780,0.152135,0.161271,0.175584,0.198813,0.238316,0.310605", \ "0.188457,0.201788,0.211995,0.227551,0.251821,0.291786,0.364077", \ "0.238992,0.254794,0.266647,0.284216,0.310550,0.352575,0.426170", \ "0.291923,0.310429,0.324193,0.344357,0.373407,0.417773,0.492897"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.067152,0.085375,0.102969,0.136079,0.200568,0.328467,0.583544", \ "0.071582,0.089797,0.107387,0.140494,0.204986,0.332907,0.587934", \ "0.088240,0.106360,0.123860,0.156872,0.221329,0.349318,0.604508", \ "0.116826,0.135219,0.152693,0.185474,0.249731,0.377646,0.632793", \ "0.145149,0.164850,0.182704,0.215611,0.279751,0.407383,0.662476", \ "0.169057,0.191496,0.210352,0.243634,0.307592,0.435110,0.689524", \ "0.187637,0.213077,0.233932,0.268260,0.331663,0.458479,0.713219"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.015716,0.019975,0.024054,0.031597,0.045958,0.074738,0.134666", \ "0.015714,0.019973,0.024055,0.031590,0.045950,0.074745,0.134660", \ "0.015725,0.019998,0.024081,0.031608,0.045961,0.074757,0.134675", \ "0.016358,0.020432,0.024412,0.031837,0.046087,0.074797,0.134686", \ "0.022027,0.025453,0.028842,0.035394,0.048434,0.075931,0.135023", \ "0.028900,0.032374,0.035522,0.041564,0.053840,0.080053,0.137028", \ "0.036517,0.040339,0.043560,0.049225,0.060612,0.085291,0.140466"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.017370,0.029797,0.043906,0.073288,0.133860,0.255949,0.500378", \ "0.017370,0.029798,0.043912,0.073294,0.133858,0.255972,0.500428", \ "0.017364,0.029817,0.043929,0.073292,0.133858,0.255969,0.500347", \ "0.019078,0.030822,0.044487,0.073462,0.133891,0.255967,0.500419", \ "0.023431,0.033795,0.046542,0.074666,0.134272,0.256095,0.500387", \ "0.029491,0.039061,0.050241,0.076354,0.135040,0.256429,0.500429", \ "0.036363,0.046294,0.056369,0.079764,0.136083,0.257139,0.500807"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & !D & !SE & SI & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.081497,0.092884,0.101989,0.116346,0.139496,0.178955,0.251208", \ "0.086079,0.097466,0.106577,0.120939,0.144095,0.183561,0.255808", \ "0.103955,0.115308,0.124409,0.138779,0.161949,0.201409,0.273663", \ "0.140729,0.152100,0.161199,0.175595,0.198817,0.238344,0.310603", \ "0.188306,0.201616,0.211907,0.227558,0.251827,0.291754,0.364078", \ "0.238974,0.254645,0.266453,0.284163,0.310631,0.352502,0.426166", \ "0.291928,0.310262,0.323984,0.344184,0.373244,0.417650,0.492679"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.015710,0.019967,0.024055,0.031602,0.045945,0.074738,0.134588", \ "0.015709,0.019968,0.024052,0.031583,0.045950,0.074750,0.134584", \ "0.015725,0.019997,0.024077,0.031595,0.045960,0.074737,0.134590", \ "0.016359,0.020431,0.024412,0.031841,0.046084,0.074807,0.134610", \ "0.022044,0.025473,0.028845,0.035387,0.048435,0.075931,0.134936", \ "0.028882,0.032392,0.035561,0.041562,0.053826,0.080053,0.136965", \ "0.036525,0.040366,0.043588,0.049265,0.060648,0.085292,0.140357"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & !D & SE & !SI & !SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.081507,0.092898,0.101990,0.116343,0.139480,0.178911,0.251153", \ "0.086070,0.097453,0.106574,0.120921,0.144058,0.183479,0.255735", \ "0.103952,0.115297,0.124405,0.138761,0.161924,0.201371,0.273643", \ "0.140780,0.152135,0.161271,0.175584,0.198813,0.238316,0.310605", \ "0.188457,0.201788,0.211995,0.227551,0.251821,0.291786,0.364077", \ "0.238992,0.254794,0.266647,0.284216,0.310550,0.352575,0.426170", \ "0.291923,0.310429,0.324193,0.344357,0.373407,0.417773,0.492897"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.067152,0.085375,0.102969,0.136079,0.200568,0.328467,0.583544", \ "0.071582,0.089797,0.107387,0.140494,0.204986,0.332907,0.587934", \ "0.088240,0.106360,0.123860,0.156872,0.221329,0.349318,0.604508", \ "0.116826,0.135219,0.152693,0.185474,0.249731,0.377646,0.632793", \ "0.145149,0.164850,0.182704,0.215611,0.279751,0.407383,0.662476", \ "0.169057,0.191496,0.210352,0.243634,0.307592,0.435110,0.689490", \ "0.187637,0.213077,0.233932,0.268260,0.331663,0.458479,0.713219"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.015716,0.019975,0.024054,0.031597,0.045958,0.074738,0.134666", \ "0.015714,0.019973,0.024055,0.031590,0.045950,0.074745,0.134660", \ "0.015725,0.019998,0.024081,0.031608,0.045961,0.074757,0.134675", \ "0.016358,0.020432,0.024412,0.031837,0.046087,0.074797,0.134686", \ "0.022027,0.025453,0.028842,0.035394,0.048434,0.075931,0.135023", \ "0.028900,0.032374,0.035522,0.041564,0.053840,0.080053,0.137028", \ "0.036517,0.040339,0.043560,0.049225,0.060612,0.085291,0.140466"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.017370,0.029797,0.043906,0.073288,0.133860,0.255949,0.500378", \ "0.017370,0.029798,0.043912,0.073294,0.133858,0.255972,0.500428", \ "0.017364,0.029817,0.043929,0.073292,0.133858,0.255969,0.500347", \ "0.019078,0.030822,0.044487,0.073462,0.133891,0.255967,0.500419", \ "0.023431,0.033795,0.046542,0.074666,0.134272,0.256095,0.500387", \ "0.029491,0.039061,0.050241,0.076354,0.135040,0.256429,0.500412", \ "0.036363,0.046294,0.056369,0.079764,0.136083,0.257139,0.500807"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & !D & SE & !SI & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.081497,0.092884,0.101989,0.116347,0.139495,0.178956,0.251208", \ "0.086078,0.097466,0.106577,0.120939,0.144095,0.183561,0.255808", \ "0.103955,0.115308,0.124409,0.138779,0.161949,0.201409,0.273663", \ "0.140729,0.152100,0.161199,0.175595,0.198817,0.238344,0.310603", \ "0.188306,0.201616,0.211907,0.227558,0.251805,0.291754,0.364078", \ "0.238974,0.254645,0.266453,0.284163,0.310631,0.352502,0.426166", \ "0.291928,0.310262,0.323984,0.344184,0.373244,0.417650,0.492679"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.015710,0.019967,0.024055,0.031598,0.045946,0.074739,0.134587", \ "0.015715,0.019968,0.024052,0.031583,0.045950,0.074750,0.134584", \ "0.015725,0.019997,0.024077,0.031595,0.045960,0.074737,0.134590", \ "0.016359,0.020431,0.024412,0.031841,0.046084,0.074807,0.134610", \ "0.022044,0.025473,0.028845,0.035387,0.048436,0.075931,0.134936", \ "0.028882,0.032392,0.035561,0.041562,0.053826,0.080053,0.136965", \ "0.036525,0.040366,0.043588,0.049265,0.060648,0.085292,0.140357"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & !D & SE & SI & !SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.081507,0.092898,0.101991,0.116343,0.139480,0.178911,0.251153", \ "0.086070,0.097453,0.106574,0.120921,0.144058,0.183479,0.255735", \ "0.103952,0.115297,0.124405,0.138761,0.161924,0.201371,0.273643", \ "0.140780,0.152135,0.161271,0.175584,0.198813,0.238315,0.310605", \ "0.188457,0.201788,0.211995,0.227551,0.251821,0.291786,0.364077", \ "0.238992,0.254794,0.266647,0.284216,0.310550,0.352575,0.426170", \ "0.291923,0.310429,0.324193,0.344357,0.373407,0.417773,0.492897"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.067152,0.085375,0.102969,0.136079,0.200561,0.328466,0.583541", \ "0.071582,0.089797,0.107387,0.140494,0.204986,0.332907,0.587934", \ "0.088240,0.106360,0.123860,0.156872,0.221329,0.349318,0.604508", \ "0.116826,0.135219,0.152693,0.185474,0.249731,0.377646,0.632793", \ "0.145149,0.164850,0.182704,0.215611,0.279751,0.407383,0.662476", \ "0.169057,0.191496,0.210352,0.243634,0.307592,0.435114,0.689524", \ "0.187637,0.213077,0.233932,0.268260,0.331663,0.458479,0.713219"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.015716,0.019975,0.024054,0.031597,0.045958,0.074738,0.134666", \ "0.015714,0.019973,0.024055,0.031590,0.045950,0.074745,0.134660", \ "0.015725,0.019998,0.024081,0.031608,0.045961,0.074757,0.134675", \ "0.016358,0.020432,0.024412,0.031837,0.046087,0.074797,0.134686", \ "0.022027,0.025453,0.028842,0.035394,0.048434,0.075931,0.135023", \ "0.028900,0.032374,0.035522,0.041564,0.053840,0.080053,0.137028", \ "0.036517,0.040339,0.043560,0.049225,0.060612,0.085291,0.140466"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.017370,0.029797,0.043906,0.073287,0.133855,0.255995,0.500382", \ "0.017370,0.029798,0.043912,0.073294,0.133858,0.255972,0.500428", \ "0.017364,0.029817,0.043929,0.073292,0.133858,0.255969,0.500347", \ "0.019078,0.030822,0.044487,0.073462,0.133891,0.255967,0.500419", \ "0.023431,0.033795,0.046542,0.074666,0.134272,0.256095,0.500387", \ "0.029491,0.039061,0.050241,0.076354,0.135040,0.256423,0.500429", \ "0.036363,0.046294,0.056369,0.079764,0.136083,0.257139,0.500807"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & !D & SE & SI & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.081497,0.092884,0.101989,0.116346,0.139495,0.178956,0.251206", \ "0.086078,0.097466,0.106577,0.120939,0.144095,0.183561,0.255809", \ "0.103955,0.115308,0.124409,0.138779,0.161949,0.201409,0.273663", \ "0.140729,0.152090,0.161199,0.175595,0.198817,0.238344,0.310603", \ "0.188306,0.201616,0.211907,0.227540,0.251805,0.291753,0.364078", \ "0.238974,0.254645,0.266453,0.284163,0.310631,0.352502,0.426180", \ "0.291928,0.310262,0.323984,0.344184,0.373244,0.417650,0.492679"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.015712,0.019967,0.024055,0.031601,0.045948,0.074739,0.134588", \ "0.015715,0.019968,0.024052,0.031583,0.045950,0.074750,0.134584", \ "0.015725,0.019997,0.024077,0.031595,0.045960,0.074737,0.134590", \ "0.016359,0.020432,0.024412,0.031841,0.046084,0.074807,0.134609", \ "0.022044,0.025473,0.028845,0.035389,0.048436,0.075931,0.134936", \ "0.028882,0.032392,0.035561,0.041562,0.053826,0.080053,0.136961", \ "0.036525,0.040366,0.043588,0.049265,0.060648,0.085292,0.140357"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & D & !SE & !SI & !SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.081507,0.092898,0.101991,0.116343,0.139480,0.178911,0.251153", \ "0.086070,0.097453,0.106574,0.120921,0.144058,0.183479,0.255735", \ "0.103952,0.115297,0.124405,0.138761,0.161924,0.201371,0.273643", \ "0.140780,0.152135,0.161271,0.175584,0.198813,0.238315,0.310605", \ "0.188457,0.201788,0.211995,0.227551,0.251821,0.291786,0.364077", \ "0.238992,0.254794,0.266647,0.284216,0.310550,0.352575,0.426170", \ "0.291923,0.310429,0.324193,0.344357,0.373407,0.417773,0.492897"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.067152,0.085375,0.102969,0.136079,0.200561,0.328466,0.583541", \ "0.071582,0.089797,0.107387,0.140494,0.204986,0.332907,0.587934", \ "0.088240,0.106360,0.123860,0.156872,0.221329,0.349318,0.604508", \ "0.116826,0.135219,0.152693,0.185474,0.249731,0.377646,0.632793", \ "0.145149,0.164850,0.182704,0.215611,0.279751,0.407383,0.662476", \ "0.169057,0.191496,0.210352,0.243634,0.307592,0.435114,0.689490", \ "0.187637,0.213077,0.233932,0.268260,0.331663,0.458479,0.713219"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.015716,0.019975,0.024054,0.031597,0.045958,0.074738,0.134666", \ "0.015714,0.019973,0.024055,0.031590,0.045950,0.074745,0.134660", \ "0.015725,0.019998,0.024081,0.031608,0.045961,0.074757,0.134675", \ "0.016358,0.020432,0.024412,0.031837,0.046087,0.074797,0.134686", \ "0.022027,0.025453,0.028842,0.035394,0.048434,0.075931,0.135023", \ "0.028900,0.032374,0.035522,0.041564,0.053840,0.080053,0.137028", \ "0.036517,0.040339,0.043560,0.049225,0.060612,0.085291,0.140466"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.017370,0.029797,0.043906,0.073287,0.133855,0.255995,0.500382", \ "0.017370,0.029798,0.043912,0.073294,0.133858,0.255972,0.500428", \ "0.017364,0.029817,0.043929,0.073292,0.133858,0.255969,0.500347", \ "0.019078,0.030822,0.044487,0.073462,0.133891,0.255967,0.500419", \ "0.023431,0.033795,0.046542,0.074666,0.134272,0.256095,0.500387", \ "0.029491,0.039061,0.050241,0.076354,0.135040,0.256423,0.500412", \ "0.036363,0.046294,0.056369,0.079764,0.136083,0.257139,0.500807"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & D & !SE & !SI & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.081497,0.092884,0.101989,0.116339,0.139495,0.178955,0.251209", \ "0.086078,0.097466,0.106577,0.120939,0.144095,0.183561,0.255809", \ "0.103955,0.115308,0.124409,0.138779,0.161949,0.201409,0.273663", \ "0.140729,0.152100,0.161199,0.175595,0.198817,0.238344,0.310603", \ "0.188306,0.201616,0.211907,0.227540,0.251805,0.291753,0.364078", \ "0.238974,0.254645,0.266453,0.284163,0.310631,0.352502,0.426180", \ "0.291928,0.310262,0.323984,0.344184,0.373244,0.417650,0.492679"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.015712,0.019967,0.024055,0.031593,0.045960,0.074738,0.134586", \ "0.015715,0.019968,0.024052,0.031583,0.045950,0.074750,0.134584", \ "0.015725,0.019997,0.024077,0.031595,0.045960,0.074737,0.134590", \ "0.016359,0.020431,0.024412,0.031841,0.046084,0.074807,0.134609", \ "0.022044,0.025473,0.028845,0.035389,0.048436,0.075931,0.134936", \ "0.028882,0.032392,0.035561,0.041562,0.053826,0.080053,0.136961", \ "0.036525,0.040366,0.043588,0.049265,0.060648,0.085292,0.140357"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & D & !SE & SI & !SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.081507,0.092898,0.101991,0.116343,0.139480,0.178911,0.251153", \ "0.086070,0.097453,0.106574,0.120921,0.144058,0.183479,0.255735", \ "0.103952,0.115297,0.124405,0.138761,0.161924,0.201371,0.273643", \ "0.140780,0.152135,0.161271,0.175584,0.198813,0.238315,0.310605", \ "0.188457,0.201788,0.211995,0.227551,0.251821,0.291786,0.364077", \ "0.238992,0.254794,0.266647,0.284216,0.310550,0.352575,0.426170", \ "0.291923,0.310429,0.324193,0.344357,0.373407,0.417773,0.492897"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.067152,0.085375,0.102969,0.136079,0.200561,0.328466,0.583541", \ "0.071582,0.089797,0.107387,0.140494,0.204986,0.332907,0.587934", \ "0.088240,0.106360,0.123860,0.156872,0.221329,0.349318,0.604508", \ "0.116826,0.135219,0.152693,0.185474,0.249731,0.377646,0.632793", \ "0.145149,0.164850,0.182704,0.215611,0.279751,0.407383,0.662476", \ "0.169057,0.191496,0.210352,0.243634,0.307592,0.435114,0.689490", \ "0.187637,0.213077,0.233932,0.268260,0.331663,0.458479,0.713219"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.015716,0.019975,0.024054,0.031597,0.045958,0.074738,0.134666", \ "0.015714,0.019973,0.024055,0.031590,0.045950,0.074745,0.134660", \ "0.015725,0.019998,0.024081,0.031608,0.045961,0.074757,0.134675", \ "0.016358,0.020432,0.024412,0.031837,0.046087,0.074797,0.134686", \ "0.022027,0.025453,0.028842,0.035394,0.048434,0.075931,0.135023", \ "0.028900,0.032374,0.035522,0.041564,0.053840,0.080053,0.137028", \ "0.036517,0.040339,0.043560,0.049225,0.060612,0.085291,0.140466"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.017370,0.029797,0.043906,0.073287,0.133855,0.255995,0.500382", \ "0.017370,0.029798,0.043912,0.073294,0.133858,0.255972,0.500428", \ "0.017364,0.029817,0.043929,0.073292,0.133858,0.255969,0.500347", \ "0.019078,0.030822,0.044487,0.073462,0.133891,0.255967,0.500419", \ "0.023431,0.033795,0.046542,0.074666,0.134272,0.256095,0.500387", \ "0.029491,0.039061,0.050241,0.076354,0.135040,0.256423,0.500412", \ "0.036363,0.046294,0.056369,0.079764,0.136083,0.257139,0.500807"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & D & !SE & SI & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.081497,0.092884,0.101989,0.116339,0.139495,0.178955,0.251209", \ "0.086078,0.097466,0.106577,0.120939,0.144095,0.183561,0.255809", \ "0.103955,0.115308,0.124409,0.138779,0.161949,0.201409,0.273663", \ "0.140729,0.152100,0.161199,0.175595,0.198817,0.238344,0.310603", \ "0.188306,0.201616,0.211907,0.227540,0.251805,0.291753,0.364078", \ "0.238974,0.254645,0.266453,0.284163,0.310631,0.352502,0.426180", \ "0.291928,0.310262,0.323984,0.344184,0.373244,0.417650,0.492679"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.015712,0.019967,0.024055,0.031593,0.045960,0.074738,0.134586", \ "0.015715,0.019968,0.024052,0.031583,0.045950,0.074750,0.134584", \ "0.015725,0.019997,0.024077,0.031595,0.045960,0.074737,0.134590", \ "0.016359,0.020431,0.024412,0.031841,0.046084,0.074807,0.134609", \ "0.022044,0.025473,0.028845,0.035389,0.048436,0.075931,0.134936", \ "0.028882,0.032392,0.035561,0.041562,0.053826,0.080053,0.136961", \ "0.036525,0.040366,0.043588,0.049265,0.060648,0.085292,0.140357"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & D & SE & !SI & !SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.081507,0.092898,0.101990,0.116343,0.139480,0.178911,0.251153", \ "0.086070,0.097453,0.106574,0.120921,0.144058,0.183479,0.255735", \ "0.103952,0.115297,0.124405,0.138761,0.161924,0.201371,0.273643", \ "0.140780,0.152135,0.161271,0.175584,0.198813,0.238316,0.310605", \ "0.188457,0.201788,0.211995,0.227551,0.251821,0.291786,0.364077", \ "0.238992,0.254794,0.266647,0.284216,0.310550,0.352575,0.426170", \ "0.291923,0.310429,0.324193,0.344357,0.373407,0.417773,0.492897"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.067152,0.085375,0.102969,0.136079,0.200568,0.328467,0.583544", \ "0.071582,0.089797,0.107387,0.140494,0.204986,0.332907,0.587934", \ "0.088240,0.106360,0.123860,0.156872,0.221329,0.349318,0.604508", \ "0.116826,0.135219,0.152693,0.185474,0.249731,0.377646,0.632793", \ "0.145149,0.164850,0.182704,0.215611,0.279751,0.407383,0.662476", \ "0.169057,0.191496,0.210352,0.243634,0.307592,0.435114,0.689490", \ "0.187637,0.213077,0.233932,0.268260,0.331663,0.458479,0.713219"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.015716,0.019975,0.024054,0.031597,0.045958,0.074738,0.134666", \ "0.015714,0.019973,0.024055,0.031590,0.045950,0.074745,0.134660", \ "0.015725,0.019998,0.024081,0.031608,0.045961,0.074757,0.134675", \ "0.016358,0.020432,0.024412,0.031837,0.046087,0.074797,0.134686", \ "0.022027,0.025453,0.028842,0.035394,0.048434,0.075931,0.135023", \ "0.028900,0.032374,0.035522,0.041564,0.053840,0.080053,0.137028", \ "0.036517,0.040339,0.043560,0.049225,0.060612,0.085291,0.140466"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.017370,0.029797,0.043906,0.073288,0.133860,0.255949,0.500378", \ "0.017370,0.029798,0.043912,0.073294,0.133858,0.255972,0.500428", \ "0.017364,0.029817,0.043929,0.073292,0.133858,0.255969,0.500347", \ "0.019078,0.030822,0.044487,0.073462,0.133891,0.255967,0.500419", \ "0.023431,0.033795,0.046542,0.074666,0.134272,0.256095,0.500387", \ "0.029491,0.039061,0.050241,0.076354,0.135040,0.256423,0.500412", \ "0.036363,0.046294,0.056369,0.079764,0.136083,0.257139,0.500807"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & D & SE & !SI & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.081497,0.092884,0.101989,0.116350,0.139497,0.178956,0.251208", \ "0.086078,0.097466,0.106577,0.120939,0.144095,0.183561,0.255808", \ "0.103955,0.115308,0.124409,0.138779,0.161949,0.201409,0.273663", \ "0.140729,0.152100,0.161199,0.175595,0.198831,0.238344,0.310603", \ "0.188306,0.201616,0.211907,0.227558,0.251805,0.291754,0.364078", \ "0.238974,0.254645,0.266453,0.284163,0.310631,0.352502,0.426166", \ "0.291928,0.310262,0.323984,0.344184,0.373244,0.417650,0.492679"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.015710,0.019967,0.024055,0.031591,0.045943,0.074739,0.134587", \ "0.015715,0.019968,0.024052,0.031583,0.045950,0.074750,0.134584", \ "0.015725,0.019997,0.024077,0.031595,0.045960,0.074737,0.134590", \ "0.016359,0.020431,0.024412,0.031841,0.046079,0.074807,0.134610", \ "0.022044,0.025473,0.028845,0.035387,0.048436,0.075931,0.134936", \ "0.028882,0.032392,0.035561,0.041562,0.053826,0.080053,0.136965", \ "0.036525,0.040366,0.043588,0.049265,0.060648,0.085292,0.140357"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & D & SE & SI & !SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.081507,0.092898,0.101991,0.116343,0.139480,0.178911,0.251153", \ "0.086070,0.097453,0.106574,0.120921,0.144058,0.183479,0.255735", \ "0.103952,0.115297,0.124405,0.138761,0.161924,0.201371,0.273643", \ "0.140780,0.152135,0.161271,0.175584,0.198813,0.238315,0.310605", \ "0.188457,0.201788,0.211995,0.227551,0.251821,0.291786,0.364077", \ "0.238992,0.254794,0.266647,0.284216,0.310550,0.352575,0.426170", \ "0.291923,0.310429,0.324193,0.344357,0.373407,0.417773,0.492897"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.067152,0.085375,0.102969,0.136079,0.200561,0.328466,0.583541", \ "0.071582,0.089797,0.107387,0.140494,0.204986,0.332907,0.587934", \ "0.088240,0.106360,0.123860,0.156872,0.221329,0.349318,0.604508", \ "0.116826,0.135219,0.152693,0.185474,0.249731,0.377646,0.632793", \ "0.145149,0.164850,0.182704,0.215611,0.279751,0.407383,0.662476", \ "0.169057,0.191496,0.210352,0.243634,0.307592,0.435114,0.689524", \ "0.187637,0.213077,0.233932,0.268260,0.331663,0.458479,0.713219"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.015716,0.019975,0.024054,0.031597,0.045958,0.074738,0.134666", \ "0.015714,0.019973,0.024055,0.031590,0.045950,0.074745,0.134660", \ "0.015725,0.019998,0.024081,0.031608,0.045961,0.074757,0.134675", \ "0.016358,0.020432,0.024412,0.031837,0.046087,0.074797,0.134686", \ "0.022027,0.025453,0.028842,0.035394,0.048434,0.075931,0.135023", \ "0.028900,0.032374,0.035522,0.041564,0.053840,0.080053,0.137028", \ "0.036517,0.040339,0.043560,0.049225,0.060612,0.085291,0.140466"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.017370,0.029797,0.043906,0.073287,0.133855,0.255995,0.500382", \ "0.017370,0.029798,0.043912,0.073294,0.133858,0.255972,0.500428", \ "0.017364,0.029817,0.043929,0.073292,0.133858,0.255969,0.500347", \ "0.019078,0.030822,0.044487,0.073462,0.133891,0.255967,0.500419", \ "0.023431,0.033795,0.046542,0.074666,0.134272,0.256095,0.500387", \ "0.029491,0.039061,0.050241,0.076354,0.135040,0.256423,0.500429", \ "0.036363,0.046294,0.056369,0.079764,0.136083,0.257139,0.500807"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & D & SE & SI & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.081497,0.092884,0.101989,0.116348,0.139495,0.178954,0.251206", \ "0.086078,0.097466,0.106577,0.120939,0.144095,0.183561,0.255809", \ "0.103955,0.115308,0.124409,0.138779,0.161949,0.201409,0.273663", \ "0.140729,0.152100,0.161199,0.175595,0.198831,0.238344,0.310603", \ "0.188306,0.201616,0.211907,0.227540,0.251805,0.291753,0.364078", \ "0.238974,0.254645,0.266453,0.284163,0.310631,0.352502,0.426180", \ "0.291928,0.310262,0.323984,0.344184,0.373244,0.417650,0.492679"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.015712,0.019967,0.024055,0.031588,0.045961,0.074739,0.134588", \ "0.015715,0.019968,0.024052,0.031583,0.045950,0.074750,0.134584", \ "0.015725,0.019997,0.024077,0.031595,0.045960,0.074737,0.134590", \ "0.016359,0.020431,0.024412,0.031841,0.046079,0.074807,0.134609", \ "0.022044,0.025473,0.028845,0.035389,0.048436,0.075931,0.134936", \ "0.028882,0.032392,0.035561,0.041562,0.053826,0.080053,0.136961", \ "0.036525,0.040366,0.043588,0.049265,0.060648,0.085292,0.140357"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & !D & RN & !SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.197345,0.212939,0.227833,0.257508,0.319214,0.445476,0.699779", \ "0.202097,0.217694,0.232588,0.262262,0.323983,0.450244,0.704551", \ "0.219824,0.235404,0.250302,0.279974,0.341693,0.467948,0.722258", \ "0.256369,0.271979,0.286829,0.316505,0.378203,0.504449,0.758755", \ "0.313510,0.328969,0.343545,0.372908,0.434296,0.560329,0.814621", \ "0.382953,0.397913,0.411562,0.439871,0.500049,0.625283,0.879042", \ "0.457430,0.471874,0.484534,0.511394,0.570023,0.693695,0.947422"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.018570,0.030894,0.044815,0.073806,0.133933,0.255758,0.499936", \ "0.018577,0.030892,0.044816,0.073804,0.133932,0.255763,0.499937", \ "0.018572,0.030892,0.044819,0.073796,0.133932,0.255763,0.499941", \ "0.018585,0.030901,0.044823,0.073789,0.133920,0.255763,0.499950", \ "0.018640,0.030947,0.044856,0.073837,0.133922,0.255761,0.499941", \ "0.019089,0.031325,0.045173,0.074007,0.133986,0.255772,0.499941", \ "0.019751,0.031954,0.045707,0.074278,0.134095,0.255798,0.499946"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & !D & RN & !SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.197345,0.212939,0.227833,0.257499,0.319213,0.445475,0.699779", \ "0.202097,0.217694,0.232588,0.262262,0.323983,0.450244,0.704551", \ "0.219824,0.235404,0.250302,0.279974,0.341693,0.467948,0.722258", \ "0.256369,0.271979,0.286829,0.316505,0.378203,0.504449,0.758755", \ "0.313510,0.328969,0.343545,0.372908,0.434296,0.560329,0.814621", \ "0.382953,0.397913,0.411562,0.439871,0.500049,0.625283,0.879042", \ "0.457430,0.471874,0.484534,0.511394,0.570023,0.693695,0.947422"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.018570,0.030894,0.044815,0.073803,0.133933,0.255763,0.499936", \ "0.018577,0.030892,0.044816,0.073804,0.133932,0.255763,0.499937", \ "0.018572,0.030892,0.044819,0.073796,0.133932,0.255763,0.499941", \ "0.018585,0.030901,0.044823,0.073789,0.133920,0.255763,0.499950", \ "0.018640,0.030947,0.044856,0.073837,0.133922,0.255761,0.499941", \ "0.019089,0.031325,0.045173,0.074007,0.133986,0.255772,0.499941", \ "0.019751,0.031954,0.045707,0.074278,0.134095,0.255798,0.499946"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & !D & RN & SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.197345,0.212939,0.227833,0.257508,0.319213,0.445475,0.699780", \ "0.202097,0.217694,0.232588,0.262262,0.323983,0.450244,0.704551", \ "0.219824,0.235404,0.250302,0.279974,0.341693,0.467948,0.722258", \ "0.256369,0.271979,0.286829,0.316505,0.378203,0.504449,0.758755", \ "0.313510,0.328969,0.343545,0.372908,0.434296,0.560329,0.814621", \ "0.382953,0.397913,0.411562,0.439871,0.500049,0.625283,0.879042", \ "0.457430,0.471874,0.484534,0.511394,0.570023,0.693695,0.947422"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.018570,0.030894,0.044815,0.073806,0.133933,0.255763,0.499936", \ "0.018577,0.030892,0.044816,0.073804,0.133932,0.255763,0.499937", \ "0.018572,0.030892,0.044819,0.073796,0.133932,0.255763,0.499941", \ "0.018585,0.030901,0.044823,0.073789,0.133920,0.255763,0.499950", \ "0.018640,0.030947,0.044856,0.073837,0.133922,0.255761,0.499941", \ "0.019089,0.031325,0.045173,0.074007,0.133986,0.255772,0.499941", \ "0.019751,0.031954,0.045707,0.074278,0.134095,0.255798,0.499946"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & !D & RN & SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.197340,0.212937,0.227828,0.257505,0.319212,0.445472,0.699780", \ "0.202092,0.217689,0.232585,0.262262,0.323977,0.450239,0.704547", \ "0.219808,0.235407,0.250298,0.279970,0.341685,0.467936,0.722246", \ "0.256306,0.271921,0.286837,0.316498,0.378201,0.504444,0.758750", \ "0.313452,0.328780,0.343443,0.372800,0.434182,0.560210,0.814396", \ "0.382752,0.397544,0.411562,0.439476,0.499655,0.624879,0.878653", \ "0.457385,0.471832,0.484800,0.510947,0.569537,0.693749,0.946964"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.018576,0.030894,0.044817,0.073799,0.133926,0.255763,0.499936", \ "0.018577,0.030892,0.044815,0.073805,0.133929,0.255765,0.499944", \ "0.018577,0.030894,0.044820,0.073796,0.133927,0.255759,0.499948", \ "0.018585,0.030898,0.044820,0.073796,0.133920,0.255763,0.499952", \ "0.018643,0.030947,0.044857,0.073835,0.133921,0.255762,0.499942", \ "0.019083,0.031324,0.045174,0.074008,0.133977,0.255776,0.499945", \ "0.019747,0.031955,0.045706,0.074289,0.134088,0.255800,0.499942"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & D & RN & !SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.197340,0.212937,0.227828,0.257508,0.319212,0.445475,0.699780", \ "0.202090,0.217689,0.232585,0.262262,0.323977,0.450239,0.704547", \ "0.219808,0.235407,0.250298,0.279970,0.341685,0.467936,0.722246", \ "0.256306,0.271921,0.286837,0.316498,0.378201,0.504444,0.758750", \ "0.313452,0.328780,0.343443,0.372800,0.434182,0.560210,0.814396", \ "0.382752,0.397544,0.411562,0.439476,0.499655,0.624879,0.878653", \ "0.457385,0.471832,0.484800,0.510947,0.569537,0.693749,0.946964"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.018576,0.030894,0.044817,0.073805,0.133926,0.255759,0.499936", \ "0.018570,0.030892,0.044815,0.073805,0.133929,0.255765,0.499944", \ "0.018577,0.030894,0.044820,0.073796,0.133927,0.255759,0.499948", \ "0.018585,0.030898,0.044820,0.073796,0.133920,0.255763,0.499952", \ "0.018643,0.030947,0.044857,0.073835,0.133921,0.255762,0.499942", \ "0.019083,0.031324,0.045174,0.074008,0.133977,0.255776,0.499945", \ "0.019747,0.031955,0.045706,0.074289,0.134088,0.255800,0.499942"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & D & RN & !SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.197340,0.212937,0.227828,0.257505,0.319212,0.445472,0.699777", \ "0.202092,0.217689,0.232585,0.262262,0.323977,0.450239,0.704547", \ "0.219808,0.235407,0.250298,0.279970,0.341685,0.467936,0.722246", \ "0.256306,0.271921,0.286837,0.316498,0.378201,0.504444,0.758750", \ "0.313452,0.328780,0.343443,0.372800,0.434182,0.560210,0.814396", \ "0.382752,0.397544,0.411562,0.439476,0.499655,0.624879,0.878653", \ "0.457385,0.471832,0.484800,0.510947,0.569537,0.693749,0.946964"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.018576,0.030894,0.044817,0.073799,0.133926,0.255763,0.499936", \ "0.018577,0.030892,0.044815,0.073805,0.133929,0.255765,0.499944", \ "0.018577,0.030894,0.044820,0.073796,0.133927,0.255759,0.499948", \ "0.018585,0.030898,0.044820,0.073796,0.133920,0.255763,0.499952", \ "0.018643,0.030947,0.044857,0.073835,0.133921,0.255762,0.499942", \ "0.019083,0.031324,0.045174,0.074008,0.133977,0.255776,0.499945", \ "0.019747,0.031955,0.045706,0.074289,0.134088,0.255800,0.499942"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & D & RN & SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.197345,0.212939,0.227833,0.257500,0.319213,0.445476,0.699779", \ "0.202097,0.217694,0.232588,0.262262,0.323983,0.450244,0.704551", \ "0.219824,0.235404,0.250302,0.279974,0.341693,0.467948,0.722258", \ "0.256369,0.271982,0.286829,0.316505,0.378203,0.504449,0.758755", \ "0.313510,0.328969,0.343545,0.372908,0.434296,0.560329,0.814621", \ "0.382953,0.397913,0.411562,0.439871,0.500049,0.625283,0.879042", \ "0.457430,0.471874,0.484534,0.511394,0.570023,0.693695,0.947422"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.018570,0.030894,0.044815,0.073803,0.133933,0.255757,0.499936", \ "0.018577,0.030892,0.044816,0.073804,0.133932,0.255763,0.499937", \ "0.018572,0.030892,0.044819,0.073796,0.133932,0.255763,0.499941", \ "0.018585,0.030900,0.044823,0.073789,0.133920,0.255763,0.499950", \ "0.018640,0.030947,0.044856,0.073837,0.133922,0.255761,0.499941", \ "0.019089,0.031325,0.045173,0.074007,0.133986,0.255772,0.499941", \ "0.019751,0.031954,0.045707,0.074278,0.134095,0.255798,0.499946"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & D & RN & SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.197340,0.212937,0.227828,0.257505,0.319212,0.445470,0.699777", \ "0.202092,0.217689,0.232585,0.262262,0.323977,0.450239,0.704547", \ "0.219808,0.235407,0.250298,0.279970,0.341685,0.467936,0.722246", \ "0.256306,0.271921,0.286837,0.316498,0.378201,0.504444,0.758750", \ "0.313452,0.328780,0.343443,0.372800,0.434182,0.560210,0.814396", \ "0.382752,0.397544,0.411562,0.439476,0.499655,0.624879,0.878653", \ "0.457385,0.471832,0.484800,0.510947,0.569537,0.693749,0.946964"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.018576,0.030894,0.044817,0.073799,0.133926,0.255756,0.499936", \ "0.018577,0.030892,0.044815,0.073805,0.133929,0.255765,0.499944", \ "0.018577,0.030894,0.044820,0.073796,0.133927,0.255759,0.499948", \ "0.018585,0.030898,0.044820,0.073796,0.133920,0.255763,0.499952", \ "0.018643,0.030947,0.044857,0.073835,0.133921,0.255762,0.499942", \ "0.019083,0.031324,0.045174,0.074008,0.133977,0.255776,0.499945", \ "0.019747,0.031955,0.045706,0.074289,0.134088,0.255800,0.499942"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & !D & RN & !SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.197290,0.212832,0.227696,0.257371,0.319084,0.445353,0.699667", \ "0.202039,0.217585,0.232454,0.262136,0.323844,0.450122,0.704430", \ "0.219757,0.235293,0.250175,0.279845,0.341552,0.467826,0.722137", \ "0.256261,0.271834,0.286678,0.316355,0.378048,0.504310,0.758616", \ "0.313417,0.328818,0.343390,0.372757,0.434118,0.560165,0.814359", \ "0.383026,0.397940,0.411458,0.439639,0.499817,0.625085,0.878859", \ "0.457560,0.471848,0.484779,0.511059,0.569714,0.694010,0.947235"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.018531,0.030849,0.044774,0.073765,0.133916,0.255762,0.499942", \ "0.018532,0.030845,0.044772,0.073763,0.133915,0.255772,0.499952", \ "0.018530,0.030849,0.044772,0.073759,0.133915,0.255761,0.499951", \ "0.018540,0.030854,0.044781,0.073777,0.133904,0.255770,0.499976", \ "0.018591,0.030900,0.044819,0.073795,0.133905,0.255766,0.499967", \ "0.019019,0.031262,0.045117,0.073968,0.133963,0.255781,0.499942", \ "0.019663,0.031869,0.045625,0.074227,0.134054,0.255821,0.499978"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & !D & RN & !SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.197290,0.212832,0.227696,0.257371,0.319088,0.445357,0.699664", \ "0.202039,0.217585,0.232454,0.262136,0.323844,0.450122,0.704430", \ "0.219757,0.235293,0.250175,0.279845,0.341552,0.467826,0.722137", \ "0.256261,0.271834,0.286678,0.316355,0.378048,0.504310,0.758616", \ "0.313417,0.328818,0.343390,0.372792,0.434159,0.560209,0.814445", \ "0.383026,0.397940,0.411458,0.439639,0.499817,0.625085,0.878859", \ "0.457560,0.471848,0.484779,0.511059,0.569714,0.694010,0.947235"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.018531,0.030849,0.044774,0.073765,0.133916,0.255768,0.499933", \ "0.018532,0.030845,0.044772,0.073763,0.133915,0.255772,0.499952", \ "0.018530,0.030849,0.044772,0.073759,0.133915,0.255761,0.499951", \ "0.018540,0.030854,0.044781,0.073777,0.133904,0.255770,0.499976", \ "0.018591,0.030900,0.044819,0.073794,0.133905,0.255766,0.499969", \ "0.019019,0.031262,0.045117,0.073968,0.133963,0.255781,0.499942", \ "0.019663,0.031869,0.045625,0.074227,0.134054,0.255821,0.499978"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & !D & RN & SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.197290,0.212832,0.227696,0.257371,0.319088,0.445353,0.699668", \ "0.202039,0.217585,0.232454,0.262136,0.323844,0.450122,0.704430", \ "0.219757,0.235293,0.250175,0.279845,0.341552,0.467826,0.722137", \ "0.256261,0.271834,0.286678,0.316355,0.378048,0.504310,0.758616", \ "0.313417,0.328818,0.343390,0.372792,0.434159,0.560209,0.814445", \ "0.383026,0.397940,0.411458,0.439639,0.499817,0.625085,0.878858", \ "0.457560,0.471848,0.484779,0.511059,0.569714,0.694010,0.947235"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.018531,0.030849,0.044774,0.073765,0.133916,0.255762,0.499951", \ "0.018532,0.030845,0.044772,0.073763,0.133915,0.255772,0.499952", \ "0.018530,0.030849,0.044772,0.073759,0.133915,0.255761,0.499951", \ "0.018540,0.030854,0.044781,0.073777,0.133904,0.255770,0.499976", \ "0.018591,0.030900,0.044819,0.073794,0.133905,0.255766,0.499969", \ "0.019019,0.031262,0.045117,0.073968,0.133963,0.255781,0.499951", \ "0.019663,0.031869,0.045625,0.074227,0.134054,0.255821,0.499978"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & !D & RN & SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.197290,0.212832,0.227696,0.257371,0.319088,0.445355,0.699669", \ "0.202047,0.217585,0.232454,0.262136,0.323844,0.450122,0.704430", \ "0.219760,0.235293,0.250175,0.279845,0.341552,0.467826,0.722137", \ "0.256261,0.271834,0.286678,0.316355,0.378048,0.504310,0.758616", \ "0.313417,0.328818,0.343390,0.372792,0.434159,0.560209,0.814445", \ "0.383026,0.397940,0.411458,0.439639,0.499817,0.625085,0.878859", \ "0.457560,0.471848,0.484779,0.511059,0.569714,0.694010,0.947236"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.018531,0.030848,0.044773,0.073765,0.133916,0.255761,0.499950", \ "0.018528,0.030845,0.044772,0.073763,0.133912,0.255772,0.499952", \ "0.018530,0.030849,0.044772,0.073759,0.133915,0.255761,0.499951", \ "0.018540,0.030854,0.044781,0.073777,0.133904,0.255770,0.499976", \ "0.018591,0.030900,0.044816,0.073794,0.133905,0.255766,0.499969", \ "0.019020,0.031262,0.045117,0.073968,0.133963,0.255781,0.499945", \ "0.019663,0.031869,0.045625,0.074227,0.134054,0.255821,0.499978"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & D & RN & !SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.197290,0.212832,0.227696,0.257371,0.319088,0.445359,0.699667", \ "0.202039,0.217585,0.232454,0.262136,0.323844,0.450122,0.704430", \ "0.219760,0.235293,0.250175,0.279845,0.341552,0.467826,0.722137", \ "0.256261,0.271834,0.286678,0.316355,0.378048,0.504310,0.758616", \ "0.313417,0.328818,0.343390,0.372792,0.434159,0.560209,0.814445", \ "0.383026,0.397940,0.411458,0.439639,0.499817,0.625085,0.878859", \ "0.457560,0.471848,0.484779,0.511059,0.569714,0.694010,0.947236"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.018531,0.030848,0.044773,0.073765,0.133916,0.255771,0.499951", \ "0.018532,0.030845,0.044772,0.073763,0.133915,0.255772,0.499952", \ "0.018530,0.030849,0.044772,0.073759,0.133915,0.255761,0.499951", \ "0.018540,0.030854,0.044781,0.073777,0.133904,0.255770,0.499976", \ "0.018591,0.030900,0.044816,0.073794,0.133905,0.255766,0.499969", \ "0.019020,0.031262,0.045117,0.073968,0.133963,0.255781,0.499945", \ "0.019663,0.031869,0.045625,0.074227,0.134054,0.255821,0.499978"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & D & RN & !SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.197290,0.212832,0.227696,0.257371,0.319088,0.445355,0.699666", \ "0.202039,0.217585,0.232454,0.262136,0.323844,0.450122,0.704430", \ "0.219760,0.235293,0.250175,0.279845,0.341552,0.467826,0.722137", \ "0.256261,0.271834,0.286678,0.316355,0.378048,0.504310,0.758616", \ "0.313417,0.328818,0.343390,0.372792,0.434159,0.560209,0.814445", \ "0.383026,0.397940,0.411458,0.439639,0.499817,0.625085,0.878859", \ "0.457560,0.471848,0.484779,0.511059,0.569714,0.694010,0.947236"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.018531,0.030848,0.044773,0.073765,0.133916,0.255761,0.499951", \ "0.018532,0.030845,0.044772,0.073763,0.133915,0.255772,0.499952", \ "0.018530,0.030849,0.044772,0.073759,0.133915,0.255761,0.499951", \ "0.018540,0.030854,0.044781,0.073777,0.133904,0.255770,0.499976", \ "0.018591,0.030900,0.044816,0.073794,0.133905,0.255766,0.499969", \ "0.019020,0.031262,0.045117,0.073968,0.133963,0.255781,0.499945", \ "0.019663,0.031869,0.045625,0.074227,0.134054,0.255821,0.499978"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & D & RN & SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.197290,0.212832,0.227696,0.257371,0.319088,0.445358,0.699665", \ "0.202047,0.217585,0.232454,0.262136,0.323844,0.450122,0.704430", \ "0.219757,0.235293,0.250175,0.279845,0.341552,0.467826,0.722137", \ "0.256261,0.271834,0.286678,0.316355,0.378048,0.504310,0.758616", \ "0.313417,0.328818,0.343390,0.372792,0.434159,0.560209,0.814445", \ "0.383026,0.397940,0.411458,0.439639,0.499817,0.625085,0.878859", \ "0.457560,0.471848,0.484779,0.511059,0.569714,0.694010,0.947235"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.018531,0.030849,0.044774,0.073765,0.133916,0.255768,0.499950", \ "0.018528,0.030845,0.044772,0.073763,0.133915,0.255772,0.499952", \ "0.018530,0.030849,0.044772,0.073759,0.133915,0.255761,0.499951", \ "0.018540,0.030854,0.044781,0.073777,0.133904,0.255770,0.499976", \ "0.018591,0.030900,0.044819,0.073794,0.133905,0.255766,0.499969", \ "0.019019,0.031262,0.045117,0.073968,0.133963,0.255781,0.499942", \ "0.019663,0.031869,0.045625,0.074227,0.134054,0.255821,0.499978"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & D & RN & SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.197290,0.212832,0.227696,0.257371,0.319088,0.445355,0.699667", \ "0.202039,0.217585,0.232454,0.262136,0.323844,0.450122,0.704430", \ "0.219760,0.235293,0.250175,0.279845,0.341552,0.467826,0.722137", \ "0.256261,0.271834,0.286678,0.316355,0.378048,0.504310,0.758616", \ "0.313417,0.328818,0.343390,0.372792,0.434159,0.560209,0.814445", \ "0.383026,0.397940,0.411458,0.439639,0.499817,0.625085,0.878858", \ "0.457560,0.471848,0.484779,0.511059,0.569714,0.694010,0.947236"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.018531,0.030848,0.044773,0.073765,0.133916,0.255761,0.499942", \ "0.018532,0.030845,0.044772,0.073763,0.133912,0.255772,0.499952", \ "0.018530,0.030849,0.044772,0.073759,0.133915,0.255761,0.499951", \ "0.018540,0.030854,0.044781,0.073777,0.133904,0.255770,0.499976", \ "0.018591,0.030900,0.044816,0.073794,0.133905,0.255766,0.499969", \ "0.019020,0.031262,0.045117,0.073968,0.133963,0.255781,0.499951", \ "0.019663,0.031869,0.045625,0.074227,0.134054,0.255821,0.499978"); } } internal_power () { related_pin : "CK"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.834215,5.952353,6.029317,6.272219,6.425865,6.471799,6.528903", \ "5.824357,5.940544,6.014923,6.276237,6.430510,6.485047,6.540772", \ "5.806339,5.922173,5.998629,6.256150,6.407166,6.457148,6.522307", \ "5.799540,5.919411,5.996762,6.248248,6.412975,6.467198,6.508442", \ "5.821389,5.942080,6.019136,6.259721,6.408581,6.497513,6.489601", \ "5.881809,5.997295,6.073724,6.329140,6.478442,6.568957,6.588156", \ "5.992047,6.108027,6.185724,6.433264,6.604410,6.646954,6.694282"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.050969,6.183391,6.254496,6.328380,6.389780,6.418089,6.450192", \ "6.044639,6.180613,6.256164,6.310789,6.393887,6.421204,6.453503", \ "6.024669,6.161762,6.229748,6.299449,6.369375,6.401921,6.433136", \ "6.023760,6.161770,6.237269,6.315135,6.372205,6.400062,6.427031", \ "6.052287,6.185543,6.253915,6.331452,6.401197,6.451726,6.470947", \ "6.113092,6.246372,6.324719,6.381629,6.451339,6.501214,6.494586", \ "6.229319,6.360864,6.424503,6.497366,6.574231,6.618875,6.631534"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & !SE & !SI & !SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.105997,4.430659,4.625969,4.883250,5.126924,5.355274,5.634695", \ "4.098703,4.370399,4.590358,4.843969,5.084719,5.321639,5.610377", \ "4.027475,4.301253,4.512885,4.771344,5.028374,5.262504,5.552162", \ "4.052773,4.304602,4.517926,4.761757,5.024962,5.264391,5.568525", \ "4.100266,4.377996,4.595256,4.838248,5.115575,5.377076,5.682639", \ "4.273835,4.426482,4.602778,4.865847,5.207382,5.568709,5.873070", \ "4.629196,4.688172,4.808559,5.025081,5.346185,5.710695,6.156941"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("2.778714,3.164038,3.442616,3.786304,3.949424,3.947024,3.988101", \ "2.736426,3.140996,3.426106,3.697469,3.912848,3.910609,3.949205", \ "2.719590,3.098140,3.378107,3.632788,3.828070,3.831879,3.877874", \ "2.789742,3.156014,3.402165,3.661931,3.820388,3.825827,3.878321", \ "2.978981,3.267805,3.469912,3.656430,3.805225,3.865394,3.874162", \ "3.199918,3.544920,3.704320,3.877336,3.902141,4.045450,4.121318", \ "3.544130,3.870373,4.047922,4.246212,4.333074,4.307128,4.376393"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & !SE & !SI & SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.905453,5.099130,5.210600,5.270789,5.373475,5.393974,5.456479", \ "4.875045,5.081742,5.188158,5.261473,5.370194,5.391167,5.461840", \ "4.855718,5.043106,5.150066,5.235769,5.319142,5.409905,5.410183", \ "4.866182,5.050152,5.154472,5.213774,5.334768,5.362386,5.436922", \ "4.985929,5.122883,5.204040,5.293991,5.381966,5.405250,5.485223", \ "5.173456,5.274217,5.344151,5.385691,5.480058,5.500292,5.582114", \ "5.443144,5.507895,5.544968,5.580052,5.642820,5.657160,5.735002"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & !SE & SI & !SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.105999,4.430664,4.625971,4.883253,5.126923,5.355266,5.634674", \ "4.098709,4.370400,4.590360,4.843970,5.084711,5.321635,5.610375", \ "4.027479,4.301253,4.512886,4.771344,5.028375,5.262502,5.552159", \ "4.052776,4.304603,4.517928,4.761756,5.024960,5.264382,5.568523", \ "4.100268,4.378001,4.595261,4.838244,5.115568,5.377072,5.682638", \ "4.273839,4.426484,4.602781,4.865849,5.207379,5.568708,5.873061", \ "4.629199,4.688172,4.808556,5.025082,5.346185,5.710689,6.156935"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("2.778715,3.164038,3.442615,3.786306,3.949424,3.947010,3.988088", \ "2.736426,3.140998,3.426106,3.697460,3.912846,3.910594,3.949190", \ "2.719590,3.098139,3.378107,3.632778,3.828064,3.831861,3.877855", \ "2.789743,3.156016,3.402166,3.661931,3.820389,3.825815,3.878312", \ "2.978983,3.267804,3.469913,3.656420,3.805217,3.865383,3.874144", \ "3.199915,3.544922,3.704317,3.877330,3.902122,4.045445,4.121314", \ "3.544132,3.870381,4.047920,4.246208,4.333072,4.307120,4.376389"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & !SE & SI & SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.904463,5.098145,5.209576,5.305054,5.372548,5.393073,5.457383", \ "4.874066,5.080767,5.187175,5.260499,5.369248,5.390243,5.460983", \ "4.854779,5.042155,5.149126,5.234832,5.318213,5.409008,5.409330", \ "4.865301,5.049265,5.153588,5.212884,5.333887,5.361521,5.436094", \ "4.985154,5.122098,5.207416,5.293193,5.381153,5.404433,5.484438", \ "5.172807,5.273555,5.343478,5.385000,5.479351,5.499556,5.580613", \ "5.442664,5.507374,5.544736,5.579490,5.642230,5.656518,5.734199"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & SE & !SI & !SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.105988,4.430645,4.625958,4.883238,5.126917,5.355276,5.634717", \ "4.098690,4.370388,4.590345,4.843955,5.084703,5.321636,5.610377", \ "4.027464,4.301242,4.512874,4.771332,5.028364,5.262500,5.552162", \ "4.052764,4.304594,4.517915,4.761748,5.024955,5.264397,5.568525", \ "4.100259,4.377983,4.595244,4.838245,5.115573,5.377075,5.682638", \ "4.273828,4.426475,4.602768,4.865839,5.207379,5.568704,5.873077", \ "4.629188,4.688168,4.808549,5.025076,5.346178,5.710697,6.156945"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("2.778705,3.164027,3.442606,3.786293,3.949415,3.947032,3.988111", \ "2.736422,3.140986,3.426096,3.697470,3.912844,3.910622,3.949218", \ "2.719588,3.098133,3.378098,3.632790,3.828069,3.831896,3.877891", \ "2.789741,3.156005,3.402155,3.661922,3.820379,3.825835,3.878328", \ "2.978977,3.267800,3.469905,3.656432,3.805227,3.865401,3.874179", \ "3.199919,3.544912,3.704316,3.877336,3.902155,4.045450,4.121319", \ "3.544125,3.870373,4.047917,4.246209,4.333070,4.307132,4.376393"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & SE & !SI & SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.904788,5.098457,5.209947,5.291070,5.372574,5.393575,5.461147", \ "4.874492,5.081079,5.187709,5.261021,5.369658,5.390760,5.461595", \ "4.855090,5.042472,5.149448,5.235189,5.318652,5.409478,5.409973", \ "4.865607,5.049570,5.153893,5.213210,5.334306,5.362000,5.436727", \ "4.985440,5.122387,5.207883,5.293509,5.381541,5.404935,5.485021", \ "5.173072,5.273026,5.343795,5.385320,5.479690,5.500022,5.581975", \ "5.442868,5.507268,5.544681,5.579970,5.642537,5.656937,5.734744"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & SE & SI & !SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.082378,5.413983,5.610658,5.863098,6.112652,6.342796,6.626407", \ "5.027303,5.318690,5.538789,5.802493,6.048592,6.274468,6.554120", \ "4.943235,5.230251,5.433120,5.701368,5.956766,6.183644,6.462244", \ "4.983930,5.284715,5.470246,5.699529,5.974449,6.220618,6.520100", \ "5.147206,5.412100,5.627168,5.891067,6.167111,6.421072,6.730307", \ "5.428588,5.603387,5.794545,6.063524,6.417022,6.770599,7.074108", \ "5.980597,6.083841,6.191521,6.443488,6.762940,7.126949,7.577333"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("3.378089,3.769303,4.041911,4.348524,4.553195,4.630326,4.583168", \ "3.322219,3.740951,4.009647,4.317517,4.501134,4.493852,4.531424", \ "3.289755,3.670949,3.942170,4.232178,4.395446,4.394083,4.444058", \ "3.382177,3.748002,3.980802,4.200198,4.398979,4.399005,4.452686", \ "3.628933,3.926671,4.136945,4.338404,4.482339,4.557090,4.507179", \ "3.973385,4.324661,4.509846,4.666860,4.726798,4.817129,4.910631", \ "4.497940,4.840040,5.042450,5.234251,5.330406,5.287088,5.344286"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & SE & SI & SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.412879,5.619332,5.726476,5.801430,5.890479,5.908393,5.969285", \ "5.377388,5.583674,5.687259,5.736861,5.801006,5.887672,5.949261", \ "5.331772,5.532315,5.637310,5.721216,5.804778,5.825026,5.894252", \ "5.369306,5.554844,5.652131,5.735708,5.767620,5.880571,5.930207", \ "5.532694,5.672044,5.748621,5.840716,5.925085,5.948522,6.024602", \ "5.794787,5.904641,5.958802,6.005044,6.098718,6.118067,6.194213", \ "6.169674,6.232092,6.270482,6.276852,6.366517,6.380752,6.454500"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D & !SE & !SI & !SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.082356,5.413972,5.610646,5.863130,6.118679,6.342782,6.626449", \ "5.027285,5.318673,5.538778,5.802491,6.048592,6.274473,6.554113", \ "4.943220,5.230230,5.433106,5.701359,5.956766,6.183638,6.462221", \ "4.983906,5.284704,5.470235,5.733295,5.974429,6.220596,6.520085", \ "5.147191,5.412089,5.627156,5.891052,6.167096,6.421054,6.730303", \ "5.428574,5.603374,5.794538,6.063516,6.417014,6.770505,7.074091", \ "5.980584,6.083835,6.191508,6.443484,6.762934,7.126932,7.577320"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("3.378083,3.769288,4.041892,4.348505,4.553190,4.630323,4.583142", \ "3.322208,3.740940,4.009631,4.317500,4.501124,4.493821,4.531394", \ "3.289744,3.670932,3.942151,4.232160,4.395431,4.394047,4.444020", \ "3.382165,3.747990,3.980788,4.200176,4.398975,4.398980,4.452661", \ "3.628924,3.926657,4.136934,4.338385,4.482329,4.557081,4.507141", \ "3.973381,4.324652,4.509835,4.666840,4.726770,4.817074,4.910624", \ "4.497934,4.840031,5.042442,5.234235,5.330396,5.287071,5.344276"); } } internal_power () { related_pin : "RN"; when : "!CK & D & !SE & !SI & SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.414051,5.620511,5.722838,5.806546,5.892085,5.909556,5.973529", \ "5.378548,5.584845,5.688499,5.738042,5.802148,5.888815,5.950432", \ "5.332891,5.533447,5.638451,5.722353,5.805901,5.826194,5.895360", \ "5.370529,5.555917,5.647649,5.736803,5.768677,5.881725,5.931296", \ "5.533645,5.673005,5.749591,5.841744,5.926127,5.950212,6.025676", \ "5.795598,5.905471,5.952552,6.005907,6.099686,6.119035,6.195184", \ "6.170319,6.232741,6.271172,6.277597,6.367349,6.381611,6.455391"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D & !SE & SI & !SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.082363,5.413977,5.610651,5.863134,6.118696,6.342785,6.626452", \ "5.027292,5.318679,5.538783,5.802495,6.048594,6.274474,6.554115", \ "4.943228,5.230236,5.433111,5.701363,5.956768,6.183641,6.462226", \ "4.983915,5.284710,5.470240,5.699515,5.974435,6.220602,6.520090", \ "5.147199,5.412095,5.627162,5.891058,6.167101,6.421058,6.730304", \ "5.428581,5.603381,5.794543,6.063521,6.417018,6.770507,7.074095", \ "5.980590,6.083840,6.191514,6.443486,6.762937,7.126936,7.577323"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("3.378087,3.769292,4.041897,4.348510,4.553192,4.630325,4.583149", \ "3.322213,3.740943,4.009635,4.317504,4.501127,4.493829,4.531401", \ "3.289749,3.670936,3.942156,4.232164,4.395435,4.394056,4.444029", \ "3.382170,3.747993,3.980791,4.200181,4.398977,4.398987,4.452668", \ "3.628928,3.926661,4.136937,4.338390,4.482332,4.557085,4.507150", \ "3.973373,4.324655,4.509838,4.666845,4.726777,4.817119,4.910627", \ "4.497936,4.840035,5.042435,5.234239,5.330399,5.287076,5.344280"); } } internal_power () { related_pin : "RN"; when : "!CK & D & !SE & SI & SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.414058,5.620515,5.722999,5.805643,5.891722,5.909556,5.973806", \ "5.378555,5.584851,5.688503,5.738046,5.802144,5.888823,5.950429", \ "5.332899,5.533456,5.638454,5.722360,5.805910,5.826196,5.895365", \ "5.370536,5.555924,5.647653,5.736795,5.768685,5.881725,5.931300", \ "5.533067,5.673011,5.749597,5.841743,5.926129,5.950220,6.025676", \ "5.795603,5.905476,5.952558,6.005913,6.099682,6.119036,6.195188", \ "6.170307,6.233023,6.277209,6.277598,6.367344,6.381612,6.455397"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D & SE & !SI & !SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.105987,4.430649,4.625957,4.883238,5.126914,5.355264,5.634688", \ "4.098694,4.370387,4.590346,4.843954,5.084687,5.321630,5.610374", \ "4.027465,4.301239,4.512873,4.771331,5.028363,5.262497,5.552158", \ "4.052764,4.304591,4.517915,4.761745,5.024951,5.264384,5.568522", \ "4.100258,4.377987,4.595252,4.838236,5.115564,5.377069,5.682636", \ "4.273828,4.426474,4.602770,4.865838,5.207373,5.568702,5.873065", \ "4.629190,4.688165,4.808548,5.025074,5.346177,5.710688,6.156937"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("2.778705,3.164026,3.442604,3.786295,3.949414,3.947014,3.988093", \ "2.736420,3.140986,3.426095,3.697457,3.912839,3.910600,3.949197", \ "2.719586,3.098130,3.378096,3.632776,3.828060,3.831870,3.877865", \ "2.789740,3.156005,3.402155,3.661921,3.820379,3.825819,3.878314", \ "2.978977,3.267798,3.469904,3.656419,3.805214,3.865386,3.874153", \ "3.199914,3.544913,3.704312,3.877326,3.902128,4.045443,4.121312", \ "3.544126,3.870367,4.047914,4.246202,4.333066,4.307120,4.376387"); } } internal_power () { related_pin : "RN"; when : "!CK & D & SE & !SI & SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.903663,5.097304,5.208766,5.289854,5.371449,5.392155,5.454632", \ "4.873371,5.079928,5.186518,5.259787,5.368356,5.389339,5.460038", \ "4.853976,5.041329,5.148296,5.233980,5.317350,5.408068,5.408401", \ "4.864514,5.048451,5.152743,5.212016,5.333037,5.360630,5.435193", \ "4.984398,5.121317,5.206781,5.292367,5.380313,5.403586,5.483533", \ "5.172114,5.272040,5.342778,5.384256,5.478542,5.498750,5.582409", \ "5.442035,5.506403,5.543789,5.579002,5.641501,5.655763,5.733394"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D & SE & SI & !SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.082383,5.413987,5.610662,5.863100,6.112599,6.342800,6.626408", \ "5.027308,5.318695,5.538793,5.802496,6.048593,6.274469,6.554122", \ "4.943240,5.230256,5.433125,5.701371,5.956768,6.183647,6.462250", \ "4.983937,5.284719,5.470252,5.699536,5.974455,6.220625,6.520104", \ "5.147211,5.412104,5.627173,5.891073,6.167116,6.421077,6.730309", \ "5.428593,5.603392,5.794548,6.063528,6.417026,6.770601,7.074113", \ "5.980603,6.083848,6.191526,6.443489,6.762942,7.126954,7.577336"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("3.378092,3.769306,4.041915,4.348529,4.553197,4.630327,4.583176", \ "3.322223,3.740954,4.009651,4.317521,4.501137,4.493861,4.531433", \ "3.289759,3.670953,3.942174,4.232183,4.395450,4.394093,4.444068", \ "3.382180,3.748005,3.980806,4.200204,4.398980,4.399012,4.452693", \ "3.628935,3.926675,4.136947,4.338409,4.482343,4.557093,4.507189", \ "3.973389,4.324663,4.509848,4.666865,4.726806,4.817133,4.885640", \ "4.497942,4.840043,5.042453,5.234255,5.330408,5.287093,5.344289"); } } internal_power () { related_pin : "RN"; when : "!CK & D & SE & SI & SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.414060,5.620523,5.721807,5.808063,5.891723,5.909597,5.974619", \ "5.378560,5.584858,5.688461,5.738064,5.802228,5.888882,5.950474", \ "5.332911,5.533469,5.638475,5.722387,5.805954,5.826229,5.895448", \ "5.370555,5.555945,5.653250,5.736832,5.768756,5.881742,5.931379", \ "5.533093,5.673041,5.745825,5.841761,5.926156,5.950289,6.025739", \ "5.795633,5.905508,5.959686,6.005955,6.099689,6.119084,6.195285", \ "6.170357,6.232793,6.277263,6.277626,6.367354,6.381662,6.455495"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D & !SE & !SI & !SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.865599,5.184008,5.359490,5.582741,5.756197,5.846553,5.871006", \ "4.804900,5.091142,5.288917,5.512593,5.688737,5.778486,5.805785", \ "4.719613,4.994812,5.194154,5.422044,5.599122,5.695983,5.720137", \ "4.784768,5.059518,5.222173,5.443822,5.625324,5.736107,5.774912", \ "4.946752,5.180433,5.377343,5.596000,5.804746,5.923207,5.979007", \ "5.214425,5.367387,5.535177,5.766775,6.035550,6.271978,6.323442", \ "5.746686,5.832805,5.933342,6.107365,6.395769,6.652535,6.841891"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("2.941102,3.142674,3.219380,3.299633,3.425743,3.410862,3.432591", \ "2.897120,3.092364,3.189622,3.269224,3.374328,3.430235,3.384604", \ "2.846692,3.033198,3.109258,3.179540,3.267650,3.259835,3.290175", \ "2.894426,3.043287,3.117185,3.139065,3.132632,3.265358,3.305917", \ "3.107053,3.253280,3.271943,3.283852,3.358325,3.318465,3.362554", \ "3.484364,3.660787,3.674088,3.642557,3.576997,3.676232,3.737126", \ "4.011385,4.221523,4.269876,4.255628,4.151442,4.167739,4.207142"); } } internal_power () { related_pin : "RN"; when : "CK & !D & !SE & !SI & SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.262947,6.459269,6.556510,6.629166,6.706288,6.772723,6.804787", \ "6.224544,6.418723,6.503214,6.596737,6.613571,6.681730,6.739134", \ "6.182802,6.379110,6.464152,6.532755,6.619959,6.691020,6.684628", \ "6.221779,6.394480,6.472057,6.563635,6.638751,6.643040,6.738274", \ "6.379129,6.511675,6.578145,6.660540,6.735273,6.739501,6.808182", \ "6.648400,6.742196,6.775098,6.833461,6.879657,6.950035,7.013937", \ "7.015479,7.082627,7.116062,7.150722,7.186091,7.249318,7.259023"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D & !SE & SI & !SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.865602,5.184013,5.359490,5.582742,5.756194,5.846543,5.870984", \ "4.804904,5.091143,5.288917,5.512594,5.688737,5.778481,5.805782", \ "4.719617,4.994813,5.194154,5.422046,5.599121,5.695980,5.720124", \ "4.784772,5.059523,5.222173,5.443822,5.625320,5.736098,5.774906", \ "4.946759,5.180438,5.377346,5.595995,5.804739,5.923192,5.979005", \ "5.214427,5.367387,5.535180,5.766776,6.035542,6.271976,6.323433", \ "5.746688,5.832809,5.933343,6.107358,6.395768,6.652535,6.841884"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("2.941104,3.142675,3.219378,3.299629,3.425744,3.410850,3.432579", \ "2.897120,3.092362,3.189621,3.269220,3.374326,3.430236,3.384589", \ "2.846693,3.033197,3.109256,3.179536,3.267645,3.259817,3.290157", \ "2.894426,3.043284,3.117184,3.139057,3.132612,3.265347,3.305905", \ "3.107051,3.253280,3.271944,3.283847,3.358325,3.318448,3.362535", \ "3.484365,3.660787,3.674085,3.642553,3.576982,3.676227,3.738295", \ "4.011386,4.221524,4.269875,4.255627,4.151433,4.167735,4.207142"); } } internal_power () { related_pin : "RN"; when : "CK & !D & !SE & SI & SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.262129,6.458470,6.555669,6.598273,6.704986,6.771483,6.764639", \ "6.223391,6.417934,6.502385,6.595900,6.612726,6.680896,6.738339", \ "6.182020,6.378322,6.463350,6.531941,6.619138,6.690273,6.683834", \ "6.221044,6.393765,6.471301,6.562867,6.637969,6.642243,6.737554", \ "6.378491,6.511014,6.577475,6.659858,6.734560,6.738757,6.806762", \ "6.647881,6.741659,6.774551,6.833103,6.879042,6.949381,7.013253", \ "7.015105,7.082234,7.115673,7.150291,7.185602,7.248773,7.258290"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D & SE & !SI & !SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.865574,5.183979,5.359467,5.582720,5.756185,5.846558,5.871030", \ "4.804874,5.091117,5.288894,5.512571,5.688723,5.778486,5.805788", \ "4.719589,4.994790,5.194132,5.422022,5.599109,5.695979,5.720151", \ "4.784747,5.059493,5.222153,5.443802,5.625317,5.736111,5.774918", \ "4.946732,5.180413,5.377324,5.595990,5.804742,5.923220,5.979008", \ "5.214411,5.367374,5.535161,5.766763,6.035550,6.271975,6.323452", \ "5.746673,5.832792,5.933330,6.107365,6.395762,6.652530,6.841898"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("2.941099,3.142668,3.219376,3.299631,3.425735,3.410870,3.432601", \ "2.897119,3.092361,3.189617,3.269220,3.374323,3.430236,3.384618", \ "2.846691,3.033194,3.109254,3.179538,3.267649,3.259851,3.290193", \ "2.894424,3.043285,3.117179,3.139065,3.132648,3.265365,3.305926", \ "3.107054,3.253276,3.271936,3.283850,3.358319,3.318480,3.362571", \ "3.484360,3.660782,3.674085,3.642554,3.577007,3.676231,3.737123", \ "4.011380,4.221517,4.269871,4.255622,4.151445,4.167738,4.207138"); } } internal_power () { related_pin : "RN"; when : "CK & !D & SE & !SI & SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.262618,6.458631,6.556123,6.598789,6.705819,6.703202,6.761602", \ "6.224279,6.418088,6.502845,6.596324,6.613151,6.681269,6.738714", \ "6.181688,6.373659,6.463807,6.532390,6.619548,6.689791,6.684242", \ "6.221508,6.393938,6.471740,6.563259,6.638375,6.642691,6.737386", \ "6.378928,6.511432,6.577910,6.660178,6.734738,6.739177,6.821375", \ "6.648286,6.743156,6.774941,6.831876,6.879378,6.949726,7.013592", \ "7.015440,7.082568,7.115740,7.150517,7.185883,7.249044,7.260554"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D & SE & SI & !SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.865677,5.184086,5.359546,5.582829,5.756281,5.846572,5.871187", \ "4.804944,5.091222,5.289006,5.512717,5.688841,5.778650,5.805902", \ "4.719718,4.994898,5.194266,5.422059,5.599212,5.696051,5.720277", \ "4.784854,5.059605,5.222269,5.443912,5.625447,5.734944,5.775044", \ "4.946851,5.180540,5.377445,5.596114,5.804868,5.923366,5.979141", \ "5.214524,5.367512,5.535282,5.766881,6.035681,6.272088,6.323588", \ "5.746798,5.832922,5.933463,6.107510,6.395892,6.652683,6.842050"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("2.940887,3.142591,3.219300,3.299566,3.425938,3.409965,3.432092", \ "2.897074,3.092297,3.189541,3.269129,3.374196,3.436051,3.384481", \ "2.846641,3.033122,3.109180,3.179443,3.267522,3.259726,3.290063", \ "2.894371,3.043222,3.117104,3.138986,3.132556,3.265230,3.305785", \ "3.107003,3.253204,3.271852,3.283763,3.358172,3.318363,3.362451", \ "3.484304,3.660713,3.674018,3.642464,3.576909,3.676324,3.738146", \ "4.011326,4.221449,4.269806,4.255530,4.151345,4.167608,4.206994"); } } internal_power () { related_pin : "RN"; when : "CK & !D & SE & SI & SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.260173,6.457307,6.557749,6.596180,6.702408,6.766999,6.760898", \ "6.223247,6.419497,6.500824,6.593871,6.610090,6.708168,6.733073", \ "6.180875,6.376934,6.461785,6.537638,6.616494,6.685448,6.678649", \ "6.220403,6.391816,6.469558,6.560677,6.635224,6.638605,6.735313", \ "6.379259,6.509330,6.575622,6.657904,6.731417,6.734374,6.802267", \ "6.646363,6.739625,6.797431,6.834367,6.910411,6.944931,7.006028", \ "7.026494,7.080128,7.114648,7.148717,7.182204,7.244574,7.233478"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D & !SE & !SI & !SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.865656,5.184075,5.359532,5.582821,5.756272,5.846554,5.871150", \ "4.804925,5.091205,5.288992,5.512710,5.688838,5.778641,5.805897", \ "4.719698,4.994880,5.194251,5.422053,5.599207,5.696047,5.720256", \ "4.784833,5.059593,5.222254,5.443904,5.625435,5.734925,5.775033", \ "4.946838,5.180528,5.377434,5.596096,5.804852,5.923339,5.979137", \ "5.214508,5.367497,5.535274,5.766871,6.035661,6.272083,6.323572", \ "5.746786,5.832914,5.933454,6.107488,6.395885,6.652681,6.842038"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("2.940881,3.142585,3.219290,3.299552,3.425933,3.409940,3.432067", \ "2.897065,3.092287,3.189533,3.269117,3.374187,3.436041,3.384451", \ "2.846632,3.033112,3.109169,3.179429,3.267508,3.259691,3.290028", \ "2.894364,3.043210,3.117096,3.138967,3.132517,3.265207,3.305760", \ "3.106993,3.253197,3.271846,3.283749,3.358165,3.318328,3.362415", \ "3.484299,3.660706,3.674007,3.642451,3.576878,3.676312,3.736967", \ "4.011322,4.221444,4.269797,4.255522,4.151324,4.167596,4.206989"); } } internal_power () { related_pin : "RN"; when : "CK & D & !SE & !SI & SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.261120,6.458270,6.558855,6.597301,6.711993,6.768779,6.757246", \ "6.224181,6.420449,6.501809,6.594879,6.611101,6.709325,6.734135", \ "6.181779,6.377863,6.462722,6.538596,6.617464,6.686511,6.679658", \ "6.221257,6.390934,6.470469,6.561655,6.636154,6.639609,6.736308", \ "6.377792,6.510108,6.576411,6.658765,6.732313,6.735268,6.803243", \ "6.646993,6.740426,6.798107,6.835063,6.911231,6.945790,7.006908", \ "7.026963,7.080604,7.115171,7.149319,7.182895,7.245329,7.234273"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D & !SE & SI & !SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.865664,5.184080,5.359536,5.582824,5.756275,5.846557,5.871157", \ "4.804933,5.091211,5.288997,5.512712,5.688839,5.778643,5.805898", \ "4.719706,4.994886,5.194256,5.422055,5.599209,5.696048,5.720260", \ "4.784842,5.059598,5.222259,5.443907,5.625438,5.734930,5.775035", \ "4.946845,5.180534,5.377439,5.596101,5.804856,5.923345,5.979138", \ "5.214515,5.367503,5.535278,5.766875,6.035666,6.272084,6.323575", \ "5.746792,5.832918,5.933458,6.107494,6.395887,6.652683,6.842040"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("2.940883,3.142587,3.219293,3.299555,3.425935,3.409946,3.432073", \ "2.897069,3.092290,3.189535,3.269120,3.374190,3.436045,3.384458", \ "2.846635,3.033116,3.109173,3.179433,3.267512,3.259700,3.290036", \ "2.894367,3.043213,3.117099,3.138972,3.132526,3.265213,3.305767", \ "3.106997,3.253200,3.271849,3.283752,3.358168,3.318336,3.362424", \ "3.484301,3.660708,3.674010,3.642455,3.576885,3.676316,3.736970", \ "4.011324,4.221446,4.269800,4.255525,4.151330,4.167600,4.206992"); } } internal_power () { related_pin : "RN"; when : "CK & D & !SE & SI & SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.261129,6.458278,6.558817,6.597289,6.711162,6.768989,6.757241", \ "6.224190,6.420457,6.501807,6.594877,6.611101,6.709255,6.734127", \ "6.181786,6.377869,6.462730,6.538603,6.617471,6.686499,6.679655", \ "6.221264,6.390942,6.470474,6.561658,6.636155,6.639603,6.736309", \ "6.380005,6.510120,6.576428,6.658771,6.732310,6.735274,6.803239", \ "6.646804,6.740438,6.798119,6.835071,6.911224,6.945799,7.006914", \ "7.027058,7.080614,7.115176,7.122534,7.182887,7.245340,7.234288"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D & SE & !SI & !SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.865573,5.183982,5.359465,5.582719,5.756181,5.846545,5.871001", \ "4.804875,5.091116,5.288892,5.512571,5.688722,5.778479,5.805783", \ "4.719589,4.994787,5.194130,5.422023,5.599108,5.695976,5.720134", \ "4.784747,5.059496,5.222151,5.443802,5.625310,5.736100,5.774910", \ "4.946736,5.180415,5.377325,5.595982,5.804732,5.923199,5.979006", \ "5.214410,5.367372,5.535164,5.766762,6.035538,6.271972,6.323439", \ "5.746673,5.832793,5.933330,6.107353,6.395760,6.652530,6.841888"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("2.941099,3.142668,3.219373,3.299624,3.425735,3.410854,3.432585", \ "2.897117,3.092358,3.189615,3.269215,3.374319,3.430232,3.384597", \ "2.846690,3.033192,3.109251,3.179531,3.267641,3.259826,3.290168", \ "2.894423,3.043281,3.117178,3.139055,3.132620,3.265350,3.305910", \ "3.107050,3.253275,3.271936,3.283842,3.358317,3.318456,3.362545", \ "3.484360,3.660781,3.674080,3.642547,3.576986,3.676457,3.737120", \ "4.011381,4.221517,4.269869,4.255619,4.151432,4.167732,4.207137"); } } internal_power () { related_pin : "RN"; when : "CK & D & SE & !SI & SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.261690,6.457679,6.555129,6.597512,6.704578,6.770943,6.760151", \ "6.223353,6.417139,6.501849,6.595270,6.612010,6.679994,6.737250", \ "6.180768,6.372716,6.462821,6.531351,6.618418,6.688580,6.682785", \ "6.220600,6.393003,6.470764,6.562224,6.636904,6.641436,6.735930", \ "6.378079,6.510539,6.576985,6.659196,6.733668,6.737963,6.818523", \ "6.647516,6.741240,6.774105,6.831035,6.878389,6.948580,7.012257", \ "7.014802,7.081902,7.115047,7.149754,7.185019,7.248014,7.259265"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D & SE & SI & !SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.865683,5.184089,5.359550,5.582831,5.756283,5.846576,5.871196", \ "4.804950,5.091227,5.289010,5.512719,5.688842,5.778653,5.805903", \ "4.719724,4.994903,5.194270,5.422061,5.599213,5.696052,5.720283", \ "4.784860,5.059608,5.222273,5.443914,5.625451,5.734949,5.775047", \ "4.946856,5.180544,5.377449,5.596119,5.804873,5.923374,5.979142", \ "5.214529,5.367517,5.535285,5.766884,6.035687,6.272089,6.323592", \ "5.746802,5.832925,5.933467,6.107517,6.395894,6.652684,6.842053"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("2.940889,3.142592,3.219303,3.299570,3.425939,3.409972,3.432099", \ "2.897077,3.092300,3.189543,3.269132,3.374199,3.436054,3.384489", \ "2.846644,3.033125,3.109183,3.179447,3.267526,3.259736,3.290073", \ "2.894373,3.043225,3.117106,3.138991,3.132567,3.265237,3.305792", \ "3.107007,3.253206,3.271854,3.283767,3.358174,3.318372,3.362461", \ "3.484306,3.660715,3.674021,3.642468,3.576918,3.676328,3.738149", \ "4.011327,4.221451,4.269808,4.255532,4.151350,4.167612,4.206996"); } } internal_power () { related_pin : "RN"; when : "CK & D & SE & SI & SN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.261126,6.458279,6.558829,6.597267,6.710459,6.770844,6.761978", \ "6.224191,6.420461,6.501816,6.594873,6.611123,6.709229,6.734175", \ "6.181796,6.377875,6.462746,6.538607,6.617499,6.686512,6.679735", \ "6.221278,6.390957,6.470483,6.561631,6.636018,6.639633,6.736376", \ "6.380038,6.510146,6.576455,6.658770,6.732336,6.735335,6.803295", \ "6.646826,6.740316,6.798134,6.835105,6.911212,6.945816,7.007006", \ "7.026995,7.080651,7.115196,7.122545,7.182884,7.245351,7.234378"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & RN & !SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.493831,4.708042,4.878457,5.056899,5.178482,5.237173,5.247262", \ "4.478155,4.699496,4.866666,5.071844,5.169801,5.229146,5.239093", \ "4.448870,4.676343,4.828760,5.034962,5.131204,5.191140,5.208688", \ "4.458854,4.658442,4.813916,5.017420,5.094479,5.158244,5.178567", \ "4.552933,4.725869,4.877675,5.070742,5.137818,5.203633,5.227102", \ "4.708964,4.867821,4.979707,5.143894,5.198903,5.268234,5.295709", \ "4.870355,5.015823,5.142164,5.312951,5.394892,5.405976,5.435100"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & RN & !SE & SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.493676,4.707872,4.878273,5.056820,5.178239,5.237063,5.246957", \ "4.477995,4.699327,4.866484,5.071639,5.169570,5.228875,5.238781", \ "4.448715,4.676175,4.828581,5.034766,5.130969,5.190864,5.208392", \ "4.458703,4.658278,4.813740,5.017229,5.094250,5.157972,5.178274", \ "4.552793,4.725717,4.877514,5.070561,5.137611,5.203385,5.226806", \ "4.708850,4.867693,4.979571,5.143744,5.198708,5.267994,5.295443", \ "4.870276,5.015734,5.142069,5.312833,5.394740,5.405769,5.434859"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & RN & SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.494216,4.708457,4.878900,5.057385,5.178645,5.237897,5.247822", \ "4.478534,4.699908,4.867104,5.072326,5.170325,5.229701,5.239604", \ "4.449243,4.676744,4.829186,5.035424,5.131724,5.191695,5.209165", \ "4.459207,4.658821,4.814318,5.017854,5.094974,5.158772,5.179022", \ "4.553241,4.726200,4.878026,5.071131,5.138245,5.204096,5.227536", \ "4.709189,4.868072,4.979975,5.144191,5.199271,5.268647,5.296064", \ "4.870473,5.015961,5.142315,5.313146,5.395144,5.406290,5.435378"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & RN & SE & SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.445684,4.659972,4.830431,5.008827,5.129206,5.187528,5.199178", \ "4.432244,4.653096,4.819759,5.024601,5.122285,5.181125,5.196048", \ "4.404670,4.630092,4.782576,4.988343,5.084051,5.144097,5.161437", \ "4.412855,4.612614,4.766698,4.970166,5.047013,5.110402,5.127732", \ "4.507819,4.682126,4.834965,5.024443,5.090570,5.155639,5.180014", \ "4.666646,4.810655,4.934607,5.079264,5.155082,5.223087,5.252359", \ "4.826303,4.972525,5.101964,5.273366,5.354141,5.383745,5.392217"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D & RN & !SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.445851,4.660131,4.830585,5.008599,5.129435,5.188840,5.199476", \ "4.427792,4.653253,4.819928,5.024791,5.122535,5.181402,5.196318", \ "4.404810,4.630246,4.782749,4.988562,5.084266,5.144338,5.161736", \ "4.412989,4.612758,4.766855,4.970366,5.047211,5.110628,5.128018", \ "4.507939,4.682257,4.835115,5.024610,5.090786,5.155884,5.180260", \ "4.666745,4.810748,4.934727,5.079419,5.155233,5.223267,5.252615", \ "4.826353,4.972590,5.102053,5.273472,5.354275,5.383912,5.392455"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D & RN & !SE & SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.446413,4.660763,4.831254,5.009781,5.130266,5.188752,5.204802", \ "4.432970,4.653883,4.820604,5.025539,5.123425,5.182402,5.197406", \ "4.405382,4.630866,4.783421,4.989324,5.085116,5.145307,5.162821", \ "4.413544,4.613354,4.767490,4.971092,5.048028,5.111565,5.129078", \ "4.508446,4.682801,4.835707,5.025271,5.091565,5.156785,5.181269", \ "4.667160,4.811191,4.935218,5.079991,5.155895,5.224071,5.253569", \ "4.826615,4.972893,5.102405,5.273894,5.354814,5.384602,5.393326"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D & RN & SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.493688,4.707888,4.878295,5.056807,5.178265,5.236903,5.246851", \ "4.478009,4.699342,4.866500,5.071660,5.169575,5.228862,5.238686", \ "4.448730,4.676190,4.828594,5.034771,5.130987,5.190861,5.208274", \ "4.458716,4.658296,4.813756,5.017234,5.094264,5.157964,5.178148", \ "4.552801,4.725726,4.877519,5.070565,5.137599,5.203346,5.226680", \ "4.708845,4.867692,4.979563,5.143724,5.198700,5.267953,5.295278", \ "4.870259,5.015713,5.142038,5.312800,5.394698,5.405699,5.434667"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D & RN & SE & SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.446291,4.660630,4.831119,5.009615,5.130084,5.189523,5.200331", \ "4.432850,4.653750,4.820457,5.025374,5.123185,5.182141,5.197142", \ "4.405262,4.630733,4.783266,4.989124,5.084916,5.145081,5.162525", \ "4.413423,4.613225,4.767347,4.970905,5.047840,5.111352,5.128794", \ "4.508331,4.682679,4.835564,5.025109,5.091352,5.156539,5.181026", \ "4.667056,4.811094,4.935095,5.079832,5.155747,5.223891,5.253317", \ "4.826554,4.972818,5.102304,5.273780,5.354668,5.384443,5.393086"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D & RN & !SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.430870,4.595245,4.685479,4.801567,5.091198,6.389664,6.703556", \ "4.414057,4.574681,4.672251,4.815296,5.083829,6.381959,6.694891", \ "4.385890,4.550107,4.633815,4.776935,5.040259,6.339978,6.658590", \ "4.396257,4.536738,4.625080,4.770981,5.007474,6.309881,6.625417", \ "4.502230,4.612878,4.693930,4.775942,5.077667,6.376312,6.677835", \ "4.664031,4.761211,4.818458,4.883997,5.142757,6.445460,6.752611", \ "4.830455,4.914759,4.962064,5.067513,5.317061,6.551403,6.893035"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D & RN & !SE & SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.430718,4.595083,4.685296,4.801324,5.090961,6.389507,6.704181", \ "4.413904,4.574514,4.672071,4.815200,5.083603,6.381690,6.694582", \ "4.385738,4.549942,4.633638,4.776742,5.040028,6.339704,6.658299", \ "4.396108,4.536576,4.624907,4.770794,5.007248,6.309612,6.625128", \ "4.502091,4.612728,4.693771,4.825040,5.077281,6.375757,6.677573", \ "4.663917,4.761085,4.818326,4.883852,5.142565,6.445222,6.752347", \ "4.830378,4.914672,4.961970,5.067398,5.316911,6.551117,6.892797"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D & RN & SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.431243,4.595650,4.685935,4.802018,5.091701,6.390150,6.703358", \ "4.414429,4.575079,4.672671,4.815752,5.084330,6.382491,6.695389", \ "4.386253,4.550501,4.634222,4.777372,5.040755,6.340511,6.659053", \ "4.396600,4.537084,4.625465,4.771399,5.007945,6.310391,6.625859", \ "4.502531,4.613201,4.694268,4.825589,5.077894,6.376450,6.678287", \ "4.664252,4.761458,4.818716,4.884284,5.143116,6.445859,6.753609", \ "4.830575,4.914897,4.962214,5.067702,5.317305,6.551628,6.893303"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D & RN & SE & SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.431152,4.596040,4.688218,4.802191,5.091883,6.391241,6.706546", \ "4.412027,4.575053,4.672710,4.815912,5.084523,6.383596,6.698394", \ "4.386158,4.550558,4.634607,4.777602,5.041031,6.341648,6.662088", \ "4.396684,4.537241,4.625776,4.771787,5.008520,6.311700,6.628988", \ "4.502770,4.613490,4.694688,4.826112,5.078624,6.378001,6.681529", \ "4.664699,4.761919,4.819569,4.885079,5.144131,6.447691,6.756541", \ "4.831265,4.915640,4.963067,5.068718,5.318692,6.553881,6.896840"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D & RN & !SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.431293,4.596232,4.688575,4.802363,5.092044,6.391526,6.705507", \ "4.414495,4.575204,4.672871,4.816196,5.084728,6.383807,6.698670", \ "4.386291,4.550699,4.634772,4.777809,5.041215,6.341854,6.662393", \ "4.396813,4.537380,4.625934,4.771968,5.008690,6.311959,6.629264", \ "4.502874,4.613609,4.694828,4.826270,5.078813,6.378216,6.681764", \ "4.664794,4.762012,4.819672,4.885231,5.144259,6.447854,6.756791", \ "4.831314,4.915702,4.963153,5.068820,5.318808,6.553941,6.897071"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D & RN & !SE & SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.431864,4.596854,4.689214,4.803130,5.092903,6.392412,6.706712", \ "4.415060,4.575818,4.673535,4.816929,5.085599,6.384821,6.699765", \ "4.386856,4.551305,4.635432,4.778559,5.042049,6.342807,6.663485", \ "4.397353,4.537965,4.626562,4.772685,5.009491,6.312882,6.630332", \ "4.503373,4.614146,4.695412,4.826916,5.079578,6.379104,6.682785", \ "4.665203,4.762449,4.820157,4.885791,5.144920,6.448650,6.757735", \ "4.831576,4.916005,4.963501,5.069237,5.319340,6.554710,6.897931"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D & RN & SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.430730,4.595101,4.685345,4.801408,5.090971,6.389482,6.702602", \ "4.412163,4.574529,4.672087,4.815111,5.083604,6.381699,6.694483", \ "4.385752,4.549957,4.633654,4.776744,5.040042,6.339695,6.658178", \ "4.396121,4.536590,4.624920,4.770786,5.007259,6.309599,6.625000", \ "4.502100,4.612736,4.693774,4.825042,5.077266,6.375713,6.677443", \ "4.663912,4.761083,4.818314,4.883828,5.142553,6.445177,6.752179", \ "4.830360,4.914650,4.961938,5.067363,5.316866,6.551043,6.892602"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D & RN & SE & SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.431753,4.596676,4.688804,4.803002,5.092737,6.392208,6.708097", \ "4.414952,4.575696,4.673395,4.816775,5.085405,6.384578,6.699453", \ "4.386740,4.551177,4.635292,4.778368,5.041875,6.342606,6.663142", \ "4.397243,4.537827,4.626429,4.772501,5.009327,6.312626,6.630003", \ "4.503264,4.614028,4.695275,4.826761,5.079388,6.378877,6.682501", \ "4.665104,4.762354,4.820035,4.885637,5.144783,6.448480,6.757899", \ "4.831516,4.915932,4.963403,5.069127,5.319212,6.554471,6.897693"); } fall_power(scalar) {values ("0.0"); } } } pin (QN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 120.756800; function : "IQN"; timing () { related_pin : "CK"; timing_type : rising_edge; timing_sense : non_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.267157,0.281911,0.293347,0.310877,0.337924,0.381567,0.456860", \ "0.272351,0.287094,0.298565,0.316103,0.343148,0.386767,0.462051", \ "0.290324,0.305073,0.316538,0.334047,0.361100,0.404741,0.480017", \ "0.315585,0.330348,0.341784,0.359318,0.386387,0.430013,0.505277", \ "0.340769,0.355509,0.366841,0.384357,0.411440,0.455097,0.530371", \ "0.364163,0.378903,0.390395,0.407718,0.434227,0.478446,0.553432", \ "0.382728,0.397447,0.409687,0.427104,0.453890,0.497702,0.573107"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.268375,0.289143,0.308125,0.342531,0.407806,0.535847,0.790714", \ "0.273645,0.294420,0.313419,0.347820,0.413092,0.541150,0.796016", \ "0.291617,0.312381,0.331362,0.365768,0.431054,0.559110,0.813974", \ "0.315967,0.336730,0.355671,0.390067,0.455345,0.583429,0.838359", \ "0.340031,0.360839,0.379693,0.413870,0.479337,0.607353,0.862298", \ "0.361625,0.382247,0.401326,0.435658,0.500782,0.629273,0.884275", \ "0.379531,0.400274,0.419155,0.453879,0.519329,0.647164,0.901901"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.019921,0.024967,0.029565,0.037715,0.052540,0.080707,0.138245", \ "0.019923,0.024965,0.029571,0.037710,0.052539,0.080704,0.138234", \ "0.019920,0.024969,0.029570,0.037713,0.052538,0.080702,0.138249", \ "0.019923,0.024968,0.029570,0.037726,0.052543,0.080704,0.138224", \ "0.019924,0.024966,0.029568,0.037719,0.052542,0.080719,0.138250", \ "0.019926,0.024965,0.029562,0.037710,0.052540,0.080710,0.138238", \ "0.019923,0.024967,0.029566,0.037717,0.052540,0.080713,0.138190"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.020841,0.033333,0.047088,0.075504,0.134657,0.255657,0.499516", \ "0.020842,0.033332,0.047088,0.075512,0.134657,0.255652,0.499518", \ "0.020848,0.033336,0.047091,0.075507,0.134657,0.255652,0.499518", \ "0.020851,0.033342,0.047094,0.075509,0.134660,0.255654,0.499516", \ "0.020861,0.033350,0.047094,0.075510,0.134660,0.255659,0.499518", \ "0.020868,0.033349,0.047080,0.075523,0.134668,0.255658,0.499517", \ "0.020879,0.033366,0.047119,0.075522,0.134666,0.255661,0.499516"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & !D & !SE & !SI & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.693257,0.717837,0.738615,0.773993,0.839066,0.966710,1.221561", \ "0.697192,0.721687,0.742470,0.777963,0.842978,0.970651,1.225466", \ "0.715676,0.740337,0.761048,0.796477,0.861499,0.989171,1.243997", \ "0.749168,0.773761,0.794509,0.829956,0.895059,1.022726,1.277580", \ "0.796611,0.821158,0.841997,0.877349,0.942259,1.070133,1.325001", \ "0.860489,0.884986,0.905673,0.941421,1.006415,1.134138,1.388991", \ "0.944019,0.968700,0.989572,1.025081,1.089977,1.217626,1.472516"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.029022,0.040587,0.052801,0.078665,0.135525,0.256091,0.500240", \ "0.029018,0.040585,0.052809,0.078670,0.135536,0.256097,0.500209", \ "0.029017,0.040583,0.052796,0.078661,0.135533,0.256111,0.500229", \ "0.029022,0.040587,0.052800,0.078662,0.135536,0.256100,0.500250", \ "0.029011,0.040575,0.052799,0.078655,0.135537,0.256123,0.500289", \ "0.029013,0.040572,0.052800,0.078649,0.135522,0.256157,0.500280", \ "0.029007,0.040565,0.052780,0.078654,0.135520,0.256094,0.500199"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & !D & !SE & SI & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.693256,0.717836,0.738614,0.774043,0.839066,0.966710,1.221575", \ "0.697192,0.721686,0.742470,0.777963,0.842978,0.970651,1.225466", \ "0.715676,0.740336,0.761048,0.796477,0.861499,0.989171,1.243997", \ "0.749167,0.773760,0.794508,0.829955,0.895059,1.022726,1.277580", \ "0.796611,0.821157,0.841968,0.877349,0.942258,1.070132,1.325001", \ "0.860489,0.884986,0.905673,0.941420,1.006415,1.134138,1.389070", \ "0.944010,0.968700,0.989546,1.025081,1.089977,1.217626,1.472515"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.029022,0.040587,0.052801,0.078664,0.135527,0.256091,0.500194", \ "0.029018,0.040585,0.052809,0.078670,0.135536,0.256097,0.500209", \ "0.029017,0.040583,0.052796,0.078661,0.135533,0.256111,0.500229", \ "0.029022,0.040587,0.052800,0.078662,0.135536,0.256100,0.500250", \ "0.029011,0.040575,0.052798,0.078655,0.135537,0.256123,0.500289", \ "0.029013,0.040572,0.052800,0.078649,0.135522,0.256157,0.500289", \ "0.029001,0.040565,0.052783,0.078654,0.135520,0.256094,0.500195"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & !D & SE & !SI & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.693271,0.717852,0.738628,0.774188,0.839103,0.966717,1.221504", \ "0.697206,0.721701,0.742483,0.777975,0.842988,0.970658,1.225470", \ "0.715690,0.740350,0.761061,0.796489,0.861508,0.989178,1.244001", \ "0.749180,0.773773,0.794520,0.829966,0.895068,1.022733,1.277585", \ "0.796622,0.821168,0.841978,0.877358,0.942266,1.070138,1.325005", \ "0.860498,0.884984,0.905682,0.941429,1.006421,1.134143,1.388994", \ "0.944026,0.968717,0.989579,1.025088,1.089982,1.217630,1.472518"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.029022,0.040587,0.052801,0.078660,0.135535,0.256091,0.500254", \ "0.029019,0.040585,0.052810,0.078670,0.135537,0.256097,0.500209", \ "0.029017,0.040583,0.052797,0.078661,0.135533,0.256111,0.500229", \ "0.029022,0.040588,0.052800,0.078663,0.135536,0.256100,0.500250", \ "0.029011,0.040575,0.052798,0.078655,0.135537,0.256123,0.500289", \ "0.029014,0.040576,0.052800,0.078649,0.135522,0.256157,0.500280", \ "0.029007,0.040565,0.052780,0.078654,0.135520,0.256094,0.500195"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & !D & SE & SI & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.692882,0.717418,0.738312,0.773870,0.838844,0.966624,1.221615", \ "0.696813,0.721348,0.742189,0.777725,0.842732,0.970527,1.225531", \ "0.715316,0.739865,0.760821,0.796311,0.861293,0.989073,1.244071", \ "0.748961,0.773499,0.794290,0.829742,0.894834,1.022647,1.277625", \ "0.796367,0.820978,0.841854,0.877222,0.942304,1.070127,1.325099", \ "0.860648,0.885247,0.906098,0.941424,1.006439,1.134239,1.389202", \ "0.944672,0.969282,0.990086,1.025556,1.090443,1.218126,1.473174"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.029004,0.040569,0.052782,0.078651,0.135523,0.256093,0.500223", \ "0.029001,0.040565,0.052787,0.078656,0.135532,0.256098,0.500325", \ "0.029004,0.040573,0.052784,0.078643,0.135522,0.256089,0.500217", \ "0.029007,0.040573,0.052787,0.078651,0.135534,0.256113,0.500237", \ "0.029005,0.040562,0.052791,0.078651,0.135535,0.256138,0.500258", \ "0.029003,0.040565,0.052789,0.078645,0.135513,0.256094,0.500275", \ "0.028994,0.040558,0.052783,0.078654,0.135523,0.256091,0.500203"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & D & !SE & !SI & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.692882,0.717418,0.738251,0.773762,0.838822,0.966624,1.221571", \ "0.696814,0.721348,0.742189,0.777725,0.842732,0.970527,1.225531", \ "0.715317,0.739866,0.760821,0.796311,0.861293,0.989073,1.244071", \ "0.748935,0.773519,0.794319,0.829742,0.894834,1.022647,1.277625", \ "0.796367,0.820978,0.841855,0.877222,0.942304,1.070167,1.325121", \ "0.860648,0.885247,0.906100,0.941424,1.006439,1.134240,1.389202", \ "0.944672,0.969282,0.990086,1.025556,1.090443,1.218126,1.473174"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.029004,0.040569,0.052797,0.078649,0.135525,0.256093,0.500275", \ "0.029001,0.040565,0.052787,0.078656,0.135532,0.256098,0.500325", \ "0.029004,0.040573,0.052784,0.078643,0.135521,0.256089,0.500217", \ "0.029011,0.040573,0.052789,0.078651,0.135534,0.256113,0.500237", \ "0.029005,0.040562,0.052791,0.078651,0.135535,0.256113,0.500264", \ "0.029003,0.040565,0.052789,0.078645,0.135513,0.256094,0.500275", \ "0.028994,0.040558,0.052783,0.078654,0.135523,0.256091,0.500203"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & D & !SE & SI & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.692882,0.717418,0.738250,0.773764,0.838844,0.966624,1.221571", \ "0.696814,0.721348,0.742189,0.777725,0.842732,0.970527,1.225531", \ "0.715317,0.739866,0.760821,0.796311,0.861293,0.989073,1.244071", \ "0.748934,0.773519,0.794319,0.829742,0.894834,1.022647,1.277625", \ "0.796400,0.820978,0.841854,0.877222,0.942304,1.070167,1.325121", \ "0.860648,0.885247,0.906100,0.941424,1.006439,1.134239,1.389202", \ "0.944678,0.969264,0.990116,1.025556,1.090443,1.218126,1.473174"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.029004,0.040569,0.052797,0.078649,0.135523,0.256093,0.500275", \ "0.029001,0.040565,0.052787,0.078656,0.135532,0.256098,0.500325", \ "0.029004,0.040573,0.052784,0.078643,0.135522,0.256089,0.500217", \ "0.029011,0.040573,0.052789,0.078651,0.135534,0.256113,0.500237", \ "0.029004,0.040562,0.052791,0.078651,0.135535,0.256113,0.500264", \ "0.029003,0.040565,0.052789,0.078645,0.135513,0.256094,0.500275", \ "0.028994,0.040560,0.052779,0.078654,0.135523,0.256091,0.500203"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & D & SE & !SI & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.693270,0.717851,0.738627,0.774187,0.839056,0.966716,1.221564", \ "0.697206,0.721700,0.742483,0.777974,0.842988,0.970657,1.225470", \ "0.715690,0.740349,0.761060,0.796488,0.861508,0.989177,1.244001", \ "0.749179,0.773772,0.794520,0.829966,0.895068,1.022733,1.277584", \ "0.796622,0.821167,0.841978,0.877358,0.942266,1.070138,1.325004", \ "0.860497,0.884983,0.905681,0.941428,1.006421,1.134143,1.389066", \ "0.944026,0.968717,0.989578,1.025087,1.089982,1.217630,1.472518"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.029022,0.040587,0.052801,0.078660,0.135537,0.256091,0.500242", \ "0.029019,0.040585,0.052810,0.078670,0.135537,0.256097,0.500209", \ "0.029017,0.040583,0.052797,0.078661,0.135533,0.256111,0.500229", \ "0.029022,0.040588,0.052800,0.078663,0.135536,0.256100,0.500250", \ "0.029011,0.040575,0.052798,0.078655,0.135537,0.256123,0.500289", \ "0.029014,0.040576,0.052800,0.078649,0.135522,0.256157,0.500238", \ "0.029007,0.040565,0.052780,0.078654,0.135520,0.256094,0.500195"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & D & SE & SI & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.692882,0.717418,0.738282,0.773760,0.838816,0.966624,1.221572", \ "0.696813,0.721348,0.742189,0.777725,0.842732,0.970527,1.225531", \ "0.715316,0.739865,0.760821,0.796311,0.861293,0.989073,1.244071", \ "0.748934,0.773519,0.794290,0.829742,0.894834,1.022647,1.277625", \ "0.796400,0.820978,0.841761,0.877222,0.942304,1.070167,1.325121", \ "0.860648,0.885247,0.906098,0.941424,1.006439,1.134239,1.389202", \ "0.944672,0.969282,0.990116,1.025556,1.090443,1.218126,1.473174"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.029004,0.040569,0.052792,0.078649,0.135534,0.256093,0.500263", \ "0.029001,0.040565,0.052787,0.078656,0.135532,0.256098,0.500325", \ "0.029004,0.040573,0.052784,0.078643,0.135522,0.256089,0.500217", \ "0.029011,0.040573,0.052787,0.078651,0.135534,0.256113,0.500237", \ "0.029004,0.040562,0.052795,0.078651,0.135535,0.256113,0.500264", \ "0.029003,0.040565,0.052789,0.078645,0.135513,0.256094,0.500275", \ "0.028994,0.040558,0.052779,0.078654,0.135523,0.256091,0.500203"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & !D & !SE & !SI & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.525529,0.546225,0.565202,0.599399,0.664104,0.792335,1.047890", \ "0.529588,0.550304,0.569283,0.603445,0.668166,0.796388,1.051950", \ "0.547877,0.568578,0.587539,0.621705,0.686405,0.814608,1.070172", \ "0.582574,0.603232,0.622124,0.656275,0.720940,0.849143,1.104672", \ "0.624562,0.645239,0.664218,0.698325,0.762979,0.891239,1.146793", \ "0.671137,0.691740,0.710421,0.744521,0.809381,0.937491,1.193253", \ "0.722262,0.743009,0.761585,0.795614,0.860333,0.988506,1.244061"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.020745,0.033005,0.046510,0.074655,0.133940,0.255673,0.499930", \ "0.020732,0.032988,0.046496,0.074634,0.133941,0.255611,0.499928", \ "0.020719,0.032978,0.046483,0.074627,0.133935,0.255654,0.499935", \ "0.020711,0.032972,0.046477,0.074624,0.133928,0.255679,0.499922", \ "0.020709,0.032969,0.046476,0.074620,0.133926,0.255671,0.499895", \ "0.020707,0.032970,0.046476,0.074628,0.133912,0.255624,0.499847", \ "0.020709,0.032965,0.046468,0.074619,0.133934,0.255633,0.499885"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & !D & !SE & SI & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.525531,0.546227,0.565204,0.599377,0.664098,0.792334,1.047891", \ "0.529592,0.550306,0.569285,0.603447,0.668167,0.796389,1.051950", \ "0.547879,0.568580,0.587541,0.621707,0.686406,0.814609,1.070172", \ "0.582575,0.603234,0.622125,0.656276,0.720942,0.849145,1.104673", \ "0.624564,0.645240,0.664220,0.698327,0.762980,0.891240,1.146794", \ "0.671138,0.691742,0.710423,0.744523,0.809383,0.937492,1.193253", \ "0.722264,0.743011,0.761586,0.795615,0.860334,0.988507,1.244061"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.020745,0.033005,0.046510,0.074655,0.133956,0.255678,0.499930", \ "0.020726,0.032988,0.046496,0.074634,0.133941,0.255611,0.499928", \ "0.020719,0.032978,0.046483,0.074627,0.133935,0.255654,0.499935", \ "0.020711,0.032972,0.046477,0.074624,0.133928,0.255679,0.499922", \ "0.020709,0.032969,0.046476,0.074620,0.133926,0.255671,0.499895", \ "0.020707,0.032970,0.046476,0.074628,0.133912,0.255624,0.499847", \ "0.020709,0.032965,0.046468,0.074619,0.133934,0.255633,0.499885"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & !D & SE & !SI & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.525508,0.546204,0.565181,0.599354,0.664087,0.792321,1.047882", \ "0.529579,0.550283,0.569262,0.603426,0.668148,0.796374,1.051940", \ "0.547836,0.568558,0.587519,0.621686,0.686388,0.814594,1.070162", \ "0.582554,0.603213,0.622105,0.656257,0.720925,0.849131,1.104663", \ "0.624545,0.645221,0.664201,0.698309,0.762936,0.891227,1.146785", \ "0.671120,0.691736,0.710406,0.744506,0.809368,0.937480,1.193246", \ "0.722248,0.742996,0.761571,0.795600,0.860321,0.988496,1.244053"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.020745,0.033005,0.046510,0.074656,0.133939,0.255679,0.499937", \ "0.020730,0.032989,0.046495,0.074634,0.133941,0.255612,0.499928", \ "0.020714,0.032977,0.046483,0.074627,0.133935,0.255654,0.499935", \ "0.020711,0.032972,0.046477,0.074624,0.133928,0.255678,0.499922", \ "0.020709,0.032970,0.046476,0.074620,0.133926,0.255670,0.499894", \ "0.020707,0.032970,0.046476,0.074628,0.133912,0.255624,0.499847", \ "0.020709,0.032965,0.046468,0.074618,0.133934,0.255634,0.499885"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & !D & SE & SI & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.525509,0.546240,0.565220,0.599395,0.664133,0.792387,1.047998", \ "0.529623,0.550320,0.569302,0.603478,0.668202,0.796438,1.052029", \ "0.547896,0.568599,0.587562,0.621732,0.686445,0.814662,1.070254", \ "0.582619,0.603269,0.622158,0.656313,0.720989,0.849205,1.104761", \ "0.624604,0.645284,0.664265,0.698342,0.763010,0.891321,1.146890", \ "0.671181,0.691816,0.710485,0.744591,0.809452,0.937579,1.193341", \ "0.722327,0.743092,0.761659,0.795701,0.860427,0.988613,1.244189"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.020744,0.033005,0.046507,0.074643,0.133950,0.255680,0.499904", \ "0.020736,0.032988,0.046495,0.074635,0.133941,0.255630,0.499929", \ "0.020718,0.032977,0.046482,0.074629,0.133935,0.255649,0.499935", \ "0.020721,0.032971,0.046477,0.074624,0.133929,0.255672,0.499922", \ "0.020713,0.032969,0.046476,0.074621,0.133926,0.255673,0.499960", \ "0.020707,0.032969,0.046475,0.074628,0.133916,0.255625,0.499858", \ "0.020711,0.032964,0.046468,0.074620,0.133934,0.255622,0.499873"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & D & !SE & !SI & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.525509,0.546240,0.565220,0.599420,0.664136,0.792388,1.047972", \ "0.529623,0.550320,0.569301,0.603478,0.668202,0.796438,1.052029", \ "0.547895,0.568599,0.587562,0.621732,0.686445,0.814662,1.070254", \ "0.582619,0.603263,0.622158,0.656313,0.720989,0.849205,1.104761", \ "0.624594,0.645284,0.664265,0.698341,0.763010,0.891321,1.146890", \ "0.671181,0.691802,0.710485,0.744591,0.809452,0.937579,1.193341", \ "0.722326,0.743092,0.761659,0.795701,0.860427,0.988613,1.244189"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.020744,0.033005,0.046507,0.074654,0.133941,0.255677,0.499938", \ "0.020736,0.032988,0.046495,0.074635,0.133941,0.255630,0.499929", \ "0.020718,0.032977,0.046482,0.074629,0.133935,0.255649,0.499935", \ "0.020721,0.032971,0.046477,0.074624,0.133929,0.255672,0.499922", \ "0.020711,0.032969,0.046476,0.074621,0.133926,0.255673,0.499960", \ "0.020707,0.032969,0.046475,0.074628,0.133916,0.255625,0.499858", \ "0.020711,0.032964,0.046468,0.074620,0.133934,0.255622,0.499873"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & D & !SE & SI & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.525509,0.546240,0.565220,0.599421,0.664136,0.792386,1.047972", \ "0.529623,0.550320,0.569301,0.603478,0.668202,0.796438,1.052029", \ "0.547895,0.568599,0.587562,0.621732,0.686444,0.814662,1.070254", \ "0.582619,0.603263,0.622158,0.656313,0.720989,0.849205,1.104761", \ "0.624604,0.645284,0.664265,0.698341,0.763010,0.891321,1.146890", \ "0.671198,0.691802,0.710485,0.744591,0.809452,0.937579,1.193341", \ "0.722347,0.743091,0.761658,0.795689,0.860427,0.988612,1.244189"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.020744,0.033005,0.046507,0.074654,0.133941,0.255675,0.499938", \ "0.020736,0.032988,0.046495,0.074635,0.133941,0.255630,0.499929", \ "0.020718,0.032977,0.046482,0.074629,0.133935,0.255649,0.499935", \ "0.020721,0.032971,0.046477,0.074624,0.133929,0.255672,0.499922", \ "0.020713,0.032969,0.046476,0.074621,0.133926,0.255673,0.499960", \ "0.020706,0.032969,0.046475,0.074628,0.133916,0.255625,0.499858", \ "0.020717,0.032964,0.046468,0.074619,0.133934,0.255622,0.499873"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & D & SE & !SI & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.525509,0.546205,0.565182,0.599367,0.664090,0.792321,1.047883", \ "0.529580,0.550284,0.569263,0.603427,0.668148,0.796374,1.051940", \ "0.547837,0.568559,0.587520,0.621687,0.686389,0.814594,1.070162", \ "0.582555,0.603214,0.622105,0.656257,0.720934,0.849131,1.104663", \ "0.624534,0.645222,0.664201,0.698310,0.762936,0.891227,1.146785", \ "0.671121,0.691725,0.710406,0.744506,0.809369,0.937480,1.193246", \ "0.722232,0.742996,0.761572,0.795601,0.860321,0.988496,1.244053"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.020745,0.033005,0.046510,0.074643,0.133937,0.255674,0.499937", \ "0.020730,0.032989,0.046495,0.074634,0.133941,0.255612,0.499928", \ "0.020714,0.032977,0.046483,0.074627,0.133935,0.255654,0.499935", \ "0.020711,0.032972,0.046477,0.074624,0.133927,0.255678,0.499922", \ "0.020711,0.032970,0.046476,0.074620,0.133926,0.255670,0.499894", \ "0.020707,0.032970,0.046476,0.074628,0.133912,0.255624,0.499847", \ "0.020711,0.032965,0.046468,0.074618,0.133934,0.255634,0.499885"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & D & SE & SI & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.525509,0.546240,0.565220,0.599406,0.664137,0.792379,1.047998", \ "0.529623,0.550320,0.569301,0.603478,0.668202,0.796438,1.052029", \ "0.547896,0.568599,0.587562,0.621732,0.686445,0.814662,1.070254", \ "0.582619,0.603263,0.622158,0.656313,0.720998,0.849205,1.104761", \ "0.624604,0.645284,0.664265,0.698342,0.763010,0.891321,1.146890", \ "0.671198,0.691816,0.710485,0.744591,0.809452,0.937579,1.193341", \ "0.722326,0.743091,0.761659,0.795689,0.860427,0.988613,1.244189"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.020744,0.033005,0.046507,0.074655,0.133941,0.255625,0.499904", \ "0.020736,0.032988,0.046495,0.074635,0.133941,0.255630,0.499929", \ "0.020718,0.032977,0.046482,0.074629,0.133935,0.255649,0.499935", \ "0.020721,0.032971,0.046477,0.074624,0.133926,0.255672,0.499922", \ "0.020713,0.032969,0.046476,0.074621,0.133926,0.255673,0.499960", \ "0.020706,0.032969,0.046475,0.074628,0.133916,0.255625,0.499858", \ "0.020711,0.032964,0.046468,0.074619,0.133934,0.255622,0.499873"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & !D & !RN & !SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.100463,0.114188,0.124875,0.141287,0.166893,0.208949,0.283236", \ "0.105206,0.118930,0.129622,0.146038,0.171639,0.213698,0.287989", \ "0.122965,0.136655,0.147340,0.163755,0.189369,0.231439,0.305729", \ "0.159674,0.173287,0.183937,0.200305,0.225966,0.268058,0.342390", \ "0.212691,0.227677,0.239141,0.256188,0.282306,0.324638,0.399047", \ "0.269628,0.287303,0.300495,0.319876,0.348448,0.392945,0.468349", \ "0.329647,0.349928,0.364906,0.386987,0.418582,0.465834,0.543574"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.080232,0.100234,0.118780,0.152684,0.217491,0.345517,0.600734", \ "0.084737,0.104732,0.123277,0.157174,0.221994,0.350022,0.605138", \ "0.101396,0.121356,0.139854,0.173688,0.238448,0.366498,0.621823", \ "0.132798,0.152804,0.171218,0.204796,0.269426,0.397382,0.652606", \ "0.166829,0.188518,0.207502,0.241428,0.305908,0.433553,0.688742", \ "0.197213,0.221412,0.241637,0.276585,0.341066,0.468607,0.723111", \ "0.222370,0.250002,0.272228,0.308730,0.373348,0.500374,0.755065"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.018222,0.022972,0.027348,0.035206,0.049753,0.078173,0.136739", \ "0.018220,0.022975,0.027345,0.035197,0.049754,0.078188,0.136732", \ "0.018221,0.022990,0.027363,0.035210,0.049766,0.078166,0.136731", \ "0.018339,0.023133,0.027493,0.035311,0.049829,0.078210,0.136759", \ "0.022640,0.026663,0.030376,0.037315,0.051014,0.078810,0.136982", \ "0.029337,0.033489,0.037090,0.043655,0.056444,0.082595,0.138622", \ "0.036680,0.041314,0.045056,0.051368,0.063428,0.088376,0.142656"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.019075,0.031597,0.045398,0.073991,0.133738,0.255587,0.499973", \ "0.019072,0.031597,0.045401,0.073988,0.133735,0.255584,0.499984", \ "0.019072,0.031598,0.045404,0.074000,0.133709,0.255582,0.499943", \ "0.020046,0.032127,0.045725,0.074138,0.133749,0.255616,0.500068", \ "0.024244,0.035287,0.048024,0.075413,0.134111,0.255616,0.500007", \ "0.030035,0.040573,0.052046,0.077643,0.135137,0.255944,0.499938", \ "0.036641,0.047667,0.058328,0.081564,0.136506,0.256651,0.500357"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & !D & !RN & !SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.100463,0.114188,0.124875,0.141287,0.166893,0.208949,0.283236", \ "0.105206,0.118930,0.129622,0.146038,0.171639,0.213698,0.287989", \ "0.122965,0.136655,0.147340,0.163755,0.189369,0.231439,0.305729", \ "0.159678,0.173287,0.183937,0.200305,0.225966,0.268058,0.342390", \ "0.212691,0.227677,0.239141,0.256188,0.282306,0.324638,0.399047", \ "0.269628,0.287303,0.300495,0.319876,0.348448,0.392945,0.468349", \ "0.329647,0.349928,0.364906,0.386987,0.418582,0.465834,0.543574"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.080232,0.100234,0.118780,0.152684,0.217491,0.345517,0.600734", \ "0.084737,0.104732,0.123277,0.157174,0.221994,0.350022,0.605138", \ "0.101396,0.121356,0.139854,0.173688,0.238453,0.366498,0.621823", \ "0.132798,0.152804,0.171218,0.204796,0.269426,0.397382,0.652606", \ "0.166829,0.188518,0.207502,0.241428,0.305908,0.433553,0.688742", \ "0.197213,0.221412,0.241637,0.276585,0.341066,0.468607,0.723111", \ "0.222370,0.250002,0.272228,0.308730,0.373348,0.500374,0.755065"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.018222,0.022972,0.027348,0.035206,0.049753,0.078173,0.136739", \ "0.018220,0.022975,0.027345,0.035197,0.049754,0.078188,0.136732", \ "0.018221,0.022990,0.027363,0.035210,0.049766,0.078166,0.136731", \ "0.018338,0.023133,0.027493,0.035311,0.049829,0.078210,0.136759", \ "0.022640,0.026663,0.030376,0.037315,0.051014,0.078810,0.136982", \ "0.029337,0.033489,0.037090,0.043655,0.056444,0.082595,0.138622", \ "0.036680,0.041314,0.045056,0.051368,0.063428,0.088376,0.142656"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.019075,0.031597,0.045398,0.073991,0.133738,0.255587,0.499973", \ "0.019072,0.031597,0.045401,0.073988,0.133735,0.255584,0.499984", \ "0.019072,0.031598,0.045404,0.074000,0.133733,0.255582,0.499943", \ "0.020046,0.032127,0.045725,0.074138,0.133749,0.255616,0.500068", \ "0.024244,0.035287,0.048024,0.075413,0.134111,0.255616,0.500007", \ "0.030035,0.040573,0.052046,0.077643,0.135137,0.255944,0.499938", \ "0.036641,0.047667,0.058328,0.081564,0.136506,0.256651,0.500357"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & !D & !RN & SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.100463,0.114188,0.124875,0.141287,0.166893,0.208949,0.283236", \ "0.105206,0.118930,0.129622,0.146038,0.171639,0.213698,0.287989", \ "0.122965,0.136655,0.147340,0.163755,0.189369,0.231439,0.305729", \ "0.159678,0.173287,0.183937,0.200305,0.225966,0.268058,0.342390", \ "0.212691,0.227677,0.239141,0.256188,0.282306,0.324638,0.399047", \ "0.269628,0.287304,0.300495,0.319876,0.348448,0.392945,0.468349", \ "0.329647,0.349928,0.364906,0.386987,0.418582,0.465834,0.543574"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.080232,0.100234,0.118780,0.152684,0.217491,0.345517,0.600734", \ "0.084737,0.104732,0.123277,0.157174,0.221994,0.350022,0.605138", \ "0.101396,0.121356,0.139854,0.173688,0.238453,0.366498,0.621823", \ "0.132798,0.152804,0.171218,0.204796,0.269426,0.397382,0.652606", \ "0.166829,0.188518,0.207502,0.241428,0.305908,0.433553,0.688742", \ "0.197213,0.221412,0.241637,0.276585,0.341066,0.468607,0.723111", \ "0.222370,0.250002,0.272228,0.308730,0.373348,0.500374,0.755065"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.018222,0.022972,0.027348,0.035206,0.049753,0.078173,0.136739", \ "0.018220,0.022975,0.027345,0.035197,0.049754,0.078188,0.136732", \ "0.018221,0.022990,0.027363,0.035210,0.049766,0.078166,0.136731", \ "0.018338,0.023133,0.027493,0.035311,0.049829,0.078210,0.136759", \ "0.022640,0.026663,0.030376,0.037315,0.051014,0.078810,0.136982", \ "0.029337,0.033489,0.037090,0.043655,0.056444,0.082595,0.138622", \ "0.036680,0.041314,0.045056,0.051368,0.063428,0.088376,0.142656"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.019075,0.031597,0.045398,0.073991,0.133738,0.255587,0.499973", \ "0.019072,0.031597,0.045401,0.073988,0.133735,0.255584,0.499984", \ "0.019072,0.031598,0.045404,0.074000,0.133733,0.255582,0.499943", \ "0.020046,0.032127,0.045725,0.074138,0.133749,0.255616,0.500068", \ "0.024244,0.035287,0.048024,0.075413,0.134111,0.255616,0.500007", \ "0.030035,0.040573,0.052046,0.077643,0.135137,0.255944,0.499938", \ "0.036641,0.047667,0.058328,0.081564,0.136506,0.256651,0.500357"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & !D & !RN & SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.100463,0.114188,0.124875,0.141287,0.166893,0.208949,0.283236", \ "0.105206,0.118930,0.129622,0.146038,0.171639,0.213698,0.287982", \ "0.122956,0.136662,0.147341,0.163755,0.189369,0.231439,0.305729", \ "0.159618,0.173227,0.183921,0.200311,0.225972,0.268071,0.342384", \ "0.212604,0.227716,0.239061,0.256143,0.282256,0.324585,0.398989", \ "0.269702,0.287297,0.300575,0.319999,0.348443,0.392865,0.468387", \ "0.329050,0.349496,0.364906,0.387080,0.418646,0.465939,0.543739"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.080261,0.100256,0.118803,0.152708,0.217516,0.345543,0.600742", \ "0.084754,0.104752,0.123297,0.157197,0.222016,0.350045,0.605218", \ "0.101409,0.121380,0.139877,0.173712,0.238473,0.366522,0.621784", \ "0.132794,0.152838,0.171228,0.204814,0.269448,0.397415,0.652621", \ "0.166849,0.188465,0.207455,0.241395,0.305877,0.433599,0.688720", \ "0.197489,0.221433,0.241659,0.276712,0.340738,0.468731,0.723580", \ "0.222693,0.250297,0.272252,0.308298,0.373583,0.500604,0.754543"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.018222,0.022972,0.027348,0.035206,0.049753,0.078173,0.136739", \ "0.018220,0.022975,0.027345,0.035198,0.049754,0.078187,0.136736", \ "0.018222,0.022992,0.027361,0.035210,0.049766,0.078167,0.136731", \ "0.018338,0.023135,0.027498,0.035311,0.049829,0.078203,0.136762", \ "0.022655,0.026659,0.030383,0.037323,0.051020,0.078813,0.136984", \ "0.029331,0.033484,0.037079,0.043641,0.056440,0.082588,0.138606", \ "0.036754,0.041387,0.045056,0.051348,0.063411,0.088373,0.142658"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.019075,0.031601,0.045398,0.073995,0.133740,0.255582,0.500015", \ "0.019077,0.031600,0.045402,0.073992,0.133713,0.255584,0.499952", \ "0.019074,0.031601,0.045408,0.074002,0.133717,0.255582,0.499999", \ "0.020048,0.032130,0.045726,0.074140,0.133758,0.255605,0.500048", \ "0.024251,0.035294,0.048024,0.075417,0.134100,0.255635,0.500009", \ "0.030004,0.040586,0.052049,0.077634,0.135165,0.255928,0.499935", \ "0.036602,0.047634,0.058331,0.081607,0.136501,0.256620,0.500376"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & !D & RN & !SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.100903,0.115128,0.126279,0.143502,0.170353,0.214193,0.289837", \ "0.105649,0.119880,0.131033,0.148260,0.175124,0.218963,0.294614", \ "0.123416,0.137610,0.148758,0.165990,0.192862,0.236708,0.312361", \ "0.160136,0.174247,0.185329,0.202571,0.229474,0.273350,0.349013", \ "0.213246,0.228885,0.240686,0.258651,0.286023,0.330137,0.405906", \ "0.270312,0.288849,0.302574,0.323381,0.353486,0.399772,0.476058", \ "0.330438,0.351655,0.367507,0.391513,0.425047,0.474180,0.552860"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.018821,0.023891,0.028622,0.037001,0.052241,0.081066,0.137766", \ "0.018822,0.023893,0.028615,0.036987,0.052237,0.081068,0.137765", \ "0.018823,0.023904,0.028635,0.036992,0.052243,0.081062,0.137740", \ "0.018927,0.024042,0.028764,0.037090,0.052296,0.081077,0.137747", \ "0.023319,0.027641,0.031686,0.039086,0.053432,0.081595,0.137822", \ "0.030261,0.034808,0.038854,0.045937,0.059337,0.085353,0.138819", \ "0.037899,0.043094,0.047408,0.054387,0.067232,0.091715,0.141744"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & !D & RN & !SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.100903,0.115128,0.126279,0.143494,0.170353,0.214191,0.289837", \ "0.105649,0.119880,0.131033,0.148260,0.175124,0.218963,0.294614", \ "0.123416,0.137610,0.148758,0.165990,0.192862,0.236708,0.312361", \ "0.160136,0.174247,0.185329,0.202571,0.229474,0.273350,0.349013", \ "0.213246,0.228885,0.240686,0.258651,0.286023,0.330137,0.405906", \ "0.270312,0.288849,0.302574,0.323381,0.353486,0.399772,0.476058", \ "0.330438,0.351655,0.367507,0.391513,0.425047,0.474180,0.552860"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.018821,0.023891,0.028622,0.036999,0.052241,0.081067,0.137766", \ "0.018822,0.023893,0.028615,0.036987,0.052237,0.081068,0.137765", \ "0.018823,0.023904,0.028635,0.036992,0.052243,0.081062,0.137740", \ "0.018927,0.024042,0.028764,0.037090,0.052296,0.081077,0.137747", \ "0.023319,0.027641,0.031686,0.039086,0.053432,0.081595,0.137822", \ "0.030261,0.034808,0.038854,0.045937,0.059337,0.085353,0.138819", \ "0.037899,0.043094,0.047408,0.054387,0.067232,0.091715,0.141744"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & !D & RN & SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.100903,0.115128,0.126279,0.143502,0.170353,0.214192,0.289838", \ "0.105649,0.119880,0.131033,0.148260,0.175124,0.218963,0.294614", \ "0.123416,0.137610,0.148758,0.165990,0.192862,0.236708,0.312361", \ "0.160136,0.174247,0.185329,0.202571,0.229474,0.273350,0.349013", \ "0.213246,0.228885,0.240686,0.258651,0.286023,0.330137,0.405906", \ "0.270312,0.288849,0.302574,0.323381,0.353486,0.399772,0.476058", \ "0.330438,0.351655,0.367507,0.391513,0.425047,0.474180,0.552860"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.018821,0.023891,0.028622,0.037001,0.052240,0.081067,0.137766", \ "0.018822,0.023893,0.028615,0.036987,0.052237,0.081068,0.137765", \ "0.018823,0.023904,0.028635,0.036992,0.052243,0.081062,0.137740", \ "0.018927,0.024042,0.028764,0.037090,0.052296,0.081077,0.137747", \ "0.023319,0.027641,0.031686,0.039086,0.053432,0.081595,0.137822", \ "0.030261,0.034808,0.038854,0.045937,0.059337,0.085353,0.138819", \ "0.037899,0.043094,0.047408,0.054387,0.067232,0.091715,0.141744"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & !D & RN & SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.100902,0.115125,0.126276,0.143494,0.170351,0.214189,0.289837", \ "0.105646,0.119877,0.131031,0.148257,0.175115,0.218954,0.294601", \ "0.123401,0.137610,0.148750,0.165985,0.192853,0.236695,0.312345", \ "0.160076,0.174195,0.185328,0.202567,0.229472,0.273344,0.349004", \ "0.213173,0.228675,0.240574,0.258543,0.285904,0.330021,0.405688", \ "0.270045,0.288414,0.302574,0.322967,0.353103,0.399403,0.475699", \ "0.330382,0.351618,0.367811,0.391040,0.424573,0.474219,0.552436"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.018818,0.023891,0.028617,0.036998,0.052242,0.081067,0.137761", \ "0.018824,0.023890,0.028619,0.036988,0.052241,0.081068,0.137734", \ "0.018822,0.023909,0.028635,0.036992,0.052247,0.081061,0.137730", \ "0.018926,0.024043,0.028769,0.037087,0.052298,0.081075,0.137743", \ "0.023330,0.027666,0.031701,0.039088,0.053437,0.081595,0.137816", \ "0.030292,0.034870,0.038854,0.045992,0.059378,0.085390,0.138823", \ "0.037890,0.043106,0.047370,0.054475,0.067304,0.091697,0.141748"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & D & !RN & !SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.100463,0.114188,0.124875,0.141287,0.166893,0.208949,0.283236", \ "0.105206,0.118930,0.129622,0.146038,0.171639,0.213698,0.287982", \ "0.122956,0.136662,0.147341,0.163755,0.189369,0.231439,0.305729", \ "0.159618,0.173227,0.183921,0.200311,0.225972,0.268071,0.342384", \ "0.212604,0.227716,0.239061,0.256143,0.282256,0.324585,0.398989", \ "0.269702,0.287297,0.300575,0.319999,0.348443,0.392865,0.468387", \ "0.329050,0.349496,0.364906,0.387080,0.418646,0.465939,0.543739"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.080261,0.100256,0.118803,0.152708,0.217516,0.345543,0.600742", \ "0.084754,0.104752,0.123297,0.157197,0.222016,0.350045,0.605218", \ "0.101409,0.121380,0.139877,0.173712,0.238473,0.366522,0.621784", \ "0.132794,0.152838,0.171228,0.204814,0.269448,0.397415,0.652621", \ "0.166849,0.188465,0.207455,0.241395,0.305877,0.433599,0.688720", \ "0.197489,0.221433,0.241659,0.276712,0.340738,0.468731,0.723580", \ "0.222693,0.250297,0.272252,0.308298,0.373583,0.500604,0.754543"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.018222,0.022972,0.027348,0.035206,0.049753,0.078173,0.136739", \ "0.018220,0.022975,0.027345,0.035198,0.049754,0.078187,0.136736", \ "0.018222,0.022992,0.027361,0.035210,0.049766,0.078167,0.136731", \ "0.018338,0.023135,0.027498,0.035311,0.049829,0.078203,0.136762", \ "0.022655,0.026659,0.030383,0.037323,0.051020,0.078813,0.136984", \ "0.029331,0.033484,0.037079,0.043641,0.056440,0.082588,0.138606", \ "0.036754,0.041387,0.045056,0.051348,0.063411,0.088373,0.142658"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.019075,0.031601,0.045398,0.073995,0.133740,0.255582,0.500015", \ "0.019077,0.031600,0.045402,0.073992,0.133713,0.255584,0.499952", \ "0.019074,0.031601,0.045408,0.074002,0.133717,0.255582,0.499999", \ "0.020048,0.032130,0.045726,0.074140,0.133758,0.255605,0.500048", \ "0.024251,0.035294,0.048024,0.075417,0.134100,0.255635,0.500009", \ "0.030004,0.040586,0.052049,0.077634,0.135141,0.255928,0.499935", \ "0.036602,0.047634,0.058331,0.081607,0.136501,0.256620,0.500376"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & D & !RN & !SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.100463,0.114188,0.124875,0.141287,0.166893,0.208949,0.283236", \ "0.105206,0.118930,0.129622,0.146038,0.171639,0.213698,0.287982", \ "0.122956,0.136662,0.147341,0.163755,0.189369,0.231439,0.305729", \ "0.159618,0.173227,0.183921,0.200311,0.225972,0.268071,0.342384", \ "0.212604,0.227716,0.239061,0.256143,0.282256,0.324585,0.398989", \ "0.269702,0.287297,0.300575,0.319999,0.348443,0.392865,0.468387", \ "0.329050,0.349496,0.364906,0.387080,0.418646,0.465939,0.543739"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.080261,0.100256,0.118803,0.152708,0.217516,0.345543,0.600742", \ "0.084754,0.104752,0.123297,0.157197,0.222016,0.350045,0.605218", \ "0.101409,0.121380,0.139877,0.173712,0.238473,0.366522,0.621784", \ "0.132794,0.152838,0.171228,0.204814,0.269448,0.397415,0.652621", \ "0.166849,0.188465,0.207455,0.241395,0.305877,0.433599,0.688720", \ "0.197489,0.221433,0.241659,0.276712,0.340738,0.468708,0.723580", \ "0.222693,0.250297,0.272252,0.308298,0.373583,0.500604,0.754543"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.018222,0.022972,0.027348,0.035206,0.049753,0.078173,0.136739", \ "0.018220,0.022975,0.027345,0.035198,0.049754,0.078187,0.136736", \ "0.018222,0.022992,0.027361,0.035210,0.049766,0.078167,0.136731", \ "0.018338,0.023135,0.027498,0.035311,0.049829,0.078203,0.136762", \ "0.022655,0.026659,0.030383,0.037323,0.051020,0.078813,0.136984", \ "0.029331,0.033484,0.037079,0.043641,0.056440,0.082588,0.138606", \ "0.036754,0.041387,0.045056,0.051348,0.063411,0.088373,0.142658"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.019075,0.031601,0.045398,0.073995,0.133740,0.255582,0.500015", \ "0.019077,0.031600,0.045402,0.073992,0.133713,0.255584,0.499952", \ "0.019074,0.031601,0.045408,0.074002,0.133717,0.255582,0.499999", \ "0.020048,0.032130,0.045726,0.074140,0.133758,0.255605,0.500048", \ "0.024251,0.035294,0.048024,0.075417,0.134100,0.255635,0.500009", \ "0.030004,0.040586,0.052049,0.077634,0.135165,0.255938,0.499935", \ "0.036602,0.047634,0.058331,0.081607,0.136501,0.256620,0.500376"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & D & !RN & SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.100463,0.114188,0.124875,0.141287,0.166893,0.208949,0.283236", \ "0.105206,0.118930,0.129622,0.146038,0.171639,0.213698,0.287989", \ "0.122965,0.136655,0.147340,0.163755,0.189369,0.231439,0.305729", \ "0.159678,0.173287,0.183937,0.200305,0.225966,0.268058,0.342390", \ "0.212691,0.227677,0.239141,0.256188,0.282306,0.324638,0.399047", \ "0.269628,0.287304,0.300495,0.319876,0.348448,0.392945,0.468349", \ "0.329647,0.349928,0.364906,0.386987,0.418582,0.465834,0.543574"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.080232,0.100234,0.118780,0.152684,0.217491,0.345517,0.600734", \ "0.084737,0.104732,0.123277,0.157174,0.221994,0.350022,0.605138", \ "0.101396,0.121356,0.139854,0.173688,0.238453,0.366498,0.621823", \ "0.132798,0.152804,0.171218,0.204796,0.269426,0.397382,0.652606", \ "0.166829,0.188518,0.207502,0.241428,0.305908,0.433553,0.688742", \ "0.197213,0.221412,0.241637,0.276585,0.341066,0.468607,0.723111", \ "0.222370,0.250002,0.272228,0.308730,0.373348,0.500374,0.755065"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.018222,0.022972,0.027348,0.035206,0.049753,0.078173,0.136739", \ "0.018220,0.022975,0.027345,0.035197,0.049754,0.078188,0.136732", \ "0.018221,0.022990,0.027363,0.035210,0.049766,0.078166,0.136731", \ "0.018338,0.023133,0.027493,0.035311,0.049829,0.078210,0.136759", \ "0.022640,0.026663,0.030376,0.037315,0.051014,0.078810,0.136982", \ "0.029337,0.033489,0.037090,0.043655,0.056444,0.082595,0.138622", \ "0.036680,0.041314,0.045056,0.051368,0.063428,0.088376,0.142656"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.019075,0.031597,0.045398,0.073991,0.133738,0.255587,0.499973", \ "0.019072,0.031597,0.045401,0.073988,0.133735,0.255584,0.499984", \ "0.019072,0.031598,0.045404,0.074000,0.133733,0.255582,0.499943", \ "0.020046,0.032127,0.045725,0.074138,0.133749,0.255616,0.500068", \ "0.024244,0.035287,0.048020,0.075413,0.134111,0.255616,0.500007", \ "0.030035,0.040573,0.052046,0.077643,0.135137,0.255944,0.499938", \ "0.036641,0.047667,0.058328,0.081564,0.136506,0.256651,0.500357"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & D & !RN & SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.100463,0.114188,0.124875,0.141287,0.166893,0.208949,0.283236", \ "0.105206,0.118930,0.129622,0.146038,0.171639,0.213698,0.287982", \ "0.122956,0.136662,0.147341,0.163755,0.189369,0.231439,0.305729", \ "0.159618,0.173227,0.183921,0.200311,0.225972,0.268071,0.342384", \ "0.212604,0.227716,0.239061,0.256143,0.282256,0.324585,0.398989", \ "0.269702,0.287297,0.300575,0.319999,0.348443,0.392865,0.468387", \ "0.329050,0.349496,0.364906,0.387080,0.418646,0.465939,0.543739"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.080261,0.100256,0.118803,0.152708,0.217516,0.345543,0.600742", \ "0.084754,0.104752,0.123297,0.157197,0.222016,0.350045,0.605218", \ "0.101409,0.121380,0.139877,0.173712,0.238473,0.366522,0.621784", \ "0.132794,0.152838,0.171228,0.204814,0.269448,0.397415,0.652621", \ "0.166849,0.188465,0.207455,0.241395,0.305877,0.433599,0.688720", \ "0.197489,0.221433,0.241659,0.276712,0.340738,0.468731,0.723580", \ "0.222693,0.250297,0.272252,0.308298,0.373583,0.500604,0.754543"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.018222,0.022972,0.027348,0.035206,0.049753,0.078173,0.136739", \ "0.018220,0.022975,0.027345,0.035198,0.049754,0.078187,0.136736", \ "0.018222,0.022992,0.027361,0.035210,0.049766,0.078167,0.136731", \ "0.018338,0.023135,0.027498,0.035311,0.049829,0.078203,0.136762", \ "0.022655,0.026659,0.030383,0.037323,0.051020,0.078813,0.136984", \ "0.029331,0.033484,0.037079,0.043641,0.056440,0.082588,0.138606", \ "0.036754,0.041387,0.045056,0.051348,0.063411,0.088373,0.142658"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.019075,0.031601,0.045398,0.073995,0.133740,0.255582,0.500015", \ "0.019077,0.031600,0.045402,0.073992,0.133713,0.255584,0.499952", \ "0.019074,0.031601,0.045408,0.074002,0.133717,0.255582,0.499999", \ "0.020048,0.032130,0.045726,0.074140,0.133758,0.255605,0.500048", \ "0.024251,0.035294,0.048024,0.075417,0.134100,0.255635,0.500009", \ "0.030004,0.040586,0.052049,0.077634,0.135165,0.255928,0.499935", \ "0.036602,0.047634,0.058331,0.081607,0.136501,0.256620,0.500376"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & D & RN & !SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.100902,0.115125,0.126276,0.143500,0.170351,0.214192,0.289837", \ "0.105644,0.119877,0.131031,0.148257,0.175115,0.218954,0.294601", \ "0.123401,0.137610,0.148750,0.165985,0.192853,0.236695,0.312345", \ "0.160076,0.174195,0.185328,0.202567,0.229472,0.273344,0.349004", \ "0.213173,0.228675,0.240574,0.258543,0.285904,0.330021,0.405688", \ "0.270045,0.288414,0.302574,0.322967,0.353103,0.399403,0.475699", \ "0.330382,0.351618,0.367811,0.391040,0.424573,0.474219,0.552436"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.018818,0.023891,0.028617,0.037002,0.052242,0.081064,0.137761", \ "0.018822,0.023890,0.028619,0.036988,0.052241,0.081068,0.137734", \ "0.018822,0.023909,0.028635,0.036992,0.052247,0.081061,0.137730", \ "0.018926,0.024043,0.028769,0.037087,0.052298,0.081075,0.137743", \ "0.023330,0.027666,0.031701,0.039088,0.053437,0.081595,0.137816", \ "0.030292,0.034870,0.038854,0.045992,0.059378,0.085390,0.138823", \ "0.037890,0.043106,0.047370,0.054475,0.067304,0.091697,0.141748"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & D & RN & !SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.100902,0.115125,0.126276,0.143494,0.170351,0.214189,0.289835", \ "0.105646,0.119877,0.131031,0.148257,0.175115,0.218954,0.294601", \ "0.123401,0.137610,0.148750,0.165985,0.192853,0.236695,0.312345", \ "0.160076,0.174195,0.185328,0.202567,0.229472,0.273344,0.349004", \ "0.213173,0.228675,0.240574,0.258543,0.285904,0.330021,0.405688", \ "0.270045,0.288414,0.302574,0.322967,0.353103,0.399403,0.475699", \ "0.330382,0.351618,0.367811,0.391040,0.424573,0.474219,0.552437"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.018818,0.023891,0.028617,0.036998,0.052242,0.081067,0.137762", \ "0.018824,0.023890,0.028619,0.036988,0.052241,0.081068,0.137734", \ "0.018822,0.023909,0.028635,0.036992,0.052247,0.081061,0.137730", \ "0.018926,0.024043,0.028769,0.037087,0.052298,0.081075,0.137743", \ "0.023330,0.027666,0.031701,0.039088,0.053437,0.081595,0.137816", \ "0.030292,0.034870,0.038854,0.045992,0.059378,0.085390,0.138823", \ "0.037890,0.043106,0.047370,0.054475,0.067304,0.091697,0.141748"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & D & RN & SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.100903,0.115128,0.126279,0.143494,0.170353,0.214193,0.289837", \ "0.105649,0.119880,0.131033,0.148260,0.175124,0.218963,0.294614", \ "0.123416,0.137610,0.148758,0.165990,0.192862,0.236708,0.312361", \ "0.160136,0.174249,0.185329,0.202571,0.229474,0.273350,0.349013", \ "0.213246,0.228885,0.240686,0.258651,0.286023,0.330137,0.405906", \ "0.270312,0.288849,0.302574,0.323381,0.353486,0.399772,0.476058", \ "0.330438,0.351655,0.367507,0.391513,0.425047,0.474180,0.552860"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.018821,0.023891,0.028622,0.036999,0.052241,0.081066,0.137766", \ "0.018822,0.023893,0.028615,0.036987,0.052237,0.081068,0.137765", \ "0.018823,0.023904,0.028635,0.036992,0.052243,0.081062,0.137740", \ "0.018927,0.024045,0.028764,0.037090,0.052296,0.081077,0.137747", \ "0.023319,0.027641,0.031686,0.039086,0.053432,0.081595,0.137822", \ "0.030261,0.034808,0.038854,0.045937,0.059337,0.085353,0.138819", \ "0.037899,0.043094,0.047408,0.054387,0.067232,0.091715,0.141744"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & D & RN & SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.100902,0.115125,0.126276,0.143494,0.170351,0.214190,0.289835", \ "0.105646,0.119877,0.131031,0.148257,0.175115,0.218954,0.294601", \ "0.123401,0.137610,0.148750,0.165985,0.192853,0.236695,0.312345", \ "0.160076,0.174195,0.185328,0.202567,0.229472,0.273344,0.349004", \ "0.213173,0.228675,0.240574,0.258543,0.285904,0.330021,0.405688", \ "0.270045,0.288414,0.302574,0.322967,0.353103,0.399403,0.475699", \ "0.330382,0.351618,0.367811,0.391040,0.424573,0.474219,0.552436"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.018818,0.023891,0.028617,0.036998,0.052242,0.081052,0.137762", \ "0.018824,0.023890,0.028619,0.036988,0.052241,0.081068,0.137734", \ "0.018822,0.023909,0.028635,0.036992,0.052247,0.081061,0.137730", \ "0.018926,0.024043,0.028769,0.037087,0.052298,0.081075,0.137743", \ "0.023330,0.027666,0.031701,0.039088,0.053437,0.081595,0.137816", \ "0.030292,0.034870,0.038854,0.045992,0.059378,0.085390,0.138823", \ "0.037890,0.043106,0.047370,0.054475,0.067304,0.091697,0.141748"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & !D & !RN & !SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.100635,0.114375,0.125078,0.141523,0.167151,0.209243,0.283580", \ "0.105374,0.119123,0.129829,0.146272,0.171908,0.214014,0.288333", \ "0.123128,0.136843,0.147535,0.163982,0.189632,0.231744,0.306064", \ "0.159745,0.173430,0.184123,0.200532,0.226229,0.268357,0.342717", \ "0.212704,0.227832,0.239262,0.256309,0.282442,0.324799,0.399214", \ "0.269510,0.287284,0.300649,0.320201,0.348793,0.393331,0.468800", \ "0.329412,0.349805,0.364920,0.387650,0.419206,0.466498,0.544268"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.467221,0.487877,0.506669,0.540626,0.605367,0.733372,0.988590", \ "0.472149,0.492769,0.511596,0.545543,0.610284,0.738276,0.993496", \ "0.485326,0.505928,0.524666,0.558635,0.623390,0.751365,1.006620", \ "0.507599,0.528223,0.546960,0.580924,0.645743,0.773701,1.028812", \ "0.540597,0.561255,0.579941,0.614287,0.678838,0.806832,1.062064", \ "0.581742,0.602626,0.621162,0.654882,0.719634,0.847537,1.102870", \ "0.629482,0.650098,0.668960,0.703025,0.767706,0.895755,1.150660"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.018247,0.023010,0.027385,0.035249,0.049813,0.078244,0.136777", \ "0.018248,0.023007,0.027385,0.035246,0.049815,0.078234,0.136759", \ "0.018250,0.023028,0.027405,0.035258,0.049829,0.078221,0.136758", \ "0.018366,0.023169,0.027536,0.035370,0.049875,0.078253,0.136784", \ "0.022674,0.026688,0.030413,0.037355,0.051049,0.078860,0.137018", \ "0.029403,0.033547,0.037127,0.043682,0.056475,0.082631,0.138645", \ "0.036799,0.041402,0.045128,0.051346,0.063427,0.088398,0.142664"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.020700,0.032951,0.046445,0.074594,0.133923,0.255653,0.499955", \ "0.020700,0.032951,0.046446,0.074591,0.133925,0.255597,0.499947", \ "0.020705,0.032948,0.046449,0.074595,0.133895,0.255646,0.499910", \ "0.020705,0.032950,0.046449,0.074590,0.133915,0.255668,0.499982", \ "0.020706,0.032957,0.046450,0.074598,0.133920,0.255613,0.499945", \ "0.020724,0.032958,0.046455,0.074601,0.133932,0.255598,0.499924", \ "0.020735,0.032947,0.046428,0.074569,0.133894,0.255641,0.499979"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & !D & !RN & !SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.100635,0.114375,0.125078,0.141523,0.167151,0.209243,0.283580", \ "0.105374,0.119123,0.129829,0.146272,0.171908,0.214014,0.288333", \ "0.123128,0.136843,0.147535,0.163982,0.189632,0.231744,0.306064", \ "0.159745,0.173430,0.184123,0.200532,0.226229,0.268357,0.342717", \ "0.212704,0.227832,0.239262,0.256309,0.282442,0.324799,0.399214", \ "0.269510,0.287284,0.300649,0.320201,0.348793,0.393331,0.468800", \ "0.329412,0.349805,0.364920,0.387650,0.419206,0.466498,0.544268"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.467221,0.487877,0.506669,0.540626,0.605367,0.733372,0.988590", \ "0.472149,0.492769,0.511596,0.545543,0.610284,0.738276,0.993496", \ "0.485326,0.505928,0.524666,0.558635,0.623390,0.751365,1.006620", \ "0.507599,0.528223,0.546960,0.580924,0.645743,0.773701,1.028812", \ "0.540597,0.561255,0.579941,0.614287,0.678838,0.806832,1.062064", \ "0.581742,0.602626,0.621162,0.654882,0.719634,0.847537,1.102870", \ "0.629482,0.650098,0.668960,0.703025,0.767706,0.895755,1.150660"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.018247,0.023010,0.027385,0.035249,0.049813,0.078244,0.136777", \ "0.018248,0.023007,0.027385,0.035246,0.049815,0.078234,0.136759", \ "0.018250,0.023028,0.027405,0.035258,0.049829,0.078221,0.136758", \ "0.018366,0.023169,0.027536,0.035370,0.049875,0.078253,0.136784", \ "0.022674,0.026688,0.030413,0.037355,0.051049,0.078860,0.137018", \ "0.029403,0.033547,0.037127,0.043682,0.056475,0.082631,0.138645", \ "0.036799,0.041402,0.045128,0.051346,0.063427,0.088398,0.142664"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.020700,0.032951,0.046445,0.074594,0.133922,0.255653,0.499955", \ "0.020700,0.032951,0.046446,0.074592,0.133925,0.255597,0.499947", \ "0.020705,0.032948,0.046449,0.074595,0.133895,0.255646,0.499910", \ "0.020705,0.032950,0.046449,0.074590,0.133915,0.255668,0.499982", \ "0.020706,0.032957,0.046450,0.074598,0.133920,0.255613,0.499945", \ "0.020724,0.032958,0.046455,0.074601,0.133932,0.255598,0.499924", \ "0.020735,0.032947,0.046428,0.074569,0.133894,0.255641,0.499979"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & !D & !RN & SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.100635,0.114375,0.125078,0.141523,0.167151,0.209243,0.283580", \ "0.105374,0.119123,0.129829,0.146272,0.171908,0.214014,0.288333", \ "0.123128,0.136843,0.147535,0.163982,0.189632,0.231744,0.306064", \ "0.159745,0.173430,0.184123,0.200532,0.226229,0.268357,0.342717", \ "0.212704,0.227832,0.239262,0.256309,0.282442,0.324799,0.399214", \ "0.269510,0.287284,0.300649,0.320201,0.348793,0.393331,0.468800", \ "0.329412,0.349805,0.364920,0.387650,0.419206,0.466498,0.544268"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.467221,0.487877,0.506669,0.540626,0.605367,0.733372,0.988590", \ "0.472149,0.492769,0.511596,0.545543,0.610284,0.738276,0.993496", \ "0.485326,0.505928,0.524666,0.558635,0.623390,0.751365,1.006620", \ "0.507599,0.528223,0.546960,0.580924,0.645743,0.773701,1.028812", \ "0.540597,0.561255,0.579941,0.614287,0.678838,0.806832,1.062064", \ "0.581742,0.602626,0.621162,0.654882,0.719634,0.847537,1.102870", \ "0.629482,0.650098,0.668960,0.703025,0.767706,0.895755,1.150660"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.018247,0.023010,0.027385,0.035249,0.049813,0.078244,0.136777", \ "0.018248,0.023007,0.027385,0.035246,0.049815,0.078234,0.136759", \ "0.018250,0.023028,0.027405,0.035258,0.049829,0.078221,0.136758", \ "0.018366,0.023169,0.027536,0.035370,0.049875,0.078253,0.136784", \ "0.022674,0.026688,0.030413,0.037355,0.051049,0.078860,0.137018", \ "0.029403,0.033547,0.037127,0.043682,0.056475,0.082631,0.138645", \ "0.036799,0.041402,0.045128,0.051346,0.063427,0.088398,0.142664"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.020700,0.032951,0.046445,0.074594,0.133923,0.255653,0.499955", \ "0.020700,0.032951,0.046446,0.074592,0.133925,0.255597,0.499947", \ "0.020705,0.032948,0.046449,0.074595,0.133895,0.255646,0.499910", \ "0.020705,0.032950,0.046449,0.074590,0.133915,0.255668,0.499982", \ "0.020706,0.032957,0.046450,0.074598,0.133920,0.255613,0.499945", \ "0.020724,0.032958,0.046455,0.074601,0.133932,0.255598,0.499924", \ "0.020735,0.032947,0.046428,0.074569,0.133894,0.255641,0.499979"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & !D & !RN & SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.100635,0.114375,0.125078,0.141523,0.167152,0.209243,0.283581", \ "0.105374,0.119123,0.129828,0.146272,0.171908,0.214014,0.288333", \ "0.123127,0.136843,0.147535,0.163982,0.189631,0.231744,0.306064", \ "0.159745,0.173430,0.184123,0.200532,0.226229,0.268357,0.342717", \ "0.212704,0.227832,0.239262,0.256310,0.282452,0.324812,0.399235", \ "0.269505,0.287284,0.300649,0.320201,0.348793,0.393331,0.468800", \ "0.329412,0.349805,0.364920,0.387650,0.419206,0.466499,0.544267"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.467437,0.488030,0.506816,0.540788,0.605503,0.733524,0.988739", \ "0.472366,0.492984,0.511750,0.545699,0.610437,0.738425,0.993695", \ "0.485489,0.506095,0.524828,0.558792,0.623550,0.751527,1.006784", \ "0.507914,0.528393,0.547092,0.581128,0.645908,0.773818,1.029070", \ "0.540793,0.561621,0.580207,0.614288,0.679006,0.807068,1.062294", \ "0.581979,0.602605,0.621301,0.655308,0.719840,0.847785,1.103084", \ "0.629710,0.650313,0.669241,0.703317,0.767745,0.896029,1.150946"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.018247,0.023010,0.027385,0.035249,0.049813,0.078246,0.136777", \ "0.018248,0.023007,0.027385,0.035246,0.049815,0.078234,0.136759", \ "0.018250,0.023028,0.027405,0.035258,0.049829,0.078221,0.136758", \ "0.018366,0.023169,0.027536,0.035370,0.049875,0.078253,0.136784", \ "0.022674,0.026688,0.030413,0.037355,0.051045,0.078861,0.137007", \ "0.029406,0.033548,0.037126,0.043682,0.056475,0.082631,0.138645", \ "0.036796,0.041402,0.045128,0.051347,0.063427,0.088399,0.142665"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.020706,0.032950,0.046446,0.074596,0.133918,0.255665,0.499947", \ "0.020705,0.032949,0.046446,0.074597,0.133926,0.255668,0.499910", \ "0.020704,0.032949,0.046448,0.074590,0.133897,0.255666,0.499910", \ "0.020703,0.032951,0.046450,0.074588,0.133915,0.255668,0.499982", \ "0.020710,0.032956,0.046454,0.074597,0.133918,0.255662,0.499962", \ "0.020715,0.032959,0.046455,0.074597,0.133932,0.255619,0.499998", \ "0.020731,0.032949,0.046427,0.074579,0.133894,0.255595,0.499975"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & !D & RN & !SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.100983,0.115258,0.126392,0.143519,0.170112,0.213303,0.288248", \ "0.105727,0.120010,0.131150,0.148286,0.174873,0.218069,0.293008", \ "0.123491,0.137738,0.148882,0.166012,0.192610,0.235814,0.310756", \ "0.160170,0.174341,0.185422,0.202570,0.229202,0.272432,0.347387", \ "0.213325,0.229011,0.240805,0.258656,0.285715,0.329173,0.404189", \ "0.270724,0.289336,0.302889,0.323375,0.353094,0.398653,0.474510", \ "0.331183,0.352309,0.368436,0.391510,0.424571,0.473306,0.551504"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.018886,0.023931,0.028560,0.036741,0.051630,0.079927,0.137582", \ "0.018886,0.023933,0.028557,0.036744,0.051629,0.079919,0.137588", \ "0.018891,0.023951,0.028576,0.036751,0.051631,0.079923,0.137603", \ "0.018991,0.024084,0.028703,0.036848,0.051686,0.079924,0.137607", \ "0.023410,0.027661,0.031585,0.038806,0.052789,0.080458,0.137783", \ "0.030333,0.034760,0.038660,0.045549,0.058541,0.084182,0.139210", \ "0.037942,0.042970,0.047019,0.053844,0.066188,0.090339,0.143288"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & !D & RN & !SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.100983,0.115258,0.126392,0.143519,0.170118,0.213309,0.288242", \ "0.105727,0.120010,0.131150,0.148286,0.174873,0.218069,0.293008", \ "0.123491,0.137738,0.148882,0.166012,0.192610,0.235814,0.310756", \ "0.160170,0.174341,0.185422,0.202570,0.229202,0.272432,0.347387", \ "0.213325,0.229011,0.240805,0.258693,0.285753,0.329218,0.404270", \ "0.270724,0.289336,0.302889,0.323375,0.353094,0.398653,0.474510", \ "0.331183,0.352309,0.368436,0.391510,0.424571,0.473306,0.551504"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.018886,0.023931,0.028560,0.036741,0.051631,0.079919,0.137586", \ "0.018886,0.023933,0.028557,0.036744,0.051629,0.079919,0.137588", \ "0.018891,0.023951,0.028576,0.036751,0.051631,0.079923,0.137603", \ "0.018991,0.024084,0.028703,0.036848,0.051686,0.079924,0.137607", \ "0.023410,0.027661,0.031585,0.038802,0.052789,0.080447,0.137783", \ "0.030333,0.034760,0.038660,0.045549,0.058541,0.084182,0.139210", \ "0.037942,0.042970,0.047019,0.053844,0.066188,0.090339,0.143288"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & !D & RN & SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.100983,0.115258,0.126392,0.143519,0.170118,0.213304,0.288245", \ "0.105727,0.120010,0.131150,0.148286,0.174873,0.218069,0.293008", \ "0.123491,0.137738,0.148882,0.166012,0.192610,0.235814,0.310756", \ "0.160170,0.174341,0.185422,0.202570,0.229202,0.272432,0.347387", \ "0.213325,0.229011,0.240805,0.258693,0.285753,0.329218,0.404270", \ "0.270724,0.289336,0.302889,0.323375,0.353094,0.398653,0.474511", \ "0.331183,0.352310,0.368436,0.391510,0.424571,0.473306,0.551504"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.018886,0.023931,0.028560,0.036741,0.051631,0.079928,0.137590", \ "0.018886,0.023933,0.028557,0.036744,0.051629,0.079919,0.137588", \ "0.018891,0.023951,0.028576,0.036751,0.051631,0.079923,0.137603", \ "0.018991,0.024084,0.028703,0.036848,0.051686,0.079924,0.137607", \ "0.023410,0.027661,0.031585,0.038802,0.052789,0.080447,0.137783", \ "0.030333,0.034760,0.038660,0.045549,0.058541,0.084182,0.139225", \ "0.037942,0.042970,0.047019,0.053844,0.066188,0.090339,0.143288"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & !D & RN & SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.100983,0.115258,0.126392,0.143519,0.170118,0.213306,0.288244", \ "0.105732,0.120010,0.131150,0.148286,0.174873,0.218069,0.293008", \ "0.123491,0.137738,0.148882,0.166012,0.192610,0.235814,0.310756", \ "0.160170,0.174341,0.185422,0.202570,0.229202,0.272432,0.347387", \ "0.213325,0.229011,0.240812,0.258693,0.285753,0.329218,0.404270", \ "0.270724,0.289336,0.302889,0.323375,0.353094,0.398653,0.474510", \ "0.331183,0.352309,0.368436,0.391510,0.424571,0.473306,0.551504"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.018886,0.023931,0.028560,0.036741,0.051631,0.079924,0.137590", \ "0.018885,0.023933,0.028557,0.036744,0.051630,0.079919,0.137588", \ "0.018892,0.023951,0.028576,0.036751,0.051631,0.079923,0.137603", \ "0.018991,0.024084,0.028703,0.036848,0.051686,0.079924,0.137607", \ "0.023410,0.027661,0.031588,0.038802,0.052789,0.080447,0.137783", \ "0.030332,0.034760,0.038660,0.045549,0.058542,0.084182,0.139210", \ "0.037942,0.042970,0.047019,0.053844,0.066188,0.090339,0.143288"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & D & !RN & !SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.100635,0.114375,0.125078,0.141523,0.167152,0.209243,0.283581", \ "0.105374,0.119123,0.129828,0.146272,0.171908,0.214014,0.288333", \ "0.123127,0.136843,0.147535,0.163982,0.189632,0.231744,0.306064", \ "0.159745,0.173430,0.184123,0.200532,0.226229,0.268357,0.342717", \ "0.212704,0.227832,0.239262,0.256310,0.282452,0.324812,0.399235", \ "0.269505,0.287284,0.300649,0.320201,0.348793,0.393331,0.468800", \ "0.329412,0.349805,0.364920,0.387650,0.419206,0.466499,0.544267"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.467437,0.488030,0.506816,0.540788,0.605503,0.733524,0.988739", \ "0.472366,0.492984,0.511750,0.545699,0.610437,0.738425,0.993695", \ "0.485489,0.506095,0.524828,0.558792,0.623550,0.751527,1.006784", \ "0.507914,0.528390,0.547177,0.581128,0.645908,0.773818,1.029070", \ "0.540793,0.561621,0.580207,0.614288,0.679006,0.807068,1.062294", \ "0.581979,0.602605,0.621301,0.655308,0.719840,0.847785,1.103084", \ "0.629710,0.650313,0.669241,0.703317,0.767745,0.896029,1.150973"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.018247,0.023010,0.027385,0.035249,0.049813,0.078246,0.136777", \ "0.018248,0.023007,0.027385,0.035246,0.049815,0.078234,0.136759", \ "0.018250,0.023028,0.027405,0.035258,0.049829,0.078221,0.136758", \ "0.018366,0.023169,0.027536,0.035370,0.049875,0.078253,0.136784", \ "0.022674,0.026688,0.030413,0.037355,0.051045,0.078861,0.137007", \ "0.029406,0.033548,0.037126,0.043682,0.056475,0.082631,0.138645", \ "0.036796,0.041402,0.045128,0.051347,0.063427,0.088399,0.142665"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.020706,0.032950,0.046446,0.074596,0.133918,0.255665,0.499947", \ "0.020705,0.032949,0.046446,0.074597,0.133926,0.255668,0.499910", \ "0.020704,0.032949,0.046448,0.074590,0.133897,0.255666,0.499910", \ "0.020703,0.032951,0.046448,0.074588,0.133915,0.255668,0.499982", \ "0.020710,0.032956,0.046454,0.074597,0.133918,0.255662,0.499962", \ "0.020715,0.032959,0.046455,0.074597,0.133932,0.255619,0.499998", \ "0.020731,0.032949,0.046427,0.074579,0.133894,0.255595,0.499966"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & D & !RN & !SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.100635,0.114375,0.125078,0.141523,0.167152,0.209243,0.283581", \ "0.105374,0.119123,0.129828,0.146272,0.171908,0.214014,0.288333", \ "0.123127,0.136843,0.147535,0.163982,0.189631,0.231744,0.306064", \ "0.159745,0.173431,0.184123,0.200532,0.226229,0.268357,0.342717", \ "0.212704,0.227832,0.239262,0.256310,0.282452,0.324812,0.399235", \ "0.269505,0.287284,0.300649,0.320201,0.348793,0.393331,0.468800", \ "0.329412,0.349805,0.364920,0.387650,0.419206,0.466499,0.544267"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.467437,0.488030,0.506816,0.540788,0.605503,0.733524,0.988739", \ "0.472366,0.492984,0.511750,0.545699,0.610437,0.738425,0.993695", \ "0.485489,0.506095,0.524828,0.558792,0.623550,0.751527,1.006784", \ "0.507914,0.528393,0.547092,0.581128,0.645908,0.773818,1.029070", \ "0.540793,0.561621,0.580207,0.614287,0.679006,0.807068,1.062294", \ "0.581979,0.602605,0.621301,0.655308,0.719840,0.847785,1.103084", \ "0.629710,0.650313,0.669241,0.703317,0.767745,0.896029,1.150973"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.018247,0.023010,0.027385,0.035249,0.049813,0.078246,0.136777", \ "0.018248,0.023007,0.027385,0.035246,0.049815,0.078234,0.136759", \ "0.018250,0.023028,0.027405,0.035258,0.049829,0.078221,0.136758", \ "0.018366,0.023168,0.027536,0.035370,0.049875,0.078253,0.136784", \ "0.022674,0.026688,0.030413,0.037355,0.051045,0.078861,0.137007", \ "0.029406,0.033548,0.037126,0.043682,0.056475,0.082631,0.138645", \ "0.036796,0.041402,0.045128,0.051347,0.063427,0.088399,0.142665"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.020706,0.032950,0.046446,0.074596,0.133918,0.255665,0.499947", \ "0.020705,0.032949,0.046446,0.074597,0.133926,0.255668,0.499910", \ "0.020704,0.032949,0.046448,0.074590,0.133897,0.255666,0.499910", \ "0.020703,0.032951,0.046450,0.074588,0.133915,0.255668,0.499982", \ "0.020710,0.032956,0.046454,0.074597,0.133918,0.255662,0.499962", \ "0.020715,0.032959,0.046455,0.074597,0.133932,0.255619,0.499998", \ "0.020731,0.032949,0.046427,0.074579,0.133894,0.255595,0.499966"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & D & !RN & SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.100635,0.114375,0.125078,0.141523,0.167151,0.209243,0.283580", \ "0.105374,0.119123,0.129829,0.146272,0.171908,0.214014,0.288333", \ "0.123128,0.136843,0.147535,0.163982,0.189632,0.231744,0.306064", \ "0.159745,0.173430,0.184123,0.200532,0.226229,0.268357,0.342717", \ "0.212704,0.227832,0.239262,0.256309,0.282442,0.324799,0.399214", \ "0.269510,0.287284,0.300650,0.320201,0.348793,0.393331,0.468800", \ "0.329412,0.349805,0.364920,0.387650,0.419206,0.466498,0.544268"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.467221,0.487877,0.506669,0.540626,0.605367,0.733372,0.988590", \ "0.472149,0.492769,0.511597,0.545543,0.610284,0.738276,0.993497", \ "0.485326,0.505928,0.524666,0.558635,0.623390,0.751365,1.006620", \ "0.507552,0.528223,0.547021,0.580924,0.645743,0.773701,1.028812", \ "0.540597,0.561255,0.579941,0.614287,0.678838,0.806832,1.062064", \ "0.581742,0.602626,0.621162,0.654882,0.719634,0.847537,1.102870", \ "0.629482,0.650098,0.668960,0.703026,0.767706,0.895755,1.150660"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.018247,0.023010,0.027385,0.035249,0.049813,0.078244,0.136777", \ "0.018248,0.023007,0.027385,0.035246,0.049815,0.078234,0.136759", \ "0.018250,0.023028,0.027405,0.035258,0.049829,0.078221,0.136758", \ "0.018366,0.023169,0.027536,0.035370,0.049875,0.078253,0.136784", \ "0.022674,0.026688,0.030413,0.037355,0.051049,0.078860,0.137018", \ "0.029403,0.033547,0.037127,0.043682,0.056475,0.082631,0.138645", \ "0.036799,0.041402,0.045128,0.051346,0.063427,0.088398,0.142664"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.020700,0.032951,0.046445,0.074594,0.133923,0.255653,0.499955", \ "0.020700,0.032951,0.046446,0.074592,0.133925,0.255597,0.499947", \ "0.020705,0.032948,0.046449,0.074595,0.133895,0.255646,0.499910", \ "0.020702,0.032950,0.046450,0.074590,0.133915,0.255668,0.499982", \ "0.020706,0.032957,0.046450,0.074598,0.133920,0.255613,0.499945", \ "0.020724,0.032958,0.046455,0.074601,0.133932,0.255598,0.499924", \ "0.020735,0.032947,0.046428,0.074569,0.133894,0.255641,0.499979"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & D & !RN & SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.100635,0.114375,0.125078,0.141523,0.167152,0.209243,0.283581", \ "0.105374,0.119123,0.129828,0.146272,0.171908,0.214014,0.288333", \ "0.123127,0.136843,0.147535,0.163982,0.189631,0.231744,0.306064", \ "0.159745,0.173430,0.184123,0.200532,0.226229,0.268357,0.342717", \ "0.212704,0.227832,0.239262,0.256310,0.282452,0.324812,0.399235", \ "0.269505,0.287284,0.300649,0.320201,0.348793,0.393331,0.468800", \ "0.329412,0.349805,0.364920,0.387650,0.419206,0.466499,0.544267"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.467437,0.488030,0.506816,0.540788,0.605503,0.733524,0.988739", \ "0.472366,0.492984,0.511750,0.545699,0.610437,0.738425,0.993695", \ "0.485489,0.506095,0.524828,0.558792,0.623550,0.751527,1.006784", \ "0.507914,0.528393,0.547092,0.581128,0.645908,0.773818,1.029070", \ "0.540793,0.561621,0.580207,0.614287,0.679006,0.807068,1.062294", \ "0.581979,0.602605,0.621301,0.655308,0.719840,0.847785,1.103084", \ "0.629710,0.650313,0.669241,0.703317,0.767745,0.896029,1.150973"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.018247,0.023010,0.027385,0.035249,0.049813,0.078246,0.136777", \ "0.018248,0.023007,0.027385,0.035246,0.049815,0.078234,0.136759", \ "0.018250,0.023028,0.027405,0.035258,0.049829,0.078221,0.136758", \ "0.018366,0.023169,0.027536,0.035370,0.049875,0.078253,0.136784", \ "0.022674,0.026688,0.030413,0.037355,0.051045,0.078861,0.137007", \ "0.029406,0.033548,0.037126,0.043682,0.056475,0.082631,0.138645", \ "0.036796,0.041402,0.045128,0.051347,0.063427,0.088399,0.142665"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.020706,0.032950,0.046446,0.074596,0.133918,0.255665,0.499947", \ "0.020705,0.032949,0.046446,0.074597,0.133926,0.255668,0.499910", \ "0.020704,0.032949,0.046448,0.074590,0.133897,0.255666,0.499910", \ "0.020703,0.032951,0.046450,0.074588,0.133915,0.255668,0.499982", \ "0.020710,0.032956,0.046454,0.074597,0.133918,0.255662,0.499962", \ "0.020715,0.032959,0.046455,0.074597,0.133932,0.255619,0.499998", \ "0.020731,0.032949,0.046427,0.074579,0.133894,0.255595,0.499966"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & D & RN & !SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.100983,0.115258,0.126392,0.143519,0.170118,0.213310,0.288248", \ "0.105727,0.120010,0.131150,0.148286,0.174873,0.218069,0.293008", \ "0.123491,0.137738,0.148882,0.166012,0.192610,0.235814,0.310756", \ "0.160170,0.174341,0.185422,0.202570,0.229202,0.272432,0.347387", \ "0.213325,0.229011,0.240812,0.258693,0.285753,0.329218,0.404270", \ "0.270724,0.289336,0.302889,0.323375,0.353094,0.398653,0.474510", \ "0.331183,0.352309,0.368436,0.391510,0.424571,0.473306,0.551504"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.018886,0.023931,0.028560,0.036741,0.051631,0.079921,0.137582", \ "0.018886,0.023933,0.028557,0.036744,0.051629,0.079919,0.137588", \ "0.018892,0.023951,0.028576,0.036751,0.051631,0.079923,0.137603", \ "0.018991,0.024084,0.028703,0.036848,0.051686,0.079924,0.137607", \ "0.023410,0.027661,0.031588,0.038802,0.052789,0.080447,0.137783", \ "0.030332,0.034760,0.038660,0.045549,0.058542,0.084182,0.139210", \ "0.037942,0.042970,0.047019,0.053844,0.066188,0.090339,0.143288"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & D & RN & !SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.100983,0.115258,0.126392,0.143519,0.170117,0.213306,0.288246", \ "0.105727,0.120010,0.131150,0.148286,0.174873,0.218069,0.293008", \ "0.123491,0.137738,0.148882,0.166012,0.192610,0.235814,0.310756", \ "0.160170,0.174341,0.185422,0.202570,0.229202,0.272432,0.347387", \ "0.213325,0.229011,0.240812,0.258693,0.285753,0.329218,0.404270", \ "0.270724,0.289336,0.302889,0.323375,0.353094,0.398653,0.474510", \ "0.331183,0.352309,0.368436,0.391510,0.424571,0.473306,0.551504"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.018886,0.023931,0.028560,0.036741,0.051631,0.079924,0.137582", \ "0.018886,0.023933,0.028557,0.036744,0.051629,0.079919,0.137588", \ "0.018892,0.023951,0.028576,0.036751,0.051631,0.079923,0.137603", \ "0.018991,0.024084,0.028703,0.036848,0.051686,0.079924,0.137607", \ "0.023410,0.027661,0.031588,0.038802,0.052789,0.080447,0.137783", \ "0.030332,0.034760,0.038660,0.045549,0.058542,0.084182,0.139210", \ "0.037942,0.042970,0.047019,0.053844,0.066188,0.090339,0.143288"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & D & RN & SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.100983,0.115258,0.126392,0.143519,0.170118,0.213310,0.288242", \ "0.105732,0.120010,0.131150,0.148286,0.174873,0.218069,0.293008", \ "0.123491,0.137738,0.148882,0.166012,0.192610,0.235814,0.310756", \ "0.160170,0.174341,0.185422,0.202570,0.229202,0.272432,0.347387", \ "0.213325,0.229011,0.240805,0.258693,0.285753,0.329218,0.404270", \ "0.270724,0.289336,0.302889,0.323375,0.353094,0.398653,0.474510", \ "0.331183,0.352310,0.368436,0.391510,0.424571,0.473306,0.551504"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.018886,0.023931,0.028560,0.036741,0.051631,0.079919,0.137585", \ "0.018885,0.023933,0.028557,0.036744,0.051629,0.079919,0.137588", \ "0.018891,0.023951,0.028576,0.036751,0.051631,0.079923,0.137603", \ "0.018991,0.024084,0.028703,0.036848,0.051686,0.079924,0.137607", \ "0.023410,0.027661,0.031585,0.038802,0.052789,0.080447,0.137783", \ "0.030333,0.034760,0.038660,0.045549,0.058541,0.084182,0.139210", \ "0.037942,0.042970,0.047019,0.053844,0.066188,0.090339,0.143288"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & D & RN & SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.100983,0.115258,0.126392,0.143519,0.170117,0.213306,0.288248", \ "0.105727,0.120010,0.131150,0.148286,0.174873,0.218069,0.293008", \ "0.123491,0.137738,0.148882,0.166012,0.192610,0.235814,0.310756", \ "0.160170,0.174341,0.185422,0.202570,0.229202,0.272432,0.347387", \ "0.213325,0.229011,0.240812,0.258693,0.285753,0.329218,0.404270", \ "0.270724,0.289336,0.302889,0.323375,0.353094,0.398653,0.474511", \ "0.331183,0.352309,0.368436,0.391510,0.424571,0.473306,0.551504"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.018886,0.023931,0.028560,0.036741,0.051631,0.079924,0.137582", \ "0.018886,0.023933,0.028557,0.036744,0.051630,0.079919,0.137588", \ "0.018892,0.023951,0.028576,0.036751,0.051631,0.079923,0.137603", \ "0.018991,0.024084,0.028703,0.036848,0.051686,0.079924,0.137607", \ "0.023410,0.027661,0.031588,0.038802,0.052789,0.080447,0.137783", \ "0.030332,0.034760,0.038660,0.045549,0.058542,0.084182,0.139225", \ "0.037942,0.042970,0.047019,0.053844,0.066188,0.090339,0.143288"); } } internal_power () { related_pin : "CK"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.050969,6.183391,6.254496,6.328380,6.389780,6.418089,6.450192", \ "6.044639,6.180613,6.256164,6.310789,6.393887,6.421204,6.453503", \ "6.024669,6.161762,6.229748,6.299449,6.369375,6.401921,6.433136", \ "6.023760,6.161770,6.237269,6.315135,6.372205,6.400062,6.427031", \ "6.052287,6.185543,6.253915,6.331452,6.401197,6.451726,6.470947", \ "6.113092,6.246372,6.324719,6.381629,6.451339,6.501214,6.494586", \ "6.229319,6.360864,6.424503,6.497366,6.574231,6.618875,6.631534"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.834215,5.952353,6.029317,6.272219,6.425865,6.471799,6.528903", \ "5.824357,5.940544,6.014923,6.276237,6.430510,6.485047,6.540772", \ "5.806339,5.922173,5.998629,6.256150,6.407166,6.457148,6.522307", \ "5.799540,5.919411,5.996762,6.248248,6.412975,6.467198,6.508442", \ "5.821389,5.942080,6.019136,6.259721,6.408581,6.497513,6.489601", \ "5.881809,5.997295,6.073724,6.329140,6.478442,6.568957,6.588156", \ "5.992047,6.108027,6.185724,6.433264,6.604410,6.646954,6.694282"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & !SE & !SI & SN"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.905453,5.099130,5.210600,5.270789,5.373475,5.393974,5.456479", \ "4.875045,5.081742,5.188158,5.261473,5.370194,5.391167,5.461840", \ "4.855718,5.043106,5.150066,5.235769,5.319142,5.409905,5.410183", \ "4.866182,5.050152,5.154472,5.213774,5.334768,5.362386,5.436922", \ "4.985929,5.122883,5.204040,5.293991,5.381966,5.405250,5.485223", \ "5.173456,5.274217,5.344151,5.385691,5.480058,5.500292,5.582114", \ "5.443144,5.507895,5.544968,5.580052,5.642820,5.657160,5.735002"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & !SE & SI & SN"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.904463,5.098145,5.209576,5.305054,5.372548,5.393073,5.457383", \ "4.874066,5.080767,5.187175,5.260499,5.369248,5.390243,5.460983", \ "4.854779,5.042155,5.149126,5.234832,5.318213,5.409008,5.409330", \ "4.865301,5.049265,5.153588,5.212884,5.333887,5.361521,5.436094", \ "4.985154,5.122098,5.207416,5.293193,5.381153,5.404433,5.484438", \ "5.172807,5.273555,5.343478,5.385000,5.479351,5.499556,5.580613", \ "5.442664,5.507374,5.544736,5.579490,5.642230,5.656518,5.734199"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & SE & !SI & SN"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.904788,5.098457,5.209947,5.291070,5.372574,5.393575,5.461147", \ "4.874492,5.081079,5.187709,5.261021,5.369658,5.390760,5.461595", \ "4.855090,5.042472,5.149448,5.235189,5.318652,5.409478,5.409973", \ "4.865607,5.049570,5.153893,5.213210,5.334306,5.362000,5.436727", \ "4.985440,5.122387,5.207883,5.293509,5.381541,5.404935,5.485021", \ "5.173072,5.273026,5.343795,5.385320,5.479690,5.500022,5.581975", \ "5.442868,5.507268,5.544681,5.579970,5.642537,5.656937,5.734744"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & SE & SI & SN"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.412879,5.619332,5.726476,5.801430,5.890479,5.908393,5.969285", \ "5.377388,5.583674,5.687259,5.736861,5.801006,5.887672,5.949261", \ "5.331772,5.532315,5.637310,5.721216,5.804778,5.825026,5.894252", \ "5.369306,5.554844,5.652131,5.735708,5.767620,5.880571,5.930207", \ "5.532694,5.672044,5.748621,5.840716,5.925085,5.948522,6.024602", \ "5.794787,5.904641,5.958802,6.005044,6.098718,6.118067,6.194213", \ "6.169674,6.232092,6.270482,6.276852,6.366517,6.380752,6.454500"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D & !SE & !SI & SN"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.414051,5.620511,5.722838,5.806546,5.892085,5.909556,5.973529", \ "5.378548,5.584845,5.688499,5.738042,5.802148,5.888815,5.950432", \ "5.332891,5.533447,5.638451,5.722353,5.805901,5.826194,5.895360", \ "5.370529,5.555917,5.647649,5.736803,5.768677,5.881725,5.931296", \ "5.533645,5.673005,5.749591,5.841744,5.926127,5.950212,6.025676", \ "5.795598,5.905471,5.952552,6.005907,6.099686,6.119035,6.195184", \ "6.170319,6.232741,6.271172,6.277597,6.367349,6.381611,6.455391"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D & !SE & SI & SN"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.414058,5.620515,5.722999,5.805643,5.891722,5.909556,5.973806", \ "5.378555,5.584851,5.688503,5.738046,5.802144,5.888823,5.950429", \ "5.332899,5.533456,5.638454,5.722360,5.805910,5.826196,5.895365", \ "5.370536,5.555924,5.647653,5.736795,5.768685,5.881725,5.931300", \ "5.533067,5.673011,5.749597,5.841743,5.926129,5.950220,6.025676", \ "5.795603,5.905476,5.952558,6.005913,6.099682,6.119036,6.195188", \ "6.170307,6.233023,6.277209,6.277598,6.367344,6.381612,6.455397"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D & SE & !SI & SN"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.903663,5.097304,5.208766,5.289854,5.371449,5.392155,5.454632", \ "4.873371,5.079928,5.186518,5.259787,5.368356,5.389339,5.460038", \ "4.853976,5.041329,5.148296,5.233980,5.317350,5.408068,5.408401", \ "4.864514,5.048451,5.152743,5.212016,5.333037,5.360630,5.435193", \ "4.984398,5.121317,5.206781,5.292367,5.380313,5.403586,5.483533", \ "5.172114,5.272040,5.342778,5.384256,5.478542,5.498750,5.582409", \ "5.442035,5.506403,5.543789,5.579002,5.641501,5.655763,5.733394"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D & SE & SI & SN"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.414060,5.620523,5.721807,5.808063,5.891723,5.909597,5.974619", \ "5.378560,5.584858,5.688461,5.738064,5.802228,5.888882,5.950474", \ "5.332911,5.533469,5.638475,5.722387,5.805954,5.826229,5.895448", \ "5.370555,5.555945,5.653250,5.736832,5.768756,5.881742,5.931379", \ "5.533093,5.673041,5.745825,5.841761,5.926156,5.950289,6.025739", \ "5.795633,5.905508,5.959686,6.005955,6.099689,6.119084,6.195285", \ "6.170357,6.232793,6.277263,6.277626,6.367354,6.381662,6.455495"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D & !SE & !SI & SN"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.262947,6.459269,6.556510,6.629166,6.706288,6.772723,6.804787", \ "6.224544,6.418723,6.503214,6.596737,6.613571,6.681730,6.739134", \ "6.182802,6.379110,6.464152,6.532755,6.619959,6.691020,6.684628", \ "6.221779,6.394480,6.472057,6.563635,6.638751,6.643040,6.738274", \ "6.379129,6.511675,6.578145,6.660540,6.735273,6.739501,6.808182", \ "6.648400,6.742196,6.775098,6.833461,6.879657,6.950035,7.013937", \ "7.015479,7.082627,7.116062,7.150722,7.186091,7.249318,7.259023"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D & !SE & SI & SN"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.262129,6.458470,6.555669,6.598273,6.704986,6.771483,6.764639", \ "6.223391,6.417934,6.502385,6.595900,6.612726,6.680896,6.738339", \ "6.182020,6.378322,6.463350,6.531941,6.619138,6.690273,6.683834", \ "6.221044,6.393765,6.471301,6.562867,6.637969,6.642243,6.737554", \ "6.378491,6.511014,6.577475,6.659858,6.734560,6.738757,6.806762", \ "6.647881,6.741659,6.774551,6.833103,6.879042,6.949381,7.013253", \ "7.015105,7.082234,7.115673,7.150291,7.185602,7.248773,7.258290"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D & SE & !SI & SN"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.262618,6.458631,6.556123,6.598789,6.705819,6.703202,6.761602", \ "6.224279,6.418088,6.502845,6.596324,6.613151,6.681269,6.738714", \ "6.181688,6.373659,6.463807,6.532390,6.619548,6.689791,6.684242", \ "6.221508,6.393938,6.471740,6.563259,6.638375,6.642691,6.737386", \ "6.378928,6.511432,6.577910,6.660178,6.734738,6.739177,6.821375", \ "6.648286,6.743156,6.774941,6.831876,6.879378,6.949726,7.013592", \ "7.015440,7.082568,7.115740,7.150517,7.185883,7.249044,7.260554"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D & SE & SI & SN"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.260173,6.457307,6.557749,6.596180,6.702408,6.766999,6.760898", \ "6.223247,6.419497,6.500824,6.593871,6.610090,6.708168,6.733073", \ "6.180875,6.376934,6.461785,6.537638,6.616494,6.685448,6.678649", \ "6.220403,6.391816,6.469558,6.560677,6.635224,6.638605,6.735313", \ "6.379259,6.509330,6.575622,6.657904,6.731417,6.734374,6.802267", \ "6.646363,6.739625,6.797431,6.834367,6.910411,6.944931,7.006028", \ "7.026494,7.080128,7.114648,7.148717,7.182204,7.244574,7.233478"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D & !SE & !SI & SN"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.261120,6.458270,6.558855,6.597301,6.711993,6.768779,6.757246", \ "6.224181,6.420449,6.501809,6.594879,6.611101,6.709325,6.734135", \ "6.181779,6.377863,6.462722,6.538596,6.617464,6.686511,6.679658", \ "6.221257,6.390934,6.470469,6.561655,6.636154,6.639609,6.736308", \ "6.377792,6.510108,6.576411,6.658765,6.732313,6.735268,6.803243", \ "6.646993,6.740426,6.798107,6.835063,6.911231,6.945790,7.006908", \ "7.026963,7.080604,7.115171,7.149319,7.182895,7.245329,7.234273"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D & !SE & SI & SN"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.261129,6.458278,6.558817,6.597289,6.711162,6.768989,6.757241", \ "6.224190,6.420457,6.501807,6.594877,6.611101,6.709255,6.734127", \ "6.181786,6.377869,6.462730,6.538603,6.617471,6.686499,6.679655", \ "6.221264,6.390942,6.470474,6.561658,6.636155,6.639603,6.736309", \ "6.380005,6.510120,6.576428,6.658771,6.732310,6.735274,6.803239", \ "6.646804,6.740438,6.798119,6.835071,6.911224,6.945799,7.006914", \ "7.027058,7.080614,7.115176,7.122534,7.182887,7.245340,7.234288"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D & SE & !SI & SN"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.261690,6.457679,6.555129,6.597512,6.704578,6.770943,6.760151", \ "6.223353,6.417139,6.501849,6.595270,6.612010,6.679994,6.737250", \ "6.180768,6.372716,6.462821,6.531351,6.618418,6.688580,6.682785", \ "6.220600,6.393003,6.470764,6.562224,6.636904,6.641436,6.735930", \ "6.378079,6.510539,6.576985,6.659196,6.733668,6.737963,6.818523", \ "6.647516,6.741240,6.774105,6.831035,6.878389,6.948580,7.012257", \ "7.014802,7.081902,7.115047,7.149754,7.185019,7.248014,7.259265"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D & SE & SI & SN"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.261126,6.458279,6.558829,6.597267,6.710459,6.770844,6.761978", \ "6.224191,6.420461,6.501816,6.594873,6.611123,6.709229,6.734175", \ "6.181796,6.377875,6.462746,6.538607,6.617499,6.686512,6.679735", \ "6.221278,6.390957,6.470483,6.561631,6.636018,6.639633,6.736376", \ "6.380038,6.510146,6.576455,6.658770,6.732336,6.735335,6.803295", \ "6.646826,6.740316,6.798134,6.835105,6.911212,6.945816,7.007006", \ "7.026995,7.080651,7.115196,7.122545,7.182884,7.245351,7.234378"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & !RN & !SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("3.990859,4.294623,4.515882,4.776105,5.033330,5.175429,5.240859", \ "3.958007,4.264381,4.493503,4.728492,5.008927,5.163378,5.210979", \ "3.904840,4.205471,4.424820,4.701786,4.949537,5.099341,5.159212", \ "3.927817,4.207426,4.414458,4.665771,4.926606,5.097057,5.153364", \ "4.065410,4.310775,4.512686,4.727832,5.006495,5.181482,5.242711", \ "4.221426,4.405318,4.573466,4.838576,5.155812,5.349287,5.420412", \ "4.582408,4.659324,4.772967,4.976651,5.292261,5.559600,5.699281"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("3.026393,3.290330,3.400643,3.499729,3.614346,3.621306,3.660513", \ "2.994826,3.283171,3.415281,3.497825,3.580684,3.587758,3.728142", \ "2.975657,3.254499,3.361493,3.474638,3.565570,3.653842,3.702848", \ "3.003227,3.272439,3.342096,3.418274,3.440880,3.577396,3.624123", \ "3.105072,3.353599,3.447459,3.477305,3.511282,3.551975,3.560965", \ "3.320108,3.598589,3.658128,3.656658,3.668610,3.708584,3.727212", \ "3.613865,3.916492,4.024644,4.045336,3.991434,3.960399,4.013094"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & !RN & !SE & SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("3.990861,4.294625,4.515883,4.776103,5.033329,5.175409,5.240857", \ "3.958010,4.264383,4.493505,4.728484,5.008927,5.163376,5.210970", \ "3.904842,4.205472,4.424820,4.701788,4.949536,5.099330,5.159210", \ "3.927920,4.207428,4.414460,4.665768,4.926603,5.097054,5.153354", \ "4.065413,4.310777,4.512690,4.727825,5.006492,5.181482,5.242709", \ "4.221428,4.405321,4.573469,4.838578,5.155812,5.349278,5.420394", \ "4.582411,4.659326,4.772968,4.976647,5.292262,5.559592,5.699271"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("3.026397,3.290330,3.400641,3.499725,3.614343,3.621289,3.660496", \ "2.994827,3.283172,3.415281,3.497823,3.580678,3.587739,3.728169", \ "2.975659,3.254500,3.361493,3.474639,3.540579,3.653842,3.702846", \ "3.003228,3.272442,3.342094,3.418271,3.440867,3.577391,3.624119", \ "3.105071,3.353600,3.447460,3.477302,3.511275,3.551962,3.560947", \ "3.320111,3.598592,3.658127,3.656654,3.668604,3.708578,3.727198", \ "3.613867,3.916496,4.024646,4.045338,3.991434,3.960393,4.013090"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & !RN & SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("3.990849,4.294612,4.515872,4.776098,5.033324,5.175447,5.240860", \ "3.957998,4.264371,4.493491,4.728492,5.008919,5.163376,5.210989", \ "3.904831,4.205461,4.424810,4.701775,4.949529,5.099350,5.159214", \ "3.927909,4.207415,4.414448,4.665765,4.926603,5.097057,5.153374", \ "4.065401,4.310766,4.512676,4.727833,5.006490,5.181478,5.242717", \ "4.221420,4.405310,4.573458,4.838568,5.155805,5.349293,5.420433", \ "4.582400,4.659318,4.772963,4.976651,5.292255,5.559604,5.699292"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("3.026387,3.290321,3.400632,3.499718,3.614336,3.621315,3.660526", \ "2.994823,3.283160,3.415261,3.497812,3.580678,3.587771,3.728165", \ "2.975653,3.254487,3.361479,3.474620,3.540576,3.653834,3.702845", \ "3.003224,3.272426,3.342085,3.418261,3.440881,3.577393,3.624171", \ "3.105069,3.353590,3.447445,3.477293,3.511277,3.551980,3.560978", \ "3.320101,3.598578,3.658117,3.656646,3.668603,3.708582,3.727220", \ "3.613860,3.916481,4.024630,4.045319,3.991421,3.960397,4.013091"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & !RN & SE & SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("3.981622,4.284609,4.506922,4.767761,5.025067,5.167799,5.234609", \ "3.948642,4.255095,4.484221,4.719341,5.000102,5.155122,5.205378", \ "3.895906,4.195824,4.415118,4.692345,4.940358,5.090385,5.150668", \ "3.919671,4.198435,4.405595,4.656366,4.917532,5.086695,5.144951", \ "4.047746,4.301291,4.493461,4.718650,4.997217,5.171191,5.232981", \ "4.215311,4.400109,4.570949,4.829765,5.146235,5.339216,5.410776", \ "4.547612,4.638123,4.763746,4.970436,5.283860,5.553525,5.694991"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("3.034913,3.309847,3.428469,3.507793,3.621909,3.628351,3.663425", \ "3.003227,3.304089,3.406660,3.505356,3.589315,3.595258,3.771802", \ "2.976877,3.262800,3.371435,3.482614,3.517014,3.661801,3.704357", \ "3.011791,3.285697,3.351225,3.426723,3.470337,3.616385,3.634191", \ "3.138058,3.363135,3.456682,3.486665,3.521039,3.520791,3.569569", \ "3.318407,3.607781,3.666426,3.675232,3.683600,3.666939,3.728038", \ "3.630879,3.920067,4.032577,4.040173,3.996661,3.963474,4.032121"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & RN & !SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.493831,4.708042,4.878457,5.056899,5.178482,5.237173,5.247262", \ "4.478155,4.699496,4.866666,5.071844,5.169801,5.229146,5.239093", \ "4.448870,4.676343,4.828760,5.034962,5.131204,5.191140,5.208688", \ "4.458854,4.658442,4.813916,5.017420,5.094479,5.158244,5.178567", \ "4.552933,4.725869,4.877675,5.070742,5.137818,5.203633,5.227102", \ "4.708964,4.867821,4.979707,5.143894,5.198903,5.268234,5.295709", \ "4.870355,5.015823,5.142164,5.312951,5.394892,5.405976,5.435100"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & RN & !SE & SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.493676,4.707872,4.878273,5.056820,5.178239,5.237063,5.246957", \ "4.477995,4.699327,4.866484,5.071639,5.169570,5.228875,5.238781", \ "4.448715,4.676175,4.828581,5.034766,5.130969,5.190864,5.208392", \ "4.458703,4.658278,4.813740,5.017229,5.094250,5.157972,5.178274", \ "4.552793,4.725717,4.877514,5.070561,5.137611,5.203385,5.226806", \ "4.708850,4.867693,4.979571,5.143744,5.198708,5.267994,5.295443", \ "4.870276,5.015734,5.142069,5.312833,5.394740,5.405769,5.434859"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & RN & SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.494216,4.708457,4.878900,5.057385,5.178645,5.237897,5.247822", \ "4.478534,4.699908,4.867104,5.072326,5.170325,5.229701,5.239604", \ "4.449243,4.676744,4.829186,5.035424,5.131724,5.191695,5.209165", \ "4.459207,4.658821,4.814318,5.017854,5.094974,5.158772,5.179022", \ "4.553241,4.726200,4.878026,5.071131,5.138245,5.204096,5.227536", \ "4.709189,4.868072,4.979975,5.144191,5.199271,5.268647,5.296064", \ "4.870473,5.015961,5.142315,5.313146,5.395144,5.406290,5.435378"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & RN & SE & SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.445684,4.659972,4.830431,5.008827,5.129206,5.187528,5.199178", \ "4.432244,4.653096,4.819759,5.024601,5.122285,5.181125,5.196048", \ "4.404670,4.630092,4.782576,4.988343,5.084051,5.144097,5.161437", \ "4.412855,4.612614,4.766698,4.970166,5.047013,5.110402,5.127732", \ "4.507819,4.682126,4.834965,5.024443,5.090570,5.155639,5.180014", \ "4.666646,4.810655,4.934607,5.079264,5.155082,5.223087,5.252359", \ "4.826303,4.972525,5.101964,5.273366,5.354141,5.383745,5.392217"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D & !RN & !SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("3.981609,4.284597,4.506913,4.767750,5.025060,5.167765,5.234606", \ "3.948627,4.255083,4.484213,4.719319,5.000098,5.155118,5.205372", \ "3.895891,4.195811,4.415107,4.692340,4.940354,5.090365,5.150663", \ "3.919657,4.198421,4.405586,4.656353,4.917521,5.086684,5.144934", \ "4.047732,4.301279,4.493451,4.718629,4.997209,5.171189,5.232974", \ "4.215300,4.400102,4.570943,4.829758,5.146229,5.339200,5.410740", \ "4.547602,4.638112,4.763736,4.970421,5.283855,5.553508,5.694972"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("3.034903,3.309836,3.428458,3.507773,3.621893,3.628313,3.663390", \ "3.003212,3.304080,3.406647,3.505341,3.589293,3.595215,3.771795", \ "2.976862,3.262787,3.371422,3.482605,3.516986,3.661790,3.704344", \ "3.011777,3.285689,3.351208,3.426706,3.470310,3.616375,3.634174", \ "3.138050,3.363121,3.456671,3.486648,3.521016,3.520749,3.569529", \ "3.318394,3.607771,3.666410,3.675215,3.682155,3.666903,3.728001", \ "3.630874,3.920057,4.032567,4.040160,3.996648,3.963452,4.032108"); } } internal_power () { related_pin : "SN"; when : "!CK & D & !RN & !SE & SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("3.981615,4.284603,4.506917,4.767753,5.025062,5.167772,5.234606", \ "3.948634,4.255088,4.484217,4.719325,5.000100,5.155119,5.205374", \ "3.895898,4.195816,4.415111,4.692342,4.940355,5.090369,5.150664", \ "3.919664,4.198426,4.405590,4.656358,4.917524,5.086687,5.144938", \ "4.047739,4.301284,4.493455,4.718634,4.997211,5.171189,5.232976", \ "4.215305,4.400106,4.570947,4.829761,5.146231,5.339203,5.410747", \ "4.547606,4.638117,4.763740,4.970426,5.283857,5.553512,5.694976"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("3.034908,3.309840,3.428462,3.507779,3.621899,3.628323,3.663400", \ "3.003218,3.304084,3.406651,3.505346,3.589300,3.595226,3.771799", \ "2.976868,3.262792,3.371426,3.482609,3.516994,3.661794,3.704350", \ "3.011783,3.285693,3.351213,3.426711,3.470317,3.616379,3.634180", \ "3.138055,3.363126,3.456675,3.486653,3.521023,3.520760,3.569540", \ "3.318399,3.607776,3.666415,3.675221,3.683586,3.714937,3.728012", \ "3.630877,3.920061,4.032571,4.040165,3.996653,3.963459,4.032114"); } } internal_power () { related_pin : "SN"; when : "!CK & D & !RN & SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("3.990848,4.294612,4.515871,4.776093,5.033321,5.175421,5.240857", \ "3.957997,4.264370,4.493492,4.728480,5.008918,5.163373,5.210976", \ "3.904830,4.205460,4.424809,4.701776,4.949528,5.099334,5.159210", \ "3.927908,4.207415,4.414449,4.665760,4.926597,5.097053,5.153361", \ "4.065402,4.310766,4.512678,4.727822,5.006486,5.181478,5.242711", \ "4.221420,4.405311,4.573459,4.838569,5.155805,5.349281,5.420408", \ "4.582402,4.659318,4.772962,4.976643,5.292255,5.559593,5.699278"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("3.026389,3.290319,3.400628,3.499711,3.614330,3.621291,3.660501", \ "2.994821,3.283159,3.415263,3.497807,3.580667,3.587743,3.728162", \ "2.975653,3.254487,3.361477,3.474621,3.540567,3.653831,3.702840", \ "3.003222,3.272427,3.342081,3.418256,3.440861,3.577384,3.624164", \ "3.105066,3.353588,3.447269,3.477287,3.511265,3.551961,3.560952", \ "3.320103,3.598579,3.658114,3.656639,3.668593,3.708571,3.727199", \ "3.613860,3.916483,4.024631,4.045319,3.991419,3.960386,4.013083"); } } internal_power () { related_pin : "SN"; when : "!CK & D & !RN & SE & SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("3.981627,4.284613,4.506926,4.767765,5.025069,5.167808,5.234610", \ "3.948647,4.255099,4.484224,4.719348,5.000104,5.155124,5.205380", \ "3.895911,4.195828,4.415121,4.692346,4.940360,5.090390,5.150669", \ "3.919676,4.198438,4.405598,4.656370,4.917535,5.086698,5.144956", \ "4.047750,4.301295,4.493464,4.718655,4.997220,5.171192,5.232983", \ "4.215316,4.400112,4.570951,4.829768,5.146236,5.339220,5.410785", \ "4.547615,4.638126,4.763749,4.970440,5.283862,5.553529,5.694997"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("3.034916,3.309851,3.428473,3.507798,3.621914,3.628362,3.663436", \ "3.003231,3.304092,3.406664,3.505361,3.589322,3.595270,3.771805", \ "2.976882,3.262803,3.371439,3.482617,3.517022,3.661805,3.704361", \ "3.011796,3.285700,3.351230,3.426727,3.470345,3.616389,3.634196", \ "3.138061,3.363139,3.456685,3.486671,3.521046,3.520803,3.569580", \ "3.318410,3.607784,3.666431,3.675237,3.683605,3.666950,3.728048", \ "3.630881,3.920071,4.032580,4.040177,3.996666,3.963480,4.032125"); } } internal_power () { related_pin : "SN"; when : "!CK & D & RN & !SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.445851,4.660131,4.830585,5.008599,5.129435,5.188840,5.199476", \ "4.427792,4.653253,4.819928,5.024791,5.122535,5.181402,5.196318", \ "4.404810,4.630246,4.782749,4.988562,5.084266,5.144338,5.161736", \ "4.412989,4.612758,4.766855,4.970366,5.047211,5.110628,5.128018", \ "4.507939,4.682257,4.835115,5.024610,5.090786,5.155884,5.180260", \ "4.666745,4.810748,4.934727,5.079419,5.155233,5.223267,5.252615", \ "4.826353,4.972590,5.102053,5.273472,5.354275,5.383912,5.392455"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D & RN & !SE & SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.446413,4.660763,4.831254,5.009781,5.130266,5.188752,5.204802", \ "4.432970,4.653883,4.820604,5.025539,5.123425,5.182402,5.197406", \ "4.405382,4.630866,4.783421,4.989324,5.085116,5.145307,5.162821", \ "4.413544,4.613354,4.767490,4.971092,5.048028,5.111565,5.129078", \ "4.508446,4.682801,4.835707,5.025271,5.091565,5.156785,5.181269", \ "4.667160,4.811191,4.935218,5.079991,5.155895,5.224071,5.253569", \ "4.826615,4.972893,5.102405,5.273894,5.354814,5.384602,5.393326"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D & RN & SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.493688,4.707888,4.878295,5.056807,5.178265,5.236903,5.246851", \ "4.478009,4.699342,4.866500,5.071660,5.169575,5.228862,5.238686", \ "4.448730,4.676190,4.828594,5.034771,5.130987,5.190861,5.208274", \ "4.458716,4.658296,4.813756,5.017234,5.094264,5.157964,5.178148", \ "4.552801,4.725726,4.877519,5.070565,5.137599,5.203346,5.226680", \ "4.708845,4.867692,4.979563,5.143724,5.198700,5.267953,5.295278", \ "4.870259,5.015713,5.142038,5.312800,5.394698,5.405699,5.434667"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D & RN & SE & SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.446291,4.660630,4.831119,5.009615,5.130084,5.189523,5.200331", \ "4.432850,4.653750,4.820457,5.025374,5.123185,5.182141,5.197142", \ "4.405262,4.630733,4.783266,4.989124,5.084916,5.145081,5.162525", \ "4.413423,4.613225,4.767347,4.970905,5.047840,5.111352,5.128794", \ "4.508331,4.682679,4.835564,5.025109,5.091352,5.156539,5.181026", \ "4.667056,4.811094,4.935095,5.079832,5.155747,5.223891,5.253317", \ "4.826554,4.972818,5.102304,5.273780,5.354668,5.384443,5.393086"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D & !RN & !SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.211094,4.538028,4.796023,5.091709,5.425093,5.725209,6.090638", \ "4.181749,4.520844,4.763564,5.067439,5.383126,5.706311,6.052283", \ "4.128274,4.454267,4.698410,5.011404,5.341532,5.647439,6.008694", \ "4.148495,4.457399,4.690689,4.999990,5.326218,5.641171,6.000900", \ "4.305508,4.596118,4.797435,5.091011,5.415198,5.717689,6.111116", \ "4.474480,4.688538,4.878422,5.187418,5.585545,5.916063,6.322122", \ "4.846158,4.965356,5.090573,5.350679,5.724616,6.143624,6.581214"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.929575,6.271777,6.426884,6.545138,6.540491,6.696323,6.768281", \ "5.921872,6.265187,6.388890,6.543101,6.641395,6.663598,6.732541", \ "5.901341,6.245425,6.386613,6.505240,6.568609,6.723663,6.797376", \ "5.910319,6.253763,6.373733,6.491317,6.629645,6.647881,6.721916", \ "5.893817,6.236163,6.389085,6.498441,6.611829,6.633985,6.702501", \ "5.872634,6.209920,6.369739,6.493683,6.598254,6.622191,6.686312", \ "5.901562,6.242579,6.369026,6.445709,6.501056,6.655777,6.731633"); } } internal_power () { related_pin : "SN"; when : "CK & !D & !RN & !SE & SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.211097,4.538029,4.796026,5.091706,5.425090,5.725203,6.090634", \ "4.181752,4.520847,4.763566,5.067438,5.383118,5.706312,6.052268", \ "4.128277,4.454270,4.698412,5.011405,5.341532,5.647441,6.008695", \ "4.148498,4.457402,4.690691,4.999992,5.326216,5.641171,6.000890", \ "4.305527,4.596127,4.797438,5.091012,5.415196,5.717676,6.111113", \ "4.474484,4.688542,4.878425,5.187420,5.585547,5.916053,6.322123", \ "4.846163,4.965360,5.090573,5.350677,5.724616,6.143625,6.581201"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.929571,6.271772,6.426880,6.545132,6.540465,6.696309,6.768265", \ "5.921868,6.265181,6.388879,6.543096,6.641388,6.663578,6.732518", \ "5.901335,6.245418,6.386604,6.505208,6.568588,6.723655,6.797422", \ "5.910315,6.253758,6.373723,6.491306,6.629639,6.647863,6.721897", \ "5.893805,6.236157,6.389080,6.498433,6.611822,6.633964,6.702480", \ "5.872629,6.209913,6.369733,6.493677,6.598248,6.622173,6.686292", \ "5.901559,6.242575,6.369018,6.445693,6.501031,6.655763,6.731619"); } } internal_power () { related_pin : "SN"; when : "CK & !D & !RN & SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.211085,4.538020,4.796013,5.091704,5.425088,5.725212,6.090638", \ "4.181740,4.520834,4.763555,5.067432,5.383129,5.706305,6.052381", \ "4.128266,4.454259,4.698402,5.011395,5.341524,5.647432,6.008686", \ "4.148488,4.457390,4.690680,4.999981,5.326213,5.641165,6.000905", \ "4.305499,4.596112,4.797427,5.091002,5.415193,5.717697,6.111115", \ "4.474469,4.688529,4.878415,5.187411,5.585537,5.916068,6.322115", \ "4.846155,4.965348,5.090569,5.350676,5.724612,6.143617,6.581224"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.929545,6.271741,6.426846,6.545103,6.540482,6.696310,6.768273", \ "5.921843,6.265152,6.388858,6.543066,6.641368,6.663591,6.732534", \ "5.901314,6.245393,6.386579,6.505189,6.568591,6.723642,6.797411", \ "5.910289,6.253727,6.373701,6.491288,6.629618,6.647872,6.721910", \ "5.893778,6.236126,6.389047,6.498408,6.611801,6.633976,6.702498", \ "5.872604,6.209886,6.369702,6.493647,6.598224,6.622182,6.686306", \ "5.901532,6.242543,6.368992,6.445686,6.501047,6.655764,6.731621"); } } internal_power () { related_pin : "SN"; when : "CK & !D & !RN & SE & SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.211357,4.538335,4.796348,5.092407,5.425679,5.724254,6.090861", \ "4.182019,4.521161,4.763921,5.067735,5.383672,5.707077,6.053796", \ "4.128589,4.454627,4.698806,5.011874,5.342123,5.648269,6.010125", \ "4.148917,4.458325,4.691199,5.000317,5.326938,5.642145,6.002568", \ "4.306127,4.596769,4.798166,5.091760,5.417160,5.719278,6.113390", \ "4.476512,4.689476,4.879432,5.188624,5.586776,5.917624,6.324502", \ "4.850623,4.966615,5.091514,5.350750,5.726215,6.145578,6.584004"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.925399,6.268252,6.423257,6.541048,6.537298,6.690939,6.764810", \ "5.917586,6.261075,6.420323,6.538984,6.637547,6.655913,6.726669", \ "5.924210,6.267898,6.382305,6.501042,6.564648,6.717169,6.788056", \ "5.903138,6.249901,6.404457,6.486059,6.625499,6.644651,6.715341", \ "5.891752,6.241243,6.382915,6.497129,6.607476,6.624363,6.693326", \ "5.867294,6.211086,6.365232,6.483238,6.592721,6.616359,6.676171", \ "5.895585,6.236305,6.361888,6.510175,6.501348,6.649914,6.724140"); } } internal_power () { related_pin : "SN"; when : "CK & !D & RN & !SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.430870,4.595245,4.685479,4.801567,5.091198,6.389664,6.703556", \ "4.414057,4.574681,4.672251,4.815296,5.083829,6.381959,6.694891", \ "4.385890,4.550107,4.633815,4.776935,5.040259,6.339978,6.658590", \ "4.396257,4.536738,4.625080,4.770981,5.007474,6.309881,6.625417", \ "4.502230,4.612878,4.693930,4.775942,5.077667,6.376312,6.677835", \ "4.664031,4.761211,4.818458,4.883997,5.142757,6.445460,6.752611", \ "4.830455,4.914759,4.962064,5.067513,5.317061,6.551403,6.893035"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D & RN & !SE & SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.430718,4.595083,4.685296,4.801324,5.090961,6.389507,6.704181", \ "4.413904,4.574514,4.672071,4.815200,5.083603,6.381690,6.694582", \ "4.385738,4.549942,4.633638,4.776742,5.040028,6.339704,6.658299", \ "4.396108,4.536576,4.624907,4.770794,5.007248,6.309612,6.625128", \ "4.502091,4.612728,4.693771,4.825040,5.077281,6.375757,6.677573", \ "4.663917,4.761085,4.818326,4.883852,5.142565,6.445222,6.752347", \ "4.830378,4.914672,4.961970,5.067398,5.316911,6.551117,6.892797"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D & RN & SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.431243,4.595650,4.685935,4.802018,5.091701,6.390150,6.703358", \ "4.414429,4.575079,4.672671,4.815752,5.084330,6.382491,6.695389", \ "4.386253,4.550501,4.634222,4.777372,5.040755,6.340511,6.659053", \ "4.396600,4.537084,4.625465,4.771399,5.007945,6.310391,6.625859", \ "4.502531,4.613201,4.694268,4.825589,5.077894,6.376450,6.678287", \ "4.664252,4.761458,4.818716,4.884284,5.143116,6.445859,6.753609", \ "4.830575,4.914897,4.962214,5.067702,5.317305,6.551628,6.893303"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D & RN & SE & SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.431152,4.596040,4.688218,4.802191,5.091883,6.391241,6.706546", \ "4.412027,4.575053,4.672710,4.815912,5.084523,6.383596,6.698394", \ "4.386158,4.550558,4.634607,4.777602,5.041031,6.341648,6.662088", \ "4.396684,4.537241,4.625776,4.771787,5.008520,6.311700,6.628988", \ "4.502770,4.613490,4.694688,4.826112,5.078624,6.378001,6.681529", \ "4.664699,4.761919,4.819569,4.885079,5.144131,6.447691,6.756541", \ "4.831265,4.915640,4.963067,5.068718,5.318692,6.553881,6.896840"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D & !RN & !SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.211341,4.538318,4.796336,5.092387,5.425666,5.724231,6.090770", \ "4.182002,4.521148,4.763908,5.067720,5.383648,5.707072,6.053678", \ "4.128572,4.454612,4.698792,5.011863,5.342114,5.648265,6.010173", \ "4.148900,4.458310,4.691186,5.000307,5.326925,5.642139,6.002545", \ "4.306114,4.596758,4.798153,5.091749,5.417148,5.719251,6.113378", \ "4.476500,4.689467,4.879421,5.188613,5.586769,5.917602,6.324499", \ "4.850612,4.966607,5.091511,5.350735,5.726203,6.145574,6.583976"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.925384,6.268238,6.423248,6.541039,6.537260,6.690918,6.764786", \ "5.917569,6.261060,6.420314,6.538975,6.637537,6.655885,6.726638", \ "5.924198,6.267887,6.382287,6.501025,6.564619,6.717160,6.788045", \ "5.903122,6.249974,6.403872,6.486039,6.625490,6.644624,6.715312", \ "5.891738,6.241230,6.382904,6.497119,6.607467,6.624334,6.693295", \ "5.867277,6.211070,6.365220,6.483227,6.592713,6.616330,6.676142", \ "5.895570,6.236292,6.361871,6.510168,6.501310,6.649891,6.723034"); } } internal_power () { related_pin : "SN"; when : "CK & D & !RN & !SE & SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.211348,4.538325,4.796341,5.092395,5.425670,5.724242,6.090778", \ "4.182010,4.521154,4.763913,5.067726,5.383655,5.707075,6.053686", \ "4.128580,4.454619,4.698798,5.011867,5.342117,5.648267,6.010123", \ "4.148908,4.458341,4.691191,5.000311,5.326930,5.642141,6.002551", \ "4.306119,4.596764,4.798159,5.091754,5.417152,5.719258,6.113382", \ "4.476506,4.689472,4.879426,5.188618,5.586772,5.917608,6.324501", \ "4.850620,4.966611,5.091516,5.350740,5.726207,6.145576,6.583983"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.925391,6.268244,6.423252,6.541043,6.537269,6.690925,6.764794", \ "5.917576,6.261066,6.420318,6.538979,6.637541,6.655893,6.726647", \ "5.924204,6.267892,6.382293,6.501030,6.564627,6.717164,6.788050", \ "5.903129,6.249892,6.404452,6.486045,6.625494,6.644631,6.715321", \ "5.891745,6.241235,6.382908,6.497124,6.607471,6.624342,6.693304", \ "5.867284,6.211076,6.365224,6.483231,6.592716,6.616338,6.676150", \ "5.895577,6.236298,6.361877,6.510171,6.501320,6.649898,6.723042"); } } internal_power () { related_pin : "SN"; when : "CK & D & !RN & SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.211085,4.538018,4.796013,5.091698,5.425082,5.725202,6.090627", \ "4.181740,4.520834,4.763554,5.067428,5.383115,5.706305,6.052359", \ "4.128265,4.454258,4.698400,5.011394,5.341522,5.647433,6.008687", \ "4.148487,4.457390,4.690680,4.999981,5.326208,5.641164,6.000891", \ "4.305516,4.596115,4.797427,5.091001,5.415189,5.717679,6.111109", \ "4.474470,4.688531,4.878415,5.187411,5.585537,5.916054,6.322115", \ "4.846155,4.965351,5.090567,5.350671,5.724609,6.143617,6.581205"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.929546,6.271742,6.426849,6.545104,6.540458,6.696299,6.768259", \ "5.921843,6.265152,6.388852,6.543067,6.641367,6.663573,6.732515", \ "5.901312,6.245391,6.386576,6.505183,6.568576,6.723640,6.797409", \ "5.910437,6.253728,6.372583,6.491282,6.629617,6.647856,6.721893", \ "5.893779,6.236127,6.389049,6.498406,6.611800,6.633958,6.702478", \ "5.872604,6.209884,6.369702,6.493648,6.598225,6.622165,6.686288", \ "5.901534,6.242545,6.368989,6.445673,6.501023,6.655753,6.731611"); } } internal_power () { related_pin : "SN"; when : "CK & D & !RN & SE & SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.211363,4.538340,4.796352,5.092412,5.425683,5.724263,6.090794", \ "4.182025,4.521166,4.763925,5.067740,5.383679,5.707079,6.053718", \ "4.128595,4.454632,4.698810,5.011878,5.342126,5.648271,6.010177", \ "4.148923,4.458329,4.691203,5.000321,5.326942,5.642146,6.002574", \ "4.306130,4.596773,4.798171,5.091763,5.417164,5.719286,6.113393", \ "4.476516,4.689480,4.879436,5.188628,5.586779,5.917630,6.324504", \ "4.850626,4.966617,5.091529,5.350755,5.726218,6.145580,6.584011"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.925404,6.268256,6.423261,6.541052,6.537309,6.690945,6.764818", \ "5.917591,6.261080,6.420327,6.538988,6.637550,6.655922,6.726678", \ "5.924214,6.267901,6.382311,6.501048,6.564656,6.717172,6.788061", \ "5.903143,6.249905,6.404461,6.486065,6.625502,6.644659,6.715350", \ "5.891758,6.241247,6.382919,6.497136,6.607479,6.624372,6.693335", \ "5.867299,6.211091,6.365236,6.483243,6.592724,6.616367,6.676179", \ "5.895589,6.236309,6.361894,6.510178,6.501359,6.649921,6.723064"); } } internal_power () { related_pin : "SN"; when : "CK & D & RN & !SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.431293,4.596232,4.688575,4.802363,5.092044,6.391526,6.705507", \ "4.414495,4.575204,4.672871,4.816196,5.084728,6.383807,6.698670", \ "4.386291,4.550699,4.634772,4.777809,5.041215,6.341854,6.662393", \ "4.396813,4.537380,4.625934,4.771968,5.008690,6.311959,6.629264", \ "4.502874,4.613609,4.694828,4.826270,5.078813,6.378216,6.681764", \ "4.664794,4.762012,4.819672,4.885231,5.144259,6.447854,6.756791", \ "4.831314,4.915702,4.963153,5.068820,5.318808,6.553941,6.897071"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D & RN & !SE & SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.431864,4.596854,4.689214,4.803130,5.092903,6.392412,6.706712", \ "4.415060,4.575818,4.673535,4.816929,5.085599,6.384821,6.699765", \ "4.386856,4.551305,4.635432,4.778559,5.042049,6.342807,6.663485", \ "4.397353,4.537965,4.626562,4.772685,5.009491,6.312882,6.630332", \ "4.503373,4.614146,4.695412,4.826916,5.079578,6.379104,6.682785", \ "4.665203,4.762449,4.820157,4.885791,5.144920,6.448650,6.757735", \ "4.831576,4.916005,4.963501,5.069237,5.319340,6.554710,6.897931"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D & RN & SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.430730,4.595101,4.685345,4.801408,5.090971,6.389482,6.702602", \ "4.412163,4.574529,4.672087,4.815111,5.083604,6.381699,6.694483", \ "4.385752,4.549957,4.633654,4.776744,5.040042,6.339695,6.658178", \ "4.396121,4.536590,4.624920,4.770786,5.007259,6.309599,6.625000", \ "4.502100,4.612736,4.693774,4.825042,5.077266,6.375713,6.677443", \ "4.663912,4.761083,4.818314,4.883828,5.142553,6.445177,6.752179", \ "4.830360,4.914650,4.961938,5.067363,5.316866,6.551043,6.892602"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D & RN & SE & SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.431753,4.596676,4.688804,4.803002,5.092737,6.392208,6.708097", \ "4.414952,4.575696,4.673395,4.816775,5.085405,6.384578,6.699453", \ "4.386740,4.551177,4.635292,4.778368,5.041875,6.342606,6.663142", \ "4.397243,4.537827,4.626429,4.772501,5.009327,6.312626,6.630003", \ "4.503264,4.614028,4.695275,4.826761,5.079388,6.378877,6.682501", \ "4.665104,4.762354,4.820035,4.885637,5.144783,6.448480,6.757899", \ "4.831516,4.915932,4.963403,5.069127,5.319212,6.554471,6.897693"); } rise_power(scalar) {values ("0.0"); } } } } /****************************************************************************************** Module : SDFFR_X1 Cell Description : Pos.edge D-Flip-Flop with active low reset, and active high scan, and drive strength X1 *******************************************************************************************/ cell (SDFFR_X1) { drive_strength : 1; ff ("IQ" , "IQN") { next_state : "((SE * SI) + (D * !SE))"; clocked_on : "CK"; clear : "!RN"; } area : 6.650000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 68.510058; leakage_power () { when : "!CK & !D & !RN & !SE & !SI & !Q & QN"; value : 58.814880; } leakage_power () { when : "!CK & !D & !RN & !SE & SI & !Q & QN"; value : 65.847369; } leakage_power () { when : "!CK & !D & !RN & SE & !SI & !Q & QN"; value : 56.230699; } leakage_power () { when : "!CK & !D & !RN & SE & SI & !Q & QN"; value : 66.797141; } leakage_power () { when : "!CK & !D & RN & !SE & !SI & !Q & QN"; value : 66.764148; } leakage_power () { when : "!CK & !D & RN & !SE & !SI & Q & !QN"; value : 72.040989; } leakage_power () { when : "!CK & !D & RN & !SE & SI & !Q & QN"; value : 73.796732; } leakage_power () { when : "!CK & !D & RN & !SE & SI & Q & !QN"; value : 79.073573; } leakage_power () { when : "!CK & !D & RN & SE & !SI & !Q & QN"; value : 64.180062; } leakage_power () { when : "!CK & !D & RN & SE & !SI & Q & !QN"; value : 69.456808; } leakage_power () { when : "!CK & !D & RN & SE & SI & !Q & QN"; value : 70.514329; } leakage_power () { when : "!CK & !D & RN & SE & SI & Q & !QN"; value : 69.798295; } leakage_power () { when : "!CK & D & !RN & !SE & !SI & !Q & QN"; value : 70.048639; } leakage_power () { when : "!CK & D & !RN & !SE & SI & !Q & QN"; value : 71.095397; } leakage_power () { when : "!CK & D & !RN & SE & !SI & !Q & QN"; value : 65.548755; } leakage_power () { when : "!CK & D & !RN & SE & SI & !Q & QN"; value : 68.160343; } leakage_power () { when : "!CK & D & RN & !SE & !SI & !Q & QN"; value : 73.765828; } leakage_power () { when : "!CK & D & RN & !SE & !SI & Q & !QN"; value : 73.049794; } leakage_power () { when : "!CK & D & RN & !SE & SI & !Q & QN"; value : 74.812585; } leakage_power () { when : "!CK & D & RN & !SE & SI & Q & !QN"; value : 74.096552; } leakage_power () { when : "!CK & D & RN & SE & !SI & !Q & QN"; value : 73.498023; } leakage_power () { when : "!CK & D & RN & SE & !SI & Q & !QN"; value : 78.774864; } leakage_power () { when : "!CK & D & RN & SE & SI & !Q & QN"; value : 71.877627; } leakage_power () { when : "!CK & D & RN & SE & SI & Q & !QN"; value : 71.161498; } leakage_power () { when : "CK & !D & !RN & !SE & !SI & !Q & QN"; value : 58.848766; } leakage_power () { when : "CK & !D & !RN & !SE & SI & !Q & QN"; value : 65.880116; } leakage_power () { when : "CK & !D & !RN & SE & !SI & !Q & QN"; value : 56.264016; } leakage_power () { when : "CK & !D & !RN & SE & SI & !Q & QN"; value : 57.771267; } leakage_power () { when : "CK & !D & RN & !SE & !SI & !Q & QN"; value : 64.668333; } leakage_power () { when : "CK & !D & RN & !SE & !SI & Q & !QN"; value : 74.713330; } leakage_power () { when : "CK & !D & RN & !SE & SI & !Q & QN"; value : 71.699682; } leakage_power () { when : "CK & !D & RN & !SE & SI & Q & !QN"; value : 81.744298; } leakage_power () { when : "CK & !D & RN & SE & !SI & !Q & QN"; value : 62.083678; } leakage_power () { when : "CK & !D & RN & SE & !SI & Q & !QN"; value : 72.128484; } leakage_power () { when : "CK & !D & RN & SE & SI & !Q & QN"; value : 63.591584; } leakage_power () { when : "CK & !D & RN & SE & SI & Q & !QN"; value : 67.657290; } leakage_power () { when : "CK & D & !RN & !SE & !SI & !Q & QN"; value : 61.023136; } leakage_power () { when : "CK & D & !RN & !SE & SI & !Q & QN"; value : 62.070188; } leakage_power () { when : "CK & D & !RN & SE & !SI & !Q & QN"; value : 65.579887; } leakage_power () { when : "CK & D & !RN & SE & SI & !Q & QN"; value : 59.135125; } leakage_power () { when : "CK & D & RN & !SE & !SI & !Q & QN"; value : 66.843453; } leakage_power () { when : "CK & D & RN & !SE & !SI & Q & !QN"; value : 70.909064; } leakage_power () { when : "CK & D & RN & !SE & SI & !Q & QN"; value : 67.890505; } leakage_power () { when : "CK & D & RN & !SE & SI & Q & !QN"; value : 71.956021; } leakage_power () { when : "CK & D & RN & SE & !SI & !Q & QN"; value : 71.399549; } leakage_power () { when : "CK & D & RN & SE & !SI & Q & !QN"; value : 81.443690; } leakage_power () { when : "CK & D & RN & SE & SI & !Q & QN"; value : 64.955442; } leakage_power () { when : "CK & D & RN & SE & SI & Q & !QN"; value : 69.020958; } pin (D) { direction : input; nextstate_type : data; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.129074; fall_capacitance : 1.058864; rise_capacitance : 1.129074; timing () { related_pin : "CK"; timing_type : hold_rising; when : "RN & !SE"; sdf_cond : "RN_AND_NEG_SE === 1'b1"; fall_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.069272,-0.015884,0.041444", \ "-0.108294,-0.053453,0.008402", \ "0.160420,0.219045,0.285763"); } rise_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.022244,0.017766,0.050639", \ "-0.025191,0.012744,0.026150", \ "0.117204,0.157860,0.149554"); } } timing () { related_pin : "CK"; timing_type : setup_rising; when : "RN & !SE"; sdf_cond : "RN_AND_NEG_SE === 1'b1"; fall_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.241841,0.207493,0.216506", \ "0.299627,0.264806,0.274291", \ "0.455501,0.419808,0.426914"); } rise_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.227781,0.169219,0.101639", \ "0.272272,0.214094,0.146698", \ "0.340339,0.281719,0.215027"); } } internal_power () { when : "!CK & !RN & !SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.824945,3.807329,3.782765,3.770254,3.777692,3.828232,3.945298"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.108723,3.085961,3.057613,3.034545,3.033965,3.087132,3.199651"); } } internal_power () { when : "!CK & !RN & !SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.818093,3.799009,3.773473,3.760776,3.768773,3.818866,3.936192"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.091479,3.069574,3.039677,3.016655,3.014012,3.072605,3.187510"); } } internal_power () { when : "!CK & !RN & SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.547233,0.524011,0.515337,0.511751,0.509016,0.508702,0.508802"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.446963,-0.449054,-0.453123,-0.456713,-0.458945,-0.460560,-0.461297"); } } internal_power () { when : "!CK & !RN & SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.480332,0.483272,0.484255,0.484817,0.485109,0.486409,0.485258"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.329012,-0.361273,-0.400353,-0.419990,-0.430389,-0.436660,-0.441384"); } } internal_power () { when : "!CK & RN & !SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.009398,4.991286,4.966100,4.952681,4.959929,5.005999,5.138234"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.427692,3.405256,3.376965,3.354056,3.353533,3.397680,3.516564"); } } internal_power () { when : "!CK & RN & !SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.976672,4.957506,4.935067,4.922202,4.927257,4.974181,5.106582"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.428024,3.408090,3.380389,3.356975,3.357771,3.401723,3.518445"); } } internal_power () { when : "!CK & RN & !SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.001498,4.983182,4.957882,4.944290,4.951238,4.996433,5.126660"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.430134,3.407734,3.379466,3.356494,3.355652,3.400803,3.517955"); } } internal_power () { when : "!CK & RN & !SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.967900,4.948667,4.926031,4.911778,4.917905,4.964770,5.096330"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.427952,3.407846,3.379928,3.357057,3.356789,3.401872,3.518711"); } } internal_power () { when : "!CK & RN & SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.543367,0.523078,0.514401,0.510393,0.508023,0.507794,0.507870"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.448656,-0.451775,-0.455843,-0.459427,-0.461652,-0.463248,-0.463964"); } } internal_power () { when : "!CK & RN & SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.547248,0.524076,0.515396,0.511802,0.509011,0.508794,0.508827"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.446250,-0.448989,-0.453263,-0.456937,-0.459142,-0.460849,-0.461621"); } } internal_power () { when : "!CK & RN & SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.479975,0.482915,0.483899,0.484464,0.484761,0.486069,0.484926"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.330145,-0.362401,-0.401480,-0.421112,-0.431505,-0.437765,-0.442510"); } } internal_power () { when : "!CK & RN & SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.480324,0.483261,0.484245,0.484808,0.485108,0.486388,0.485258"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.329196,-0.361458,-0.400537,-0.420170,-0.430564,-0.436828,-0.441577"); } } internal_power () { when : "CK & !RN & !SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.434809,2.415500,2.390273,2.379658,2.388952,2.455312,2.564685"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.150167,1.126631,1.100886,1.083677,1.088214,1.137183,1.255098"); } } internal_power () { when : "CK & !RN & !SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.425266,2.406721,2.381404,2.370126,2.379618,2.446354,2.554487"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.150840,1.126520,1.100815,1.083649,1.087110,1.138978,1.257255"); } } internal_power () { when : "CK & !RN & SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.543220,0.522906,0.513870,0.510656,0.507959,0.507667,0.507818"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.449306,-0.452082,-0.456379,-0.460036,-0.462258,-0.463943,-0.464718"); } } internal_power () { when : "CK & !RN & SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.479445,0.482696,0.483267,0.484154,0.484518,0.485714,0.484666"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.330729,-0.362987,-0.402082,-0.421703,-0.432061,-0.438320,-0.443030"); } } internal_power () { when : "CK & RN & !SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.433734,2.414755,2.389721,2.377900,2.388352,2.454749,2.564159"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.192388,1.170266,1.143847,1.125796,1.129444,1.179340,1.299392"); } } internal_power () { when : "CK & RN & !SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.394537,2.377013,2.353350,2.340042,2.352129,2.417989,2.525098"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.185963,1.164920,1.135640,1.117789,1.123974,1.171364,1.287955"); } } internal_power () { when : "CK & RN & !SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.425744,2.406833,2.381559,2.369540,2.379695,2.446235,2.554570"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.194905,1.172353,1.146328,1.127949,1.131990,1.182772,1.300615"); } } internal_power () { when : "CK & RN & !SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.385893,2.368188,2.344428,2.331006,2.342795,2.408266,2.515222"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.186282,1.165241,1.136386,1.117772,1.122938,1.172218,1.287241"); } } internal_power () { when : "CK & RN & SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.543203,0.522857,0.513797,0.510607,0.507888,0.507589,0.507720"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.450223,-0.452316,-0.456395,-0.459982,-0.462211,-0.463812,-0.464534"); } } internal_power () { when : "CK & RN & SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.546557,0.523325,0.514641,0.510584,0.508229,0.508070,0.508125"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.449512,-0.451206,-0.455483,-0.459152,-0.461352,-0.463044,-0.463800"); } } internal_power () { when : "CK & RN & SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.479768,0.482670,0.483339,0.484227,0.484586,0.485805,0.484743"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.330593,-0.362931,-0.401999,-0.421621,-0.432011,-0.438269,-0.442978"); } } internal_power () { when : "CK & RN & SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.480476,0.483387,0.484374,0.484933,0.485261,0.486494,0.485401"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.328692,-0.361007,-0.400072,-0.419697,-0.430090,-0.436358,-0.441078"); } } } pin (RN) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.490408; fall_capacitance : 1.456233; rise_capacitance : 1.490408; timing () { related_pin : "CK"; timing_type : recovery_rising; rise_constraint(Recovery_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.101682,-0.155655,-0.223225", \ "-0.061039,-0.115783,-0.183141", \ "-0.021331,-0.077404,-0.145575"); } } timing () { related_pin : "CK"; timing_type : removal_rising; rise_constraint(Removal_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.277565,0.329630,0.392533", \ "0.415798,0.467524,0.531410", \ "0.900306,0.952349,1.015251"); } } timing () { related_pin : "RN"; timing_type : min_pulse_width; fall_constraint(Pulse_width_3) { index_1 ("0.00231025,0.112657,0.500000"); values ("0.292635,0.391759,0.746220"); } } internal_power () { when : "!CK & !D & !SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.516390,0.501421,0.490789,0.489440,0.487359,0.487282,0.486075"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.449117,-0.452316,-0.447618,-0.457576,-0.459702,-0.460577,-0.459620"); } } internal_power () { when : "!CK & !D & !SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.521478,0.501269,0.490816,0.489357,0.487258,0.487112,0.485710"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.452557,-0.455460,-0.451116,-0.457025,-0.460839,-0.463067,-0.463186"); } } internal_power () { when : "!CK & !D & SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.516358,0.501377,0.490753,0.489404,0.487355,0.487237,0.486073"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.449137,-0.452367,-0.447669,-0.457626,-0.459752,-0.460628,-0.459671"); } } internal_power () { when : "!CK & !D & SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.742166,1.722361,1.702738,1.696297,1.709043,1.765096,1.826594"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.106585,-0.108257,-0.112023,-0.116382,-0.116858,-0.109592,-0.082693"); } } internal_power () { when : "!CK & D & !SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.742210,1.722422,1.702789,1.696348,1.709048,1.765161,1.830939"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.106549,-0.108176,-0.111943,-0.116301,-0.116778,-0.109513,-0.082614"); } } internal_power () { when : "!CK & D & !SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.742201,1.722410,1.702779,1.696338,1.709047,1.765149,1.830939"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.106554,-0.108191,-0.111957,-0.116315,-0.116792,-0.109528,-0.082629"); } } internal_power () { when : "!CK & D & SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.516392,0.501425,0.490793,0.489444,0.487358,0.487288,0.486075"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.449114,-0.452309,-0.447611,-0.457568,-0.459694,-0.460570,-0.459613"); } } internal_power () { when : "!CK & D & SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.742154,1.722345,1.702725,1.696283,1.709041,1.765079,1.826593"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.106593,-0.108276,-0.112043,-0.116401,-0.116877,-0.109612,-0.082713"); } } internal_power () { when : "CK & !D & !SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.476841,0.472781,0.469458,0.469273,0.469335,0.469637,0.468372"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.446327,-0.447471,-0.450022,-0.451291,-0.452119,-0.452507,-0.451685"); } } internal_power () { when : "CK & !D & !SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.476625,0.472578,0.469220,0.469071,0.469113,0.469461,0.468171"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.447264,-0.448383,-0.450932,-0.452198,-0.453022,-0.453401,-0.452568"); } } internal_power () { when : "CK & !D & SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.475759,0.469163,0.468384,0.468160,0.468178,0.468525,0.467324"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.449799,-0.450014,-0.452575,-0.453621,-0.454001,-0.455098,-0.454413"); } } internal_power () { when : "CK & !D & SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.475308,0.471229,0.467986,0.467749,0.467884,0.468133,0.466970"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.450377,-0.451583,-0.454133,-0.455397,-0.456220,-0.456594,-0.455753"); } } internal_power () { when : "CK & D & !SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.475864,0.469404,0.468587,0.468397,0.468388,0.468774,0.467540"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.450980,-0.449711,-0.452275,-0.453317,-0.453691,-0.454783,-0.454090"); } } internal_power () { when : "CK & D & !SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.475995,0.471929,0.468634,0.468433,0.468522,0.468808,0.467580"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.448506,-0.449676,-0.452227,-0.453493,-0.454317,-0.454698,-0.453866"); } } internal_power () { when : "CK & D & SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.476662,0.472607,0.469278,0.469106,0.469171,0.469494,0.468236"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.447243,-0.448381,-0.450930,-0.452195,-0.453018,-0.453396,-0.452560"); } } internal_power () { when : "CK & D & SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.475197,0.471213,0.467985,0.467734,0.467881,0.468111,0.466969"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.452834,-0.451677,-0.454170,-0.455422,-0.456248,-0.456621,-0.455779"); } } } pin (SE) { direction : input; nextstate_type : scan_enable; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.953935; fall_capacitance : 1.812476; rise_capacitance : 1.953935; timing () { related_pin : "CK"; timing_type : hold_rising; when : "RN"; sdf_cond : "RN === 1'b1"; fall_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.047563,-0.008139,0.018773", \ "-0.106836,-0.066097,-0.035162", \ "0.166366,0.201623,0.195011"); } rise_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.060081,-0.011245,0.056206", \ "-0.084541,-0.029384,0.035480", \ "0.031296,0.093957,0.161309"); } } timing () { related_pin : "CK"; timing_type : setup_rising; when : "RN"; sdf_cond : "RN === 1'b1"; fall_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.272288,0.214488,0.179040", \ "0.336843,0.278406,0.232147", \ "0.469471,0.411442,0.386927"); } rise_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.268651,0.233543,0.241615", \ "0.304820,0.268953,0.277732", \ "0.334393,0.299142,0.305784"); } } internal_power () { when : "!CK & !D & !RN & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.820333,1.782348,1.770761,1.818362,1.915776,2.078785,2.313732"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.029347,-0.052974,-0.075936,-0.058153,0.028245,0.178207,0.409577"); } } internal_power () { when : "!CK & !D & !RN & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.501699,4.431415,4.387079,4.459845,4.640187,4.949905,5.392413"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.627888,2.604817,2.557997,2.567831,2.697723,2.984659,3.421868"); } } internal_power () { when : "!CK & !D & RN & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.819874,1.781416,1.769765,1.816708,1.914870,2.077877,2.313071"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.035485,-0.055710,-0.078674,-0.056417,0.024053,0.175477,0.407065"); } } internal_power () { when : "!CK & !D & RN & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.820990,1.782562,1.770326,1.818640,1.915994,2.079104,2.314328"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.028231,-0.046116,-0.069434,-0.054435,0.031944,0.180557,0.409400"); } } internal_power () { when : "!CK & !D & RN & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.683968,5.611026,5.572348,5.638040,5.821588,6.126507,6.597688"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.984844,2.962658,2.914899,2.926111,3.053376,3.328437,3.769815"); } } internal_power () { when : "!CK & !D & RN & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.653877,5.579194,5.536648,5.620292,5.790761,6.096061,6.565545"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.963185,2.941223,2.893884,2.905617,3.033434,3.309716,3.751650"); } } internal_power () { when : "!CK & D & !RN & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.717572,4.682509,4.694745,4.782245,4.930796,5.142696,5.450759"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.205572,3.204341,3.152227,3.132487,3.182163,3.319071,3.550332"); } } internal_power () { when : "!CK & D & !RN & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.687222,1.650003,1.640707,1.690501,1.791724,1.954318,2.187825"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.034416,0.016838,-0.003153,0.014110,0.093368,0.243699,0.475223"); } } internal_power () { when : "!CK & D & RN & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.076496,5.041634,5.054006,5.140754,5.288934,5.502535,5.796005"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.387153,4.381702,4.331654,4.313789,4.367755,4.497670,4.756673"); } } internal_power () { when : "!CK & D & RN & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.111367,5.076407,5.088669,5.176781,5.320643,5.537054,5.831121"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.411853,4.406556,4.356016,4.334061,4.385265,4.518567,4.775771"); } } internal_power () { when : "!CK & D & RN & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.686888,1.649679,1.640370,1.689751,1.791333,1.954992,2.187506"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.033272,0.015711,-0.004303,0.012977,0.092226,0.242557,0.474127"); } } internal_power () { when : "!CK & D & RN & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.687644,1.650035,1.640718,1.690492,1.791729,1.954293,2.187829"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.034251,0.016651,-0.003347,0.013912,0.093185,0.243514,0.475036"); } } internal_power () { when : "CK & !D & !RN & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.819245,1.781421,1.770555,1.817958,1.915872,2.078883,2.313262"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.025976,-0.049086,-0.069763,-0.054682,0.031535,0.180250,0.408201"); } } internal_power () { when : "CK & !D & !RN & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.101665,3.032621,2.992118,3.067734,3.251423,3.581006,4.017132"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.680799,0.658634,0.616790,0.636205,0.770140,1.051093,1.491033"); } } internal_power () { when : "CK & !D & RN & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.820347,1.780261,1.769002,1.817094,1.914633,2.077440,2.312928"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.036387,-0.056318,-0.079064,-0.061380,0.019903,0.174827,0.403679"); } } internal_power () { when : "CK & !D & RN & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.818544,1.781611,1.770223,1.816834,1.915120,2.078216,2.312544"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.028667,-0.051300,-0.074964,-0.056891,0.024314,0.178194,0.406052"); } } internal_power () { when : "CK & !D & RN & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.101480,3.032024,2.992164,3.067790,3.251393,3.580965,4.017183"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.750537,0.726696,0.684130,0.702665,0.835374,1.112116,1.553600"); } } internal_power () { when : "CK & !D & RN & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.061356,2.993177,2.951601,3.027973,3.219544,3.540841,3.984170"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.718674,0.696841,0.654204,0.671305,0.803410,1.080461,1.518901"); } } internal_power () { when : "CK & D & !RN & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.846289,2.811225,2.822904,2.910835,3.059629,3.273129,3.564001"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.732284,1.738704,1.715897,1.715556,1.780622,1.931344,2.155598"); } } internal_power () { when : "CK & D & !RN & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.689929,1.653056,1.643820,1.693667,1.795658,1.956707,2.189506"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.102424,-0.120310,-0.140211,-0.124163,-0.046000,0.102046,0.330651"); } } internal_power () { when : "CK & D & RN & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.837874,2.803493,2.814754,2.903921,3.050890,3.268506,3.561655"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.814902,1.812051,1.759972,1.738093,1.792029,1.933981,2.157172"); } } internal_power () { when : "CK & D & RN & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.875507,2.838708,2.852832,2.940659,3.087201,3.302001,3.595391"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.795241,1.791572,1.738743,1.717565,1.769568,1.913471,2.139424"); } } internal_power () { when : "CK & D & RN & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.686793,1.649291,1.640082,1.689931,1.791217,1.955294,2.186846"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.032886,0.015209,-0.004806,0.012483,0.090709,0.242124,0.473638"); } } internal_power () { when : "CK & D & RN & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.687564,1.649770,1.641093,1.690631,1.791847,1.954862,2.185742"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.034782,0.017133,-0.002881,0.014413,0.092634,0.243880,0.475494"); } } } pin (SI) { direction : input; nextstate_type : scan_in; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 0.858209; fall_capacitance : 0.819206; rise_capacitance : 0.858209; timing () { related_pin : "CK"; timing_type : hold_rising; when : "RN & SE"; sdf_cond : "RN_AND_SE === 1'b1"; fall_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.081176,-0.027902,0.029178", \ "-0.112409,-0.056060,0.006962", \ "0.139713,0.197631,0.264709"); } rise_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.023400,0.016729,0.049121", \ "-0.026780,0.011306,0.025507", \ "0.135319,0.178310,0.170107"); } } timing () { related_pin : "CK"; timing_type : setup_rising; when : "RN & SE"; sdf_cond : "RN_AND_SE === 1'b1"; fall_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.276579,0.241449,0.249339", \ "0.334403,0.298799,0.306975", \ "0.498918,0.462304,0.468654"); } rise_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.232649,0.174442,0.106838", \ "0.282056,0.223505,0.156661", \ "0.361040,0.303127,0.236074"); } } internal_power () { when : "!CK & !D & !RN & !SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.412729,0.398242,0.390875,0.387700,0.385410,0.385068,0.384106"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.341321,-0.343444,-0.346630,-0.348296,-0.349258,-0.349915,-0.350133"); } } internal_power () { when : "!CK & !D & !RN & SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.794761,3.783089,3.765091,3.753189,3.759518,3.789659,3.874799"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.764081,2.747607,2.728608,2.711394,2.706090,2.739290,2.814701"); } } internal_power () { when : "!CK & !D & RN & !SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.414093,0.397309,0.389938,0.386314,0.384414,0.384161,0.383174"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.344065,-0.346171,-0.349349,-0.351010,-0.351965,-0.352604,-0.352799"); } } internal_power () { when : "!CK & !D & RN & !SE & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.412926,0.398425,0.390549,0.387876,0.385520,0.385275,0.384221"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.341333,-0.343310,-0.346511,-0.348179,-0.349191,-0.349870,-0.350256"); } } internal_power () { when : "!CK & !D & RN & SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.972876,4.961371,4.943377,4.933609,4.940866,4.968870,5.051610"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.083480,3.066310,3.048131,3.030988,3.025790,3.053102,3.131323"); } } internal_power () { when : "!CK & !D & RN & SE & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.942998,4.931369,4.913232,4.902072,4.905969,4.936555,5.020017"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.086135,3.069776,3.051031,3.034338,3.027047,3.056371,3.133023"); } } internal_power () { when : "!CK & D & !RN & !SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.357493,0.360923,0.362033,0.361778,0.361322,0.361620,0.361508"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.249333,-0.272344,-0.301705,-0.316216,-0.323813,-0.328468,-0.331655"); } } internal_power () { when : "!CK & D & !RN & SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.783862,3.772155,3.754003,3.741815,3.746980,3.778560,3.861751"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.766661,2.750178,2.731190,2.712877,2.708713,2.741685,2.815490"); } } internal_power () { when : "!CK & D & RN & !SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.357133,0.360566,0.361679,0.360969,0.360928,0.361280,0.361177"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.250467,-0.273478,-0.302836,-0.317343,-0.324935,-0.329578,-0.332749"); } } internal_power () { when : "!CK & D & RN & !SE & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.357483,0.360912,0.362025,0.361770,0.361321,0.361609,0.361508"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.249517,-0.272535,-0.301893,-0.316402,-0.323994,-0.328641,-0.331856"); } } internal_power () { when : "!CK & D & RN & SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.961274,4.949746,4.931587,4.921625,4.925392,4.955868,5.037778"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.086056,3.068795,3.050504,3.033568,3.028325,3.055178,3.133916"); } } internal_power () { when : "!CK & D & RN & SE & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.930991,4.919314,4.901027,4.889596,4.892662,4.923162,5.005421"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.100654,3.084004,3.065550,3.047983,3.044652,3.069739,3.145186"); } } internal_power () { when : "CK & !D & !RN & !SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.412797,0.398249,0.390476,0.387709,0.385433,0.385078,0.384124"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.341570,-0.343625,-0.346827,-0.348496,-0.349507,-0.350183,-0.350564"); } } internal_power () { when : "CK & !D & !RN & SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.399776,2.389435,2.370243,2.357974,2.367983,2.417485,2.496031"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.852788,0.834304,0.818984,0.804501,0.803785,0.836825,0.919861"); } } internal_power () { when : "CK & !D & RN & !SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.411585,0.397088,0.389306,0.386555,0.384285,0.383958,0.383027"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.344590,-0.346724,-0.349905,-0.351569,-0.352528,-0.353172,-0.353373"); } } internal_power () { when : "CK & !D & RN & !SE & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.415408,0.397554,0.390174,0.386513,0.384620,0.384438,0.383409"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.343852,-0.345835,-0.349034,-0.350700,-0.351707,-0.352375,-0.352745"); } } internal_power () { when : "CK & !D & RN & SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.398617,2.388287,2.369091,2.356855,2.366886,2.416194,2.494314"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.852795,0.834312,0.819006,0.804525,0.803218,0.836791,0.916695"); } } internal_power () { when : "CK & !D & RN & SE & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.363284,2.350908,2.332482,2.321750,2.329918,2.378124,2.451956"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.845648,0.828911,0.811640,0.799386,0.797179,0.829390,0.907242"); } } internal_power () { when : "CK & D & !RN & !SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.357574,0.360949,0.361726,0.361837,0.361462,0.361651,0.361651"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.249054,-0.271014,-0.301502,-0.316075,-0.323614,-0.328043,-0.331203"); } } internal_power () { when : "CK & D & !RN & SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.387398,2.376772,2.357679,2.345021,2.354671,2.403559,2.480847"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.808325,0.791645,0.774330,0.762761,0.761453,0.795542,0.873517"); } } internal_power () { when : "CK & D & RN & !SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.356906,0.360321,0.361063,0.361188,0.360799,0.361014,0.360993"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.250927,-0.273990,-0.303356,-0.317846,-0.325435,-0.330078,-0.333249"); } } internal_power () { when : "CK & D & RN & !SE & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.357615,0.361041,0.362153,0.361895,0.361474,0.361714,0.361651"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.249025,-0.272065,-0.301436,-0.315922,-0.323514,-0.328167,-0.331349"); } } internal_power () { when : "CK & D & RN & SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.387308,2.376932,2.357591,2.345081,2.354714,2.403617,2.481545"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.855408,0.836866,0.821775,0.807111,0.805659,0.839295,0.919050"); } } internal_power () { when : "CK & D & RN & SE & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.351231,2.338767,2.319834,2.309593,2.317676,2.364707,2.437894"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.858102,0.841554,0.824204,0.811913,0.809699,0.841632,0.918585"); } } } pin (CK) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock : true; capacitance : 0.987973; fall_capacitance : 0.898768; rise_capacitance : 0.987973; timing () { related_pin : "CK"; timing_type : min_pulse_width; when : "RN"; sdf_cond : "RN === 1'b1"; fall_constraint(Pulse_width_3) { index_1 ("0.00231025,0.112657,0.500000"); values ("0.257223,0.267005,0.500500"); } rise_constraint(Pulse_width_3) { index_1 ("0.00231025,0.112657,0.500000"); values ("0.227305,0.231627,0.500500"); } } internal_power () { when : "!D & !RN & !SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.265169,3.240118,3.210673,3.228231,3.305772,3.463667,3.678391"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.245930,2.233731,2.201436,2.196148,2.247515,2.372931,2.580153"); } } internal_power () { when : "!D & !RN & !SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.256820,3.231004,3.201696,3.219368,3.295986,3.446706,3.665139"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.411128,2.398911,2.365822,2.356116,2.411710,2.531643,2.744034"); } } internal_power () { when : "!D & !RN & SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.265061,3.239998,3.210588,3.228127,3.305864,3.463575,3.678309"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.245920,2.233697,2.201408,2.196112,2.247478,2.372953,2.580096"); } } internal_power () { when : "!D & !RN & SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.931330,4.906127,4.876057,4.898094,4.989365,5.148672,5.389967"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("6.556655,6.542372,6.514455,6.522382,6.587386,6.725382,6.964601"); } } internal_power () { when : "!D & RN & !SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.277242,3.252910,3.222732,3.241174,3.320477,3.475813,3.695325"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.266179,2.254475,2.221936,2.215557,2.267595,2.391405,2.600344"); } } internal_power () { when : "!D & RN & !SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.518845,5.490760,5.460307,5.475223,5.554261,5.711055,5.950808"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "!D & RN & !SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.277268,3.252951,3.222767,3.241179,3.320481,3.475857,3.697199"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.266195,2.254520,2.221986,2.215612,2.267653,2.391458,2.600186"); } } internal_power () { when : "!D & RN & !SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.518559,5.489864,5.459791,5.474318,5.553370,5.710168,5.952471"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "!D & RN & SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.277170,3.252816,3.222630,3.241144,3.320136,3.475733,3.695284"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.266157,2.254444,2.221906,2.215516,2.267560,2.391373,2.600279"); } } internal_power () { when : "!D & RN & SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.518505,5.489765,5.455646,5.474246,5.555124,5.710543,5.950346"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "!D & RN & SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.374734,5.346726,5.319442,5.340119,5.420274,5.571196,5.796278"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "!D & RN & SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.301790,3.275410,3.245990,3.264057,3.344894,3.501048,3.721858"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.172411,2.164536,2.131038,2.124877,2.177782,2.302769,2.508622"); } } internal_power () { when : "D & !RN & !SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.931458,4.905590,4.876197,4.898064,4.989369,5.148768,5.389969"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("6.557476,6.542242,6.514631,6.522368,6.587386,6.725410,6.964644"); } } internal_power () { when : "D & !RN & !SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.931432,4.905587,4.876184,4.898051,4.989649,5.148754,5.389965"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("6.556390,6.542229,6.514615,6.522354,6.587293,6.725395,6.964630"); } } internal_power () { when : "D & !RN & SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.265090,3.240053,3.210633,3.228016,3.305874,3.463633,3.678367"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.245940,2.233757,2.201469,2.195740,2.247546,2.373030,2.580205"); } } internal_power () { when : "D & !RN & SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.931313,4.905756,4.876042,4.898096,4.990046,5.148653,5.389961"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("6.556650,6.542353,6.514435,6.522363,6.587289,6.725361,6.964581"); } } internal_power () { when : "D & RN & !SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.376909,5.346811,5.317118,5.340200,5.420358,5.571268,5.796363"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & RN & !SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.301420,3.275469,3.246040,3.264106,3.344895,3.501107,3.721861"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.177305,2.164589,2.130306,2.124951,2.177859,2.302847,2.508697"); } } internal_power () { when : "D & RN & !SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.376919,5.346796,5.317103,5.340184,5.420344,5.571255,5.796349"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & RN & !SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.301408,3.275455,3.246029,3.264094,3.344892,3.501093,3.721859"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.177299,2.164574,2.130292,2.124938,2.177844,2.302832,2.508682"); } } internal_power () { when : "D & RN & SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.277198,3.252872,3.222677,3.241150,3.320409,3.475792,3.697161"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.266193,2.254500,2.221966,2.215588,2.267628,2.391446,2.600145"); } } internal_power () { when : "D & RN & SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.529080,5.491778,5.464472,5.478798,5.559373,5.715297,5.955249"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & RN & SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.374725,5.346707,5.319423,5.340098,5.420254,5.571177,5.796260"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & RN & SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.301775,3.275389,3.245973,3.264040,3.344888,3.501027,3.721853"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.172403,2.164517,2.131018,2.124857,2.177762,2.302749,2.508603"); } } } pin (Q) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 60.424800; function : "IQ"; timing () { related_pin : "CK"; timing_type : rising_edge; timing_sense : non_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.223603,0.243094,0.260674,0.286906,0.325301,0.381461,0.468870", \ "0.228841,0.248356,0.265923,0.292154,0.330558,0.386724,0.474138", \ "0.246236,0.265732,0.283314,0.309537,0.347967,0.404125,0.491561", \ "0.270243,0.289733,0.307286,0.333474,0.371920,0.428126,0.515639", \ "0.294607,0.314013,0.331510,0.357623,0.396060,0.452285,0.539821", \ "0.316407,0.335839,0.353400,0.379329,0.417872,0.474726,0.562581", \ "0.334279,0.353817,0.371414,0.397617,0.436954,0.492938,0.581121"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.194026,0.211581,0.230250,0.264363,0.329736,0.458147,0.713406", \ "0.199232,0.216783,0.235451,0.269567,0.334945,0.463355,0.718608", \ "0.217258,0.234821,0.253484,0.287604,0.352956,0.481364,0.736639", \ "0.242717,0.260256,0.278907,0.313049,0.378430,0.506842,0.762060", \ "0.268319,0.285794,0.304412,0.338459,0.403813,0.532187,0.787603", \ "0.291446,0.308986,0.327586,0.362167,0.427544,0.555974,0.810947", \ "0.311362,0.328849,0.347840,0.381751,0.447134,0.575647,0.829591"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.036125,0.042684,0.048981,0.059238,0.075776,0.103289,0.157840", \ "0.036139,0.042679,0.048977,0.059237,0.075774,0.103285,0.157840", \ "0.036122,0.042694,0.048987,0.059253,0.075776,0.103296,0.157866", \ "0.036143,0.042695,0.048990,0.059253,0.075779,0.103292,0.157862", \ "0.036124,0.042688,0.048989,0.059262,0.075766,0.103269,0.157827", \ "0.036196,0.042762,0.049070,0.059336,0.075858,0.103336,0.157875", \ "0.036252,0.042816,0.049129,0.059403,0.075909,0.103381,0.157845"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.020748,0.031810,0.045711,0.074546,0.134269,0.255398,0.499153", \ "0.020751,0.031812,0.045713,0.074542,0.134270,0.255398,0.499151", \ "0.020746,0.031806,0.045704,0.074546,0.134268,0.255396,0.499152", \ "0.020740,0.031803,0.045701,0.074542,0.134267,0.255397,0.499151", \ "0.020746,0.031804,0.045702,0.074541,0.134266,0.255398,0.499153", \ "0.020753,0.031812,0.045715,0.074539,0.134265,0.255394,0.499154", \ "0.020783,0.031832,0.045728,0.074549,0.134270,0.255392,0.499152"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & !D & !SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.261806,0.282306,0.300928,0.328848,0.368425,0.422256,0.504838", \ "0.266306,0.286801,0.305420,0.333349,0.372934,0.426772,0.509347", \ "0.284786,0.305259,0.323900,0.351846,0.391416,0.445250,0.527837", \ "0.316312,0.336796,0.355417,0.383315,0.422885,0.476765,0.559343", \ "0.362235,0.382734,0.401266,0.429257,0.468816,0.522708,0.605309", \ "0.424835,0.445425,0.464266,0.492199,0.531870,0.585901,0.668650", \ "0.497093,0.518659,0.538976,0.567720,0.608539,0.663114,0.745901"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.038715,0.045693,0.052482,0.062728,0.075813,0.097635,0.149435", \ "0.038705,0.045693,0.052481,0.062727,0.075814,0.097640,0.149412", \ "0.038692,0.045715,0.052487,0.062730,0.075812,0.097629,0.149409", \ "0.038703,0.045708,0.052480,0.062725,0.075822,0.097628,0.149409", \ "0.038712,0.045708,0.052495,0.062742,0.075830,0.097626,0.149391", \ "0.039764,0.046529,0.053098,0.063127,0.076052,0.097728,0.149435", \ "0.044314,0.051267,0.057762,0.067216,0.078620,0.098869,0.149841"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & !D & !SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.261806,0.282306,0.300928,0.328848,0.368425,0.422259,0.504835", \ "0.266306,0.286801,0.305420,0.333349,0.372934,0.426772,0.509347", \ "0.284786,0.305259,0.323900,0.351846,0.391416,0.445250,0.527837", \ "0.316311,0.336796,0.355417,0.383315,0.422884,0.476765,0.559342", \ "0.362235,0.382734,0.401266,0.429257,0.468815,0.522708,0.605309", \ "0.424835,0.445425,0.464266,0.492199,0.531871,0.585901,0.668650", \ "0.497093,0.518659,0.538976,0.567720,0.608539,0.663114,0.745901"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.038715,0.045693,0.052482,0.062728,0.075813,0.097635,0.149408", \ "0.038705,0.045693,0.052481,0.062727,0.075814,0.097640,0.149412", \ "0.038692,0.045715,0.052487,0.062730,0.075812,0.097629,0.149409", \ "0.038703,0.045708,0.052480,0.062725,0.075822,0.097628,0.149409", \ "0.038712,0.045708,0.052495,0.062742,0.075830,0.097626,0.149391", \ "0.039764,0.046529,0.053098,0.063127,0.076050,0.097728,0.149435", \ "0.044314,0.051267,0.057762,0.067216,0.078620,0.098869,0.149841"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & !D & SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.261808,0.282308,0.300930,0.328847,0.368426,0.422258,0.504838", \ "0.266308,0.286798,0.305422,0.333351,0.372936,0.426774,0.509348", \ "0.284787,0.305261,0.323902,0.351848,0.391418,0.445251,0.527838", \ "0.316313,0.336798,0.355419,0.383317,0.422886,0.476766,0.559343", \ "0.362237,0.382736,0.401268,0.429259,0.468817,0.522709,0.605310", \ "0.424837,0.445427,0.464268,0.492201,0.531871,0.585903,0.668651", \ "0.497095,0.518661,0.538977,0.567721,0.608540,0.663115,0.745902"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.038716,0.045693,0.052483,0.062727,0.075814,0.097635,0.149406", \ "0.038705,0.045702,0.052481,0.062727,0.075814,0.097640,0.149413", \ "0.038692,0.045715,0.052487,0.062731,0.075812,0.097629,0.149409", \ "0.038703,0.045708,0.052480,0.062725,0.075822,0.097629,0.149409", \ "0.038712,0.045708,0.052495,0.062742,0.075831,0.097626,0.149391", \ "0.039764,0.046530,0.053098,0.063127,0.076052,0.097728,0.149436", \ "0.044314,0.051267,0.057762,0.067216,0.078621,0.098869,0.149841"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & !D & SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.261708,0.282194,0.300834,0.328760,0.368343,0.422241,0.504881", \ "0.266216,0.286719,0.305351,0.333263,0.372866,0.426762,0.509412", \ "0.284710,0.305175,0.323810,0.351767,0.391332,0.445237,0.527888", \ "0.316284,0.336770,0.355362,0.383317,0.422895,0.476797,0.559408", \ "0.362078,0.382534,0.401215,0.429130,0.468715,0.522615,0.605275", \ "0.424624,0.445248,0.463877,0.491731,0.531377,0.585290,0.667951", \ "0.496656,0.518660,0.538340,0.567650,0.608448,0.662914,0.745722"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.038689,0.045684,0.052448,0.062710,0.075784,0.097594,0.149376", \ "0.038691,0.045682,0.052450,0.062710,0.075784,0.097590,0.149373", \ "0.038695,0.045690,0.052455,0.062686,0.075783,0.097593,0.149377", \ "0.038683,0.045693,0.052450,0.062692,0.075785,0.097599,0.149366", \ "0.038695,0.045692,0.052474,0.062710,0.075790,0.097589,0.149372", \ "0.039767,0.046514,0.053085,0.063101,0.076023,0.097703,0.149414", \ "0.044308,0.051226,0.057778,0.067195,0.078607,0.098846,0.149828"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & D & !SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.261708,0.282194,0.300834,0.328762,0.368343,0.422240,0.504881", \ "0.266216,0.286720,0.305351,0.333263,0.372866,0.426762,0.509412", \ "0.284710,0.305175,0.323810,0.351766,0.391332,0.445237,0.527888", \ "0.316284,0.336770,0.355362,0.383317,0.422895,0.476797,0.559408", \ "0.362079,0.382534,0.401215,0.429130,0.468715,0.522615,0.605275", \ "0.424641,0.445248,0.463877,0.491731,0.531378,0.585290,0.667951", \ "0.496656,0.518661,0.538340,0.567650,0.608448,0.662914,0.745722"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.038689,0.045684,0.052448,0.062704,0.075784,0.097590,0.149376", \ "0.038691,0.045682,0.052450,0.062710,0.075784,0.097590,0.149373", \ "0.038695,0.045690,0.052455,0.062686,0.075783,0.097593,0.149377", \ "0.038683,0.045693,0.052450,0.062692,0.075785,0.097599,0.149366", \ "0.038695,0.045692,0.052474,0.062710,0.075790,0.097589,0.149372", \ "0.039788,0.046514,0.053085,0.063101,0.076023,0.097703,0.149414", \ "0.044308,0.051226,0.057778,0.067195,0.078607,0.098846,0.149828"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & D & !SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.261708,0.282194,0.300834,0.328762,0.368343,0.422240,0.504882", \ "0.266216,0.286720,0.305351,0.333263,0.372866,0.426762,0.509412", \ "0.284710,0.305175,0.323810,0.351766,0.391332,0.445237,0.527888", \ "0.316284,0.336770,0.355362,0.383317,0.422896,0.476797,0.559408", \ "0.362079,0.382534,0.401225,0.429130,0.468715,0.522615,0.605275", \ "0.424624,0.445248,0.463877,0.491731,0.531378,0.585290,0.667951", \ "0.496656,0.518661,0.538340,0.567650,0.608448,0.662914,0.745722"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.038689,0.045684,0.052448,0.062704,0.075784,0.097590,0.149373", \ "0.038691,0.045682,0.052450,0.062710,0.075784,0.097590,0.149373", \ "0.038695,0.045690,0.052455,0.062686,0.075783,0.097593,0.149377", \ "0.038683,0.045693,0.052450,0.062692,0.075785,0.097599,0.149366", \ "0.038695,0.045692,0.052476,0.062710,0.075790,0.097589,0.149372", \ "0.039767,0.046514,0.053085,0.063101,0.076023,0.097703,0.149414", \ "0.044308,0.051226,0.057778,0.067195,0.078607,0.098846,0.149828"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & D & SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.261808,0.282308,0.300930,0.328847,0.368426,0.422258,0.504836", \ "0.266308,0.286798,0.305422,0.333350,0.372936,0.426774,0.509348", \ "0.284787,0.305261,0.323902,0.351848,0.391418,0.445251,0.527838", \ "0.316313,0.336798,0.355419,0.383317,0.422886,0.476766,0.559343", \ "0.362237,0.382736,0.401268,0.429259,0.468817,0.522709,0.605310", \ "0.424837,0.445427,0.464268,0.492201,0.531873,0.585903,0.668651", \ "0.497095,0.518661,0.538977,0.567721,0.608540,0.663115,0.745902"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.038716,0.045693,0.052483,0.062727,0.075814,0.097635,0.149408", \ "0.038705,0.045702,0.052481,0.062727,0.075814,0.097640,0.149413", \ "0.038692,0.045715,0.052487,0.062731,0.075812,0.097629,0.149409", \ "0.038703,0.045708,0.052480,0.062725,0.075822,0.097629,0.149409", \ "0.038712,0.045708,0.052495,0.062742,0.075831,0.097626,0.149391", \ "0.039764,0.046530,0.053098,0.063127,0.076051,0.097728,0.149436", \ "0.044314,0.051267,0.057762,0.067216,0.078620,0.098869,0.149841"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & D & SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.261708,0.282194,0.300834,0.328761,0.368343,0.422240,0.504881", \ "0.266216,0.286719,0.305351,0.333263,0.372866,0.426762,0.509412", \ "0.284710,0.305175,0.323810,0.351766,0.391332,0.445237,0.527888", \ "0.316284,0.336770,0.355362,0.383317,0.422895,0.476797,0.559408", \ "0.362079,0.382534,0.401215,0.429130,0.468715,0.522615,0.605275", \ "0.424624,0.445248,0.463877,0.491731,0.531377,0.585290,0.667951", \ "0.496656,0.518660,0.538340,0.567650,0.608448,0.662914,0.745722"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.038689,0.045684,0.052448,0.062704,0.075784,0.097590,0.149373", \ "0.038691,0.045682,0.052450,0.062710,0.075784,0.097590,0.149373", \ "0.038695,0.045690,0.052455,0.062686,0.075783,0.097593,0.149377", \ "0.038683,0.045693,0.052450,0.062692,0.075785,0.097599,0.149366", \ "0.038695,0.045692,0.052474,0.062710,0.075790,0.097589,0.149372", \ "0.039771,0.046514,0.053085,0.063101,0.076023,0.097703,0.149414", \ "0.044308,0.051226,0.057778,0.067195,0.078609,0.098846,0.149828"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & !D & !SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.526366,0.546028,0.563736,0.590479,0.630468,0.689321,0.779392", \ "0.530723,0.550369,0.568077,0.594728,0.634470,0.693002,0.782885", \ "0.548508,0.568135,0.585783,0.612398,0.652009,0.710276,0.799978", \ "0.584873,0.604561,0.622139,0.648712,0.688300,0.746442,0.836007", \ "0.643452,0.663034,0.680833,0.707531,0.747083,0.805093,0.894821", \ "0.722299,0.741890,0.759560,0.786341,0.825711,0.883830,0.973424", \ "0.812816,0.832391,0.849852,0.876265,0.915570,0.973718,1.063002"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.036428,0.043039,0.049475,0.060436,0.078535,0.106703,0.160774", \ "0.036396,0.042979,0.049373,0.060169,0.078029,0.106250,0.160523", \ "0.036378,0.042944,0.049308,0.059989,0.077666,0.105884,0.160295", \ "0.036375,0.042933,0.049282,0.059914,0.077523,0.105724,0.160198", \ "0.036364,0.042923,0.049270,0.059895,0.077439,0.105630,0.160137", \ "0.036367,0.042922,0.049261,0.059862,0.077375,0.105565,0.160091", \ "0.036354,0.042914,0.049259,0.059843,0.077333,0.105519,0.160063"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & !D & !SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.526366,0.545996,0.563738,0.590480,0.630472,0.689322,0.779392", \ "0.530724,0.550370,0.568078,0.594729,0.634471,0.693003,0.782885", \ "0.548509,0.568136,0.585784,0.612399,0.652010,0.710277,0.799978", \ "0.584874,0.604546,0.622139,0.648713,0.688301,0.746443,0.836008", \ "0.643453,0.663035,0.680834,0.707532,0.747084,0.805094,0.894822", \ "0.722291,0.741881,0.759561,0.786248,0.825611,0.883932,0.973425", \ "0.812817,0.832392,0.849853,0.876266,0.915571,0.973719,1.063003"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.036428,0.043032,0.049475,0.060436,0.078535,0.106702,0.160774", \ "0.036396,0.042979,0.049373,0.060169,0.078029,0.106250,0.160523", \ "0.036378,0.042944,0.049308,0.059989,0.077666,0.105884,0.160295", \ "0.036375,0.042933,0.049283,0.059914,0.077523,0.105724,0.160198", \ "0.036363,0.042923,0.049271,0.059895,0.077439,0.105630,0.160137", \ "0.036367,0.042922,0.049261,0.059859,0.077373,0.105555,0.160091", \ "0.036354,0.042914,0.049259,0.059843,0.077333,0.105519,0.160063"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & !D & SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.526357,0.546019,0.563714,0.590458,0.630455,0.689310,0.779383", \ "0.530709,0.550355,0.568063,0.594715,0.634458,0.692991,0.782877", \ "0.548494,0.568123,0.585769,0.612385,0.651998,0.710266,0.799970", \ "0.584863,0.604533,0.622124,0.648700,0.688289,0.746433,0.836000", \ "0.643439,0.663023,0.680821,0.707520,0.747073,0.805084,0.894814", \ "0.722280,0.741880,0.759565,0.786236,0.825602,0.883924,0.973507", \ "0.812814,0.832380,0.849844,0.876256,0.915562,0.973712,1.062997"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.036427,0.043039,0.049489,0.060436,0.078534,0.106702,0.160773", \ "0.036395,0.042979,0.049373,0.060169,0.078028,0.106250,0.160521", \ "0.036378,0.042944,0.049308,0.059989,0.077666,0.105884,0.160295", \ "0.036373,0.042933,0.049282,0.059914,0.077523,0.105724,0.160197", \ "0.036365,0.042918,0.049270,0.059894,0.077438,0.105630,0.160122", \ "0.036366,0.042922,0.049259,0.059859,0.077372,0.105555,0.160091", \ "0.036354,0.042912,0.049258,0.059843,0.077333,0.105519,0.160062"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & !D & SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.526372,0.546034,0.563755,0.590507,0.630508,0.689378,0.779469", \ "0.530748,0.550395,0.568101,0.594755,0.634503,0.693045,0.782945", \ "0.548531,0.568162,0.585831,0.612429,0.652046,0.710323,0.800042", \ "0.584907,0.604593,0.622169,0.648747,0.688343,0.746489,0.836073", \ "0.643501,0.663077,0.680873,0.707574,0.747131,0.805149,0.894893", \ "0.722343,0.741936,0.759608,0.786399,0.825763,0.883991,0.973506", \ "0.812896,0.832474,0.849923,0.876335,0.915646,0.973794,1.063066"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.036425,0.043036,0.049487,0.060432,0.078531,0.106699,0.160782", \ "0.036392,0.042976,0.049369,0.060165,0.078025,0.106247,0.160512", \ "0.036374,0.042941,0.049303,0.059985,0.077663,0.105881,0.160292", \ "0.036370,0.042930,0.049279,0.059910,0.077519,0.105721,0.160197", \ "0.036351,0.042914,0.049267,0.059892,0.077435,0.105628,0.160146", \ "0.036348,0.042920,0.049259,0.059856,0.077371,0.105562,0.160090", \ "0.036350,0.042914,0.049257,0.059853,0.077332,0.105526,0.160061"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & D & !SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.526372,0.546034,0.563755,0.590507,0.630508,0.689390,0.779466", \ "0.530748,0.550395,0.568101,0.594754,0.634503,0.693045,0.782945", \ "0.548531,0.568162,0.585831,0.612428,0.652046,0.710323,0.800042", \ "0.584907,0.604593,0.622169,0.648747,0.688343,0.746489,0.836073", \ "0.643501,0.663077,0.680873,0.707574,0.747131,0.805149,0.894893", \ "0.722351,0.741943,0.759562,0.786292,0.825763,0.883991,0.973506", \ "0.812896,0.832474,0.849923,0.876335,0.915646,0.973794,1.063066"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.036425,0.043036,0.049487,0.060432,0.078531,0.106699,0.160783", \ "0.036392,0.042976,0.049369,0.060165,0.078025,0.106247,0.160512", \ "0.036374,0.042941,0.049304,0.059985,0.077663,0.105881,0.160292", \ "0.036370,0.042930,0.049279,0.059910,0.077519,0.105721,0.160197", \ "0.036351,0.042914,0.049267,0.059892,0.077435,0.105628,0.160146", \ "0.036364,0.042920,0.049257,0.059848,0.077371,0.105562,0.160090", \ "0.036350,0.042914,0.049257,0.059853,0.077332,0.105526,0.160061"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & D & !SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.526372,0.546034,0.563755,0.590507,0.630508,0.689386,0.779469", \ "0.530748,0.550395,0.568101,0.594754,0.634503,0.693045,0.782945", \ "0.548531,0.568162,0.585831,0.612428,0.652046,0.710323,0.800042", \ "0.584907,0.604593,0.622169,0.648747,0.688343,0.746489,0.836073", \ "0.643501,0.663077,0.680873,0.707574,0.747131,0.805149,0.894893", \ "0.722342,0.741935,0.759608,0.786398,0.825763,0.883896,0.973594", \ "0.812896,0.832474,0.849923,0.876335,0.915646,0.973794,1.063066"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.036425,0.043036,0.049487,0.060432,0.078531,0.106699,0.160782", \ "0.036392,0.042976,0.049369,0.060165,0.078025,0.106247,0.160512", \ "0.036374,0.042941,0.049304,0.059985,0.077663,0.105881,0.160292", \ "0.036370,0.042930,0.049279,0.059910,0.077519,0.105721,0.160197", \ "0.036351,0.042914,0.049267,0.059892,0.077435,0.105628,0.160146", \ "0.036364,0.042920,0.049259,0.059856,0.077371,0.105563,0.160090", \ "0.036350,0.042914,0.049257,0.059853,0.077332,0.105526,0.160061"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & D & SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.526357,0.546020,0.563715,0.590450,0.630455,0.689320,0.779385", \ "0.530709,0.550356,0.568064,0.594715,0.634459,0.692992,0.782878", \ "0.548494,0.568123,0.585770,0.612385,0.651998,0.710266,0.799971", \ "0.584863,0.604533,0.622124,0.648700,0.688289,0.746433,0.836000", \ "0.643440,0.663024,0.680821,0.707520,0.747073,0.805085,0.894815", \ "0.722235,0.741873,0.759565,0.786331,0.825602,0.883924,0.973507", \ "0.812808,0.832380,0.849844,0.876256,0.915562,0.973712,1.062997"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.036428,0.043039,0.049489,0.060435,0.078534,0.106702,0.160782", \ "0.036395,0.042979,0.049373,0.060169,0.078028,0.106250,0.160521", \ "0.036378,0.042944,0.049308,0.059989,0.077666,0.105884,0.160295", \ "0.036373,0.042933,0.049282,0.059914,0.077523,0.105724,0.160197", \ "0.036365,0.042918,0.049270,0.059894,0.077438,0.105630,0.160122", \ "0.036366,0.042922,0.049259,0.059861,0.077372,0.105555,0.160091", \ "0.036353,0.042913,0.049258,0.059843,0.077333,0.105519,0.160062"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & D & SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.526372,0.546034,0.563755,0.590507,0.630509,0.689391,0.779466", \ "0.530748,0.550395,0.568101,0.594754,0.634503,0.693045,0.782945", \ "0.548531,0.568162,0.585831,0.612428,0.652046,0.710323,0.800042", \ "0.584907,0.604593,0.622169,0.648747,0.688343,0.746489,0.836073", \ "0.643501,0.663077,0.680873,0.707574,0.747131,0.805149,0.894894", \ "0.722351,0.741935,0.759608,0.786398,0.825763,0.883896,0.973506", \ "0.812896,0.832474,0.849923,0.876335,0.915646,0.973794,1.063066"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.036425,0.043036,0.049487,0.060433,0.078531,0.106699,0.160782", \ "0.036392,0.042976,0.049369,0.060165,0.078025,0.106247,0.160512", \ "0.036374,0.042941,0.049304,0.059985,0.077663,0.105881,0.160292", \ "0.036370,0.042930,0.049279,0.059910,0.077519,0.105721,0.160197", \ "0.036351,0.042914,0.049267,0.059892,0.077435,0.105628,0.160146", \ "0.036364,0.042920,0.049259,0.059856,0.077371,0.105563,0.160090", \ "0.036350,0.042914,0.049257,0.059853,0.077332,0.105526,0.160061"); } } internal_power () { related_pin : "CK"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("3.598668,3.643664,3.675873,3.725167,3.791154,3.819425,3.834441", \ "3.597563,3.637876,3.666484,3.718313,3.789848,3.816391,3.819265", \ "3.570297,3.615067,3.636313,3.699296,3.769905,3.792126,3.806234", \ "3.556972,3.601670,3.632956,3.682534,3.747034,3.776098,3.793808", \ "3.575693,3.621097,3.649798,3.699077,3.777510,3.811525,3.813121", \ "3.637919,3.679796,3.709235,3.758737,3.831964,3.845187,3.860436", \ "3.756030,3.799225,3.819796,3.881605,3.944396,3.970397,3.987652"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("3.444674,3.521739,3.579675,3.723791,3.832958,3.865207,3.882541", \ "3.434931,3.512729,3.573206,3.713127,3.825357,3.863463,3.875178", \ "3.416022,3.498965,3.556063,3.703803,3.806308,3.845141,3.853509", \ "3.419626,3.497638,3.555064,3.702305,3.804514,3.840143,3.857437", \ "3.441014,3.522692,3.580729,3.726388,3.840817,3.870850,3.864583", \ "3.508828,3.583680,3.639260,3.791443,3.883033,3.933636,3.934142", \ "3.624919,3.700264,3.756649,3.898318,4.013657,4.034102,4.052911"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & !SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("2.977039,3.016681,3.053724,3.092100,3.152492,3.166822,3.169030", \ "2.971804,3.012012,3.041148,3.097708,3.145795,3.160673,3.162857", \ "2.961391,2.999956,3.037375,3.080727,3.128755,3.142949,3.144620", \ "2.958474,2.997093,3.022845,3.079869,3.111216,3.124900,3.161117", \ "2.952601,2.999725,3.022876,3.078171,3.116991,3.131043,3.133212", \ "2.987997,3.024522,3.056683,3.093647,3.135762,3.147654,3.149746", \ "3.053800,3.074423,3.103110,3.122600,3.148282,3.157498,3.190969"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & !SE & SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("2.976418,3.016041,3.052988,3.091408,3.151775,3.166055,3.168008", \ "2.971187,3.011365,3.040508,3.097040,3.145089,3.159928,3.162086", \ "2.960794,2.999351,3.036747,3.080174,3.128061,3.142213,3.143851", \ "2.957908,2.996516,3.022263,3.079250,3.110561,3.124190,3.160379", \ "2.952096,2.999214,3.022342,3.077612,3.116383,3.130387,3.132508", \ "2.987588,3.024096,3.056239,3.093175,3.135272,3.147058,3.149103", \ "3.053504,3.074110,3.102773,3.122224,3.147853,3.156984,3.190372"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("2.976733,3.016365,3.054084,3.091587,3.152160,3.166587,3.168720", \ "2.971501,3.010378,3.040834,3.097397,3.145501,3.160386,3.162674", \ "2.961101,2.999668,3.037068,3.080482,3.128449,3.142692,3.144464", \ "2.958203,2.996824,3.022582,3.079582,3.110943,3.124671,3.160965", \ "2.952384,2.999510,3.022641,3.077944,3.116777,3.130818,3.133071", \ "2.987840,3.024370,3.056503,3.093457,3.135576,3.147496,3.149603", \ "3.053713,3.074334,3.102996,3.122489,3.148157,3.157388,3.190888"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & SE & SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("3.594317,3.636669,3.671690,3.717541,3.779338,3.793087,3.796632", \ "3.589334,3.632170,3.663780,3.723592,3.773213,3.787334,3.788995", \ "3.575496,3.617633,3.657404,3.703351,3.753696,3.767526,3.768212", \ "3.573034,3.616410,3.643647,3.703945,3.737177,3.750990,3.750634", \ "3.573494,3.616527,3.651380,3.710629,3.751920,3.766444,3.767712", \ "3.613126,3.662270,3.685197,3.743644,3.789231,3.801584,3.818057", \ "3.695074,3.732884,3.769577,3.797142,3.852280,3.838005,3.871509"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D & !SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("3.595059,3.637419,3.672482,3.718596,3.780250,3.794110,3.797790", \ "3.590066,3.633074,3.664545,3.724396,3.774079,3.788329,3.789997", \ "3.576207,3.618357,3.658174,3.704180,3.754593,3.768459,3.769162", \ "3.573709,3.617150,3.644359,3.704732,3.738031,3.751884,3.751553", \ "3.574094,3.617142,3.652032,3.711320,3.752663,3.767329,3.768624", \ "3.613670,3.662802,3.685762,3.744286,3.789938,3.802360,3.818974", \ "3.695459,3.733290,3.770158,3.797630,3.852999,3.838677,3.872279"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D & !SE & SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("3.595064,3.637423,3.672484,3.718599,3.780249,3.794116,3.807473", \ "3.590071,3.633099,3.664548,3.724399,3.774081,3.788323,3.789991", \ "3.576212,3.618362,3.658175,3.704178,3.754590,3.768457,3.769164", \ "3.573714,3.617148,3.644362,3.704730,3.738028,3.751885,3.751557", \ "3.574099,3.617147,3.652035,3.711322,3.752666,3.767324,3.768622", \ "3.613639,3.662805,3.685763,3.744282,3.789934,3.802356,3.818956", \ "3.695460,3.733292,3.770032,3.797630,3.852997,3.838675,3.872278"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D & SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("2.976020,3.015619,3.053360,3.090747,3.151196,3.165444,3.167230", \ "2.970788,3.009633,3.040064,3.096558,3.144544,3.159250,3.161323", \ "2.960386,2.998923,3.036294,3.079616,3.127490,3.141565,3.143113", \ "2.957496,2.996092,3.021813,3.078747,3.109997,3.123555,3.159637", \ "2.951706,2.998807,3.021911,3.077144,3.115868,3.129739,3.131777", \ "2.987219,3.023723,3.055824,3.092715,3.134761,3.146475,3.148375", \ "3.053186,3.073781,3.102415,3.121838,3.147400,3.156452,3.189721"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D & SE & SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("3.595059,3.637429,3.672480,3.718605,3.780242,3.794134,3.806944", \ "3.590073,3.632965,3.664556,3.724409,3.774097,3.788318,3.790043", \ "3.576220,3.618373,3.658175,3.704172,3.754582,3.768486,3.769247", \ "3.573725,3.617128,3.644377,3.704728,3.738029,3.751920,3.751646", \ "3.574119,3.617170,3.652050,3.711340,3.752699,3.767333,3.768692", \ "3.613740,3.662825,3.685778,3.744279,3.789941,3.802393,3.818990", \ "3.695486,3.733317,3.770138,3.797653,3.828672,3.838720,3.872363"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D & !SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("3.999281,4.040347,4.077262,4.145368,4.214168,4.253292,4.297931", \ "3.989287,4.029543,4.052083,4.124818,4.207978,4.247511,4.256045", \ "3.980372,4.018319,4.054757,4.112277,4.194483,4.233057,4.243657", \ "3.982178,4.014645,4.051292,4.108761,4.181745,4.220700,4.265124", \ "3.983477,4.022819,4.059379,4.129002,4.209132,4.249047,4.258417", \ "3.996357,4.036215,4.072558,4.136629,4.223417,4.260020,4.275504", \ "4.022800,4.062121,4.092667,4.161828,4.235786,4.271259,4.283989"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D & !SE & SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("3.998395,4.039670,4.071844,4.144483,4.213224,4.252402,4.297111", \ "3.988408,4.028669,4.051208,4.123945,4.207118,4.246665,4.255226", \ "3.979548,4.017485,4.053909,4.111427,4.193650,4.232225,4.242838", \ "3.981382,4.013723,4.050498,4.107956,4.180933,4.219897,4.264343", \ "3.982753,4.022092,4.058656,4.128270,4.208391,4.248298,4.257648", \ "3.995794,4.035731,4.069839,4.136280,4.188094,4.258851,4.274276", \ "4.022319,4.062273,4.092173,4.161304,4.235232,4.270650,4.283335"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D & SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("3.998714,4.039760,4.072031,4.144769,4.213572,4.252706,4.297320", \ "3.988734,4.028993,4.051533,4.124247,4.207393,4.246915,4.255566", \ "3.980177,4.017648,4.054221,4.111707,4.193903,4.232502,4.243222", \ "3.981775,4.017449,4.050716,4.108249,4.181224,4.220186,4.264702", \ "3.983103,4.022517,4.058959,4.128577,4.208756,4.248563,4.259089", \ "3.996178,4.035997,4.065657,4.136559,4.188385,4.259148,4.275714", \ "4.022620,4.061897,4.092414,4.161573,4.235492,4.270941,4.283705"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D & SE & SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("3.996936,4.037881,4.069960,4.142402,4.210623,4.248929,4.292225", \ "3.986906,4.027049,4.064080,4.121925,4.204544,4.243085,4.250879", \ "3.975502,4.016499,4.052188,4.109290,4.190955,4.228702,4.239641", \ "3.979861,4.012372,4.048568,4.105801,4.178225,4.216383,4.260770", \ "3.981056,4.020381,4.056734,4.126074,4.205600,4.244601,4.254504", \ "3.994093,4.033885,4.063200,4.133606,4.220066,4.255308,4.268183", \ "4.028671,4.061094,4.090034,4.158749,4.232179,4.266969,4.278920"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D & !SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("3.997979,4.038932,4.071037,4.143496,4.211735,4.249883,4.293283", \ "3.987938,4.028089,4.065133,4.122965,4.205592,4.244199,4.251934", \ "3.976503,4.017500,4.053224,4.110355,4.192026,4.229758,4.240648", \ "3.980810,4.013324,4.049538,4.106804,4.179254,4.217399,4.261772", \ "3.981918,4.021253,4.057634,4.126987,4.206529,4.245619,4.255491", \ "3.995955,4.035159,4.064226,4.135021,4.220947,4.256202,4.269153", \ "4.029279,4.061717,4.090688,4.159436,4.232933,4.267763,4.279744"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D & !SE & SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("3.997988,4.038934,4.071036,4.143489,4.211718,4.249913,4.293321", \ "3.987947,4.028091,4.065133,4.122970,4.205600,4.244187,4.251929", \ "3.976507,4.017503,4.053223,4.110354,4.192036,4.229752,4.240650", \ "3.980815,4.013327,4.049539,4.106804,4.179244,4.217394,4.261771", \ "3.981921,4.021255,4.057642,4.126989,4.206525,4.245604,4.255486", \ "3.994956,4.034655,4.063998,4.134441,4.220939,4.256529,4.268881", \ "4.029288,4.061723,4.090693,4.159430,4.232926,4.267763,4.279746"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D & SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("3.997671,4.038700,4.070944,4.143694,4.212355,4.251404,4.297964", \ "3.987693,4.027934,4.050453,4.123123,4.206189,4.245584,4.254087", \ "3.979169,4.016600,4.053144,4.110585,4.192701,4.231180,4.241748", \ "3.980749,4.016457,4.049657,4.107142,4.180036,4.218878,4.263248", \ "3.982151,4.021507,4.057932,4.127503,4.207604,4.247291,4.257636", \ "3.995431,4.035095,4.064692,4.135251,4.187290,4.257934,4.274151", \ "4.021825,4.061058,4.091552,4.160665,4.234499,4.269812,4.282390"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D & SE & SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("3.997991,4.038938,4.071037,4.143502,4.211713,4.249915,4.293303", \ "3.987952,4.028098,4.065142,4.122989,4.205626,4.244197,4.252012", \ "3.976519,4.017521,4.053232,4.110349,4.192035,4.229788,4.240758", \ "3.980838,4.013356,4.049562,4.106813,4.179263,4.217438,4.261867", \ "3.981954,4.021288,4.057648,4.127013,4.206560,4.245618,4.255490", \ "3.996000,4.034688,4.064012,4.134458,4.220953,4.256583,4.269190", \ "4.029321,4.061758,4.090717,4.159458,4.232947,4.267822,4.279853"); } rise_power(scalar) {values ("0.0"); } } } pin (QN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 60.424800; function : "IQN"; timing () { related_pin : "CK"; timing_type : rising_edge; timing_sense : non_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.280006,0.289774,0.298798,0.313191,0.336802,0.377000,0.449748", \ "0.285220,0.294972,0.303990,0.318395,0.342016,0.382200,0.454955", \ "0.303238,0.312996,0.322021,0.336394,0.360027,0.400215,0.472972", \ "0.328691,0.338440,0.347443,0.361838,0.385501,0.425689,0.498402", \ "0.354309,0.363958,0.372960,0.387282,0.410878,0.451022,0.523940", \ "0.377416,0.387171,0.396120,0.410966,0.434588,0.474777,0.547238", \ "0.397361,0.407026,0.416396,0.430578,0.454205,0.494463,0.565897"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.335816,0.347631,0.359849,0.385948,0.444667,0.568914,0.821795", \ "0.341052,0.352885,0.365100,0.391201,0.449926,0.574183,0.827068", \ "0.358460,0.370274,0.382497,0.408587,0.467336,0.591559,0.844478", \ "0.382471,0.394280,0.406475,0.432520,0.491286,0.615575,0.868553", \ "0.406837,0.418554,0.430666,0.456631,0.515428,0.639746,0.892722", \ "0.428699,0.440423,0.452639,0.478356,0.537177,0.662108,0.915413", \ "0.446595,0.458434,0.470681,0.496689,0.556269,0.680305,0.933930"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.018450,0.022486,0.026525,0.033864,0.047932,0.076150,0.135098", \ "0.018450,0.022483,0.026525,0.033871,0.047925,0.076150,0.135104", \ "0.018446,0.022486,0.026521,0.033866,0.047926,0.076152,0.135090", \ "0.018450,0.022486,0.026519,0.033869,0.047925,0.076150,0.135105", \ "0.018447,0.022484,0.026522,0.033850,0.047929,0.076148,0.135098", \ "0.018448,0.022485,0.026524,0.033846,0.047912,0.076190,0.135112", \ "0.018452,0.022484,0.026522,0.033864,0.047917,0.076134,0.135055"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.022768,0.032714,0.045664,0.073825,0.133928,0.255770,0.499805", \ "0.022773,0.032713,0.045670,0.073822,0.133931,0.255768,0.499805", \ "0.022780,0.032712,0.045666,0.073831,0.133918,0.255768,0.499803", \ "0.022771,0.032716,0.045667,0.073828,0.133925,0.255770,0.499805", \ "0.022767,0.032715,0.045671,0.073828,0.133926,0.255766,0.499806", \ "0.022781,0.032721,0.045675,0.073827,0.133927,0.255769,0.499802", \ "0.022791,0.032734,0.045679,0.073823,0.133918,0.255770,0.499802"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & !D & !SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.378189,0.389798,0.401703,0.427587,0.486411,0.610860,0.864053", \ "0.382689,0.394297,0.406196,0.432094,0.490925,0.615377,0.868582", \ "0.401156,0.412760,0.424677,0.450590,0.509405,0.633854,0.887057", \ "0.432672,0.444281,0.456174,0.482048,0.540868,0.665366,0.918552", \ "0.478505,0.490161,0.501995,0.527988,0.586805,0.711293,0.964483", \ "0.541409,0.553014,0.565119,0.591008,0.649864,0.774445,1.027779", \ "0.619575,0.630618,0.642665,0.667416,0.725721,0.850006,1.103018"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.022803,0.032438,0.045302,0.073626,0.133911,0.255747,0.499789", \ "0.022807,0.032438,0.045308,0.073637,0.133908,0.255751,0.499790", \ "0.022803,0.032436,0.045306,0.073623,0.133919,0.255752,0.499788", \ "0.022808,0.032436,0.045302,0.073637,0.133911,0.255758,0.499793", \ "0.022812,0.032441,0.045304,0.073635,0.133917,0.255757,0.499786", \ "0.022860,0.032474,0.045324,0.073628,0.133916,0.255752,0.499793", \ "0.023386,0.032935,0.045631,0.073737,0.133921,0.255760,0.499783"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & !D & !SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.378189,0.389797,0.401703,0.427587,0.486411,0.610863,0.864055", \ "0.382689,0.394296,0.406196,0.432094,0.490925,0.615377,0.868582", \ "0.401156,0.412759,0.424677,0.450590,0.509405,0.633854,0.887057", \ "0.432672,0.444281,0.456174,0.482047,0.540868,0.665366,0.918552", \ "0.478505,0.490161,0.501995,0.527988,0.586804,0.711293,0.964483", \ "0.541408,0.553014,0.565119,0.591008,0.649875,0.774445,1.027779", \ "0.619575,0.630618,0.642664,0.667416,0.725721,0.850006,1.103018"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.022803,0.032438,0.045302,0.073626,0.133911,0.255746,0.499784", \ "0.022807,0.032438,0.045308,0.073637,0.133908,0.255751,0.499790", \ "0.022804,0.032436,0.045306,0.073623,0.133919,0.255752,0.499788", \ "0.022808,0.032436,0.045302,0.073637,0.133911,0.255758,0.499793", \ "0.022812,0.032441,0.045304,0.073635,0.133917,0.255757,0.499786", \ "0.022860,0.032474,0.045324,0.073628,0.133915,0.255752,0.499793", \ "0.023386,0.032935,0.045631,0.073737,0.133921,0.255760,0.499783"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & !D & SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.378192,0.389800,0.401706,0.427586,0.486411,0.610862,0.864056", \ "0.382692,0.394297,0.406199,0.432096,0.490926,0.615379,0.868583", \ "0.401158,0.412762,0.424680,0.450593,0.509406,0.633855,0.887057", \ "0.432674,0.444283,0.456176,0.482049,0.540870,0.665367,0.918553", \ "0.478507,0.490163,0.501997,0.527990,0.586806,0.711294,0.964484", \ "0.541410,0.553016,0.565120,0.591009,0.649865,0.774446,1.027780", \ "0.619577,0.630619,0.642666,0.667417,0.725722,0.850007,1.103018"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.022803,0.032438,0.045302,0.073623,0.133911,0.255747,0.499786", \ "0.022807,0.032438,0.045308,0.073637,0.133908,0.255751,0.499790", \ "0.022804,0.032436,0.045306,0.073623,0.133919,0.255752,0.499788", \ "0.022808,0.032436,0.045302,0.073637,0.133911,0.255758,0.499793", \ "0.022812,0.032441,0.045304,0.073635,0.133917,0.255757,0.499786", \ "0.022861,0.032474,0.045324,0.073628,0.133916,0.255752,0.499793", \ "0.023386,0.032935,0.045631,0.073737,0.133920,0.255760,0.499783"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & !D & SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.378057,0.389669,0.401585,0.427495,0.486318,0.610839,0.864082", \ "0.382568,0.394190,0.406107,0.431992,0.490847,0.615355,0.868617", \ "0.401051,0.412655,0.424566,0.450495,0.509309,0.633834,0.887086", \ "0.432622,0.444236,0.456101,0.482047,0.540876,0.665385,0.918597", \ "0.478347,0.489947,0.501931,0.527845,0.586702,0.711203,0.964462", \ "0.541216,0.552817,0.564710,0.590527,0.649369,0.773819,1.027054", \ "0.619202,0.630602,0.642046,0.667344,0.725634,0.849801,1.102842"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.022798,0.032431,0.045302,0.073629,0.133909,0.255748,0.499787", \ "0.022802,0.032433,0.045301,0.073628,0.133907,0.255753,0.499788", \ "0.022801,0.032436,0.045308,0.073625,0.133913,0.255751,0.499785", \ "0.022807,0.032434,0.045299,0.073626,0.133911,0.255755,0.499784", \ "0.022806,0.032436,0.045301,0.073630,0.133916,0.255753,0.499787", \ "0.022857,0.032472,0.045320,0.073628,0.133912,0.255746,0.499785", \ "0.023376,0.032930,0.045622,0.073736,0.133920,0.255761,0.499793"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & D & !SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.378057,0.389669,0.401585,0.427500,0.486318,0.610840,0.864081", \ "0.382568,0.394190,0.406107,0.431992,0.490847,0.615355,0.868617", \ "0.401051,0.412655,0.424566,0.450494,0.509309,0.633834,0.887087", \ "0.432622,0.444236,0.456102,0.482047,0.540877,0.665385,0.918597", \ "0.478347,0.489947,0.501931,0.527846,0.586702,0.711203,0.964462", \ "0.541216,0.552817,0.564710,0.590527,0.649369,0.773819,1.027054", \ "0.619202,0.630602,0.642050,0.667344,0.725634,0.849801,1.102842"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.022798,0.032431,0.045302,0.073628,0.133909,0.255746,0.499787", \ "0.022802,0.032433,0.045301,0.073628,0.133907,0.255753,0.499788", \ "0.022801,0.032436,0.045308,0.073625,0.133913,0.255751,0.499785", \ "0.022807,0.032434,0.045299,0.073626,0.133911,0.255755,0.499784", \ "0.022806,0.032436,0.045301,0.073630,0.133916,0.255753,0.499787", \ "0.022857,0.032472,0.045320,0.073628,0.133912,0.255746,0.499785", \ "0.023376,0.032930,0.045625,0.073736,0.133920,0.255761,0.499793"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & D & !SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.378057,0.389670,0.401585,0.427500,0.486318,0.610840,0.864087", \ "0.382568,0.394190,0.406107,0.431992,0.490847,0.615355,0.868617", \ "0.401052,0.412655,0.424566,0.450494,0.509309,0.633834,0.887087", \ "0.432622,0.444236,0.456102,0.482047,0.540877,0.665385,0.918597", \ "0.478347,0.489947,0.501942,0.527846,0.586702,0.711203,0.964462", \ "0.541216,0.552817,0.564710,0.590527,0.649369,0.773819,1.027054", \ "0.619202,0.630602,0.642046,0.667344,0.725634,0.849801,1.102842"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.022798,0.032431,0.045302,0.073628,0.133909,0.255746,0.499785", \ "0.022802,0.032433,0.045301,0.073628,0.133907,0.255753,0.499788", \ "0.022801,0.032436,0.045308,0.073626,0.133913,0.255751,0.499785", \ "0.022807,0.032434,0.045299,0.073626,0.133911,0.255755,0.499784", \ "0.022806,0.032436,0.045301,0.073630,0.133916,0.255753,0.499787", \ "0.022857,0.032472,0.045320,0.073628,0.133912,0.255746,0.499785", \ "0.023376,0.032930,0.045622,0.073736,0.133920,0.255761,0.499793"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & D & SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.378192,0.389800,0.401706,0.427585,0.486411,0.610862,0.864056", \ "0.382692,0.394297,0.406198,0.432096,0.490926,0.615379,0.868583", \ "0.401158,0.412762,0.424679,0.450592,0.509406,0.633855,0.887057", \ "0.432674,0.444283,0.456176,0.482049,0.540870,0.665367,0.918553", \ "0.478507,0.490163,0.501997,0.527990,0.586806,0.711294,0.964484", \ "0.541410,0.553016,0.565120,0.591009,0.649876,0.774446,1.027780", \ "0.619577,0.630619,0.642666,0.667417,0.725723,0.850007,1.103018"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.022803,0.032438,0.045302,0.073623,0.133911,0.255747,0.499784", \ "0.022807,0.032438,0.045308,0.073637,0.133908,0.255751,0.499790", \ "0.022804,0.032436,0.045306,0.073623,0.133919,0.255752,0.499788", \ "0.022808,0.032436,0.045302,0.073637,0.133911,0.255758,0.499793", \ "0.022812,0.032441,0.045304,0.073635,0.133917,0.255757,0.499786", \ "0.022861,0.032474,0.045324,0.073628,0.133915,0.255752,0.499793", \ "0.023386,0.032935,0.045631,0.073737,0.133921,0.255760,0.499783"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & D & SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.378057,0.389669,0.401585,0.427500,0.486318,0.610840,0.864087", \ "0.382568,0.394190,0.406107,0.431992,0.490847,0.615355,0.868617", \ "0.401051,0.412655,0.424566,0.450495,0.509309,0.633834,0.887086", \ "0.432622,0.444236,0.456101,0.482047,0.540876,0.665385,0.918597", \ "0.478347,0.489947,0.501931,0.527845,0.586702,0.711203,0.964462", \ "0.541219,0.552817,0.564710,0.590526,0.649369,0.773819,1.027054", \ "0.619202,0.630602,0.642046,0.667344,0.725633,0.849801,1.102842"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.022798,0.032431,0.045302,0.073628,0.133909,0.255746,0.499785", \ "0.022802,0.032433,0.045301,0.073628,0.133907,0.255753,0.499788", \ "0.022801,0.032436,0.045308,0.073625,0.133913,0.255751,0.499785", \ "0.022807,0.032434,0.045299,0.073626,0.133911,0.255755,0.499784", \ "0.022806,0.032436,0.045301,0.073630,0.133916,0.255753,0.499787", \ "0.022853,0.032472,0.045320,0.073628,0.133912,0.255746,0.499785", \ "0.023376,0.032930,0.045625,0.073736,0.133920,0.255761,0.499793"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & !D & !SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.638832,0.650725,0.662943,0.689192,0.748277,0.873088,1.126695", \ "0.642894,0.654731,0.666956,0.693207,0.752326,0.877151,1.130769", \ "0.660494,0.672279,0.684442,0.710715,0.769894,0.894718,1.148326", \ "0.696788,0.708618,0.720713,0.746968,0.806204,0.931005,1.184549", \ "0.755333,0.767053,0.779364,0.805749,0.864985,0.989718,1.243471", \ "0.834146,0.845870,0.858058,0.884533,0.943614,1.068503,1.322153", \ "0.924648,0.936353,0.948320,0.974439,1.033474,1.158432,1.411788"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.022858,0.032721,0.045650,0.073842,0.134000,0.255827,0.499851", \ "0.022763,0.032636,0.045577,0.073804,0.133982,0.255831,0.499848", \ "0.022714,0.032576,0.045531,0.073776,0.133974,0.255829,0.499848", \ "0.022683,0.032551,0.045517,0.073763,0.133974,0.255821,0.499847", \ "0.022678,0.032537,0.045499,0.073773,0.133976,0.255817,0.499839", \ "0.022662,0.032528,0.045489,0.073766,0.133974,0.255816,0.499841", \ "0.022664,0.032522,0.045483,0.073759,0.133963,0.255825,0.499846"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & !D & !SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.638833,0.650699,0.662945,0.689194,0.748281,0.873089,1.126695", \ "0.642895,0.654732,0.666957,0.693208,0.752327,0.877152,1.130770", \ "0.660495,0.672280,0.684443,0.710716,0.769895,0.894719,1.148327", \ "0.696789,0.708603,0.720714,0.746969,0.806205,0.931005,1.184549", \ "0.755335,0.767053,0.779365,0.805750,0.864986,0.989719,1.243473", \ "0.834139,0.845861,0.858059,0.884439,0.943519,1.068604,1.322154", \ "0.924649,0.936356,0.948321,0.974439,1.033475,1.158433,1.411788"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.022858,0.032721,0.045649,0.073842,0.134000,0.255827,0.499851", \ "0.022763,0.032636,0.045577,0.073804,0.133982,0.255831,0.499848", \ "0.022714,0.032576,0.045531,0.073776,0.133974,0.255829,0.499848", \ "0.022683,0.032551,0.045517,0.073763,0.133974,0.255821,0.499847", \ "0.022678,0.032537,0.045499,0.073773,0.133976,0.255817,0.499839", \ "0.022661,0.032528,0.045489,0.073765,0.133974,0.255819,0.499841", \ "0.022664,0.032523,0.045483,0.073759,0.133963,0.255825,0.499846"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & !D & SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.638822,0.650717,0.662925,0.689172,0.748264,0.873078,1.126686", \ "0.642880,0.654716,0.666942,0.693194,0.752314,0.877141,1.130763", \ "0.660480,0.672265,0.684428,0.710702,0.769882,0.894709,1.148319", \ "0.696779,0.708595,0.720700,0.746956,0.806191,0.930996,1.184542", \ "0.755320,0.767046,0.779352,0.805738,0.864969,0.989709,1.243466", \ "0.834134,0.845866,0.858059,0.884428,0.943511,1.068596,1.322237", \ "0.924641,0.936342,0.948311,0.974429,1.033466,1.158426,1.411783"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.022858,0.032720,0.045645,0.073842,0.134000,0.255827,0.499851", \ "0.022762,0.032636,0.045577,0.073804,0.133982,0.255831,0.499848", \ "0.022714,0.032576,0.045531,0.073776,0.133971,0.255828,0.499848", \ "0.022689,0.032552,0.045512,0.073763,0.133973,0.255821,0.499847", \ "0.022678,0.032539,0.045500,0.073773,0.133965,0.255817,0.499848", \ "0.022667,0.032529,0.045498,0.073765,0.133975,0.255819,0.499840", \ "0.022666,0.032518,0.045483,0.073759,0.133963,0.255825,0.499845"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & !D & SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.638833,0.650727,0.662964,0.689219,0.748319,0.873148,1.126776", \ "0.642913,0.654752,0.666977,0.693233,0.752360,0.877197,1.130838", \ "0.660509,0.672301,0.684488,0.710745,0.769931,0.894769,1.148395", \ "0.696819,0.708647,0.720743,0.747002,0.806247,0.931055,1.184619", \ "0.755371,0.767096,0.779402,0.805791,0.865032,0.989777,1.243548", \ "0.834188,0.845917,0.858099,0.884591,0.943665,1.068666,1.322238", \ "0.924720,0.936432,0.948390,0.974503,1.033549,1.158512,1.411853"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.022858,0.032720,0.045644,0.073841,0.134000,0.255826,0.499851", \ "0.022761,0.032635,0.045577,0.073804,0.133982,0.255831,0.499845", \ "0.022704,0.032575,0.045533,0.073776,0.133971,0.255829,0.499849", \ "0.022689,0.032550,0.045512,0.073763,0.133973,0.255822,0.499847", \ "0.022678,0.032539,0.045499,0.073773,0.133977,0.255817,0.499847", \ "0.022672,0.032529,0.045489,0.073765,0.133965,0.255816,0.499839", \ "0.022667,0.032522,0.045490,0.073766,0.133968,0.255824,0.499848"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & D & !SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.638833,0.650727,0.662964,0.689219,0.748318,0.873160,1.126773", \ "0.642913,0.654752,0.666977,0.693233,0.752360,0.877197,1.130838", \ "0.660509,0.672301,0.684488,0.710745,0.769931,0.894769,1.148395", \ "0.696819,0.708646,0.720743,0.747002,0.806247,0.931055,1.184619", \ "0.755371,0.767096,0.779402,0.805791,0.865032,0.989777,1.243548", \ "0.834201,0.845925,0.858057,0.884484,0.943665,1.068666,1.322238", \ "0.924720,0.936432,0.948390,0.974503,1.033549,1.158512,1.411853"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.022858,0.032720,0.045644,0.073841,0.134000,0.255826,0.499851", \ "0.022761,0.032635,0.045577,0.073804,0.133982,0.255831,0.499845", \ "0.022704,0.032575,0.045533,0.073776,0.133971,0.255829,0.499849", \ "0.022689,0.032550,0.045512,0.073763,0.133973,0.255822,0.499847", \ "0.022678,0.032539,0.045499,0.073773,0.133977,0.255817,0.499847", \ "0.022668,0.032529,0.045489,0.073758,0.133965,0.255816,0.499839", \ "0.022667,0.032522,0.045490,0.073766,0.133968,0.255824,0.499848"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & D & !SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.638833,0.650727,0.662964,0.689219,0.748319,0.873156,1.126776", \ "0.642913,0.654752,0.666977,0.693233,0.752360,0.877197,1.130838", \ "0.660509,0.672301,0.684488,0.710745,0.769931,0.894769,1.148395", \ "0.696819,0.708647,0.720743,0.747002,0.806247,0.931055,1.184619", \ "0.755371,0.767096,0.779402,0.805791,0.865032,0.989777,1.243548", \ "0.834191,0.845917,0.858099,0.884590,0.943665,1.068572,1.322326", \ "0.924719,0.936432,0.948390,0.974503,1.033548,1.158512,1.411853"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.022858,0.032720,0.045644,0.073841,0.134000,0.255826,0.499851", \ "0.022761,0.032635,0.045577,0.073804,0.133982,0.255831,0.499845", \ "0.022704,0.032575,0.045533,0.073776,0.133971,0.255829,0.499849", \ "0.022689,0.032550,0.045512,0.073763,0.133973,0.255822,0.499847", \ "0.022678,0.032539,0.045499,0.073773,0.133977,0.255817,0.499847", \ "0.022666,0.032529,0.045489,0.073764,0.133965,0.255816,0.499840", \ "0.022667,0.032522,0.045490,0.073766,0.133968,0.255824,0.499848"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & D & SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.638823,0.650717,0.662926,0.689163,0.748265,0.873088,1.126686", \ "0.642880,0.654717,0.666942,0.693194,0.752315,0.877142,1.130763", \ "0.660481,0.672265,0.684428,0.710702,0.769882,0.894709,1.148320", \ "0.696779,0.708596,0.720700,0.746956,0.806191,0.930996,1.184542", \ "0.755320,0.767046,0.779352,0.805739,0.864970,0.989710,1.243468", \ "0.834082,0.845858,0.858059,0.884523,0.943511,1.068597,1.322237", \ "0.924640,0.936343,0.948311,0.974430,1.033466,1.158426,1.411783"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.022858,0.032720,0.045645,0.073842,0.134000,0.255827,0.499846", \ "0.022762,0.032636,0.045577,0.073804,0.133982,0.255831,0.499848", \ "0.022714,0.032576,0.045531,0.073776,0.133972,0.255828,0.499848", \ "0.022689,0.032552,0.045512,0.073763,0.133973,0.255821,0.499847", \ "0.022681,0.032539,0.045500,0.073773,0.133965,0.255817,0.499848", \ "0.022663,0.032529,0.045498,0.073765,0.133974,0.255819,0.499840", \ "0.022665,0.032521,0.045483,0.073759,0.133963,0.255825,0.499845"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & D & SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.638833,0.650727,0.662964,0.689219,0.748319,0.873161,1.126773", \ "0.642913,0.654752,0.666977,0.693233,0.752360,0.877197,1.130838", \ "0.660509,0.672301,0.684488,0.710745,0.769931,0.894769,1.148395", \ "0.696819,0.708646,0.720742,0.747002,0.806247,0.931055,1.184619", \ "0.755371,0.767096,0.779402,0.805791,0.865032,0.989777,1.243549", \ "0.834201,0.845917,0.858099,0.884590,0.943665,1.068572,1.322238", \ "0.924719,0.936432,0.948389,0.974503,1.033549,1.158512,1.411853"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.022858,0.032720,0.045644,0.073841,0.134000,0.255826,0.499851", \ "0.022761,0.032635,0.045577,0.073804,0.133982,0.255831,0.499845", \ "0.022704,0.032575,0.045533,0.073776,0.133971,0.255829,0.499849", \ "0.022689,0.032550,0.045512,0.073763,0.133973,0.255822,0.499847", \ "0.022678,0.032539,0.045499,0.073773,0.133977,0.255817,0.499847", \ "0.022668,0.032529,0.045489,0.073764,0.133965,0.255816,0.499839", \ "0.022667,0.032522,0.045490,0.073766,0.133968,0.255824,0.499848"); } } internal_power () { related_pin : "CK"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("3.444674,3.521739,3.579675,3.723791,3.832958,3.865207,3.882541", \ "3.434931,3.512729,3.573206,3.713127,3.825357,3.863463,3.875178", \ "3.416022,3.498965,3.556063,3.703803,3.806308,3.845141,3.853509", \ "3.419626,3.497638,3.555064,3.702305,3.804514,3.840143,3.857437", \ "3.441014,3.522692,3.580729,3.726388,3.840817,3.870850,3.864583", \ "3.508828,3.583680,3.639260,3.791443,3.883033,3.933636,3.934142", \ "3.624919,3.700264,3.756649,3.898318,4.013657,4.034102,4.052911"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("3.598668,3.643664,3.675873,3.725167,3.791154,3.819425,3.834441", \ "3.597563,3.637876,3.666484,3.718313,3.789848,3.816391,3.819265", \ "3.570297,3.615067,3.636313,3.699296,3.769905,3.792126,3.806234", \ "3.556972,3.601670,3.632956,3.682534,3.747034,3.776098,3.793808", \ "3.575693,3.621097,3.649798,3.699077,3.777510,3.811525,3.813121", \ "3.637919,3.679796,3.709235,3.758737,3.831964,3.845187,3.860436", \ "3.756030,3.799225,3.819796,3.881605,3.944396,3.970397,3.987652"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & !SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("2.977039,3.016681,3.053724,3.092100,3.152492,3.166822,3.169030", \ "2.971804,3.012012,3.041148,3.097708,3.145795,3.160673,3.162857", \ "2.961391,2.999956,3.037375,3.080727,3.128755,3.142949,3.144620", \ "2.958474,2.997093,3.022845,3.079869,3.111216,3.124900,3.161117", \ "2.952601,2.999725,3.022876,3.078171,3.116991,3.131043,3.133212", \ "2.987997,3.024522,3.056683,3.093647,3.135762,3.147654,3.149746", \ "3.053800,3.074423,3.103110,3.122600,3.148282,3.157498,3.190969"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & !SE & SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("2.976418,3.016041,3.052988,3.091408,3.151775,3.166055,3.168008", \ "2.971187,3.011365,3.040508,3.097040,3.145089,3.159928,3.162086", \ "2.960794,2.999351,3.036747,3.080174,3.128061,3.142213,3.143851", \ "2.957908,2.996516,3.022263,3.079250,3.110561,3.124190,3.160379", \ "2.952096,2.999214,3.022342,3.077612,3.116383,3.130387,3.132508", \ "2.987588,3.024096,3.056239,3.093175,3.135272,3.147058,3.149103", \ "3.053504,3.074110,3.102773,3.122224,3.147853,3.156984,3.190372"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("2.976733,3.016365,3.054084,3.091587,3.152160,3.166587,3.168720", \ "2.971501,3.010378,3.040834,3.097397,3.145501,3.160386,3.162674", \ "2.961101,2.999668,3.037068,3.080482,3.128449,3.142692,3.144464", \ "2.958203,2.996824,3.022582,3.079582,3.110943,3.124671,3.160965", \ "2.952384,2.999510,3.022641,3.077944,3.116777,3.130818,3.133071", \ "2.987840,3.024370,3.056503,3.093457,3.135576,3.147496,3.149603", \ "3.053713,3.074334,3.102996,3.122489,3.148157,3.157388,3.190888"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & SE & SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("3.594317,3.636669,3.671690,3.717541,3.779338,3.793087,3.796632", \ "3.589334,3.632170,3.663780,3.723592,3.773213,3.787334,3.788995", \ "3.575496,3.617633,3.657404,3.703351,3.753696,3.767526,3.768212", \ "3.573034,3.616410,3.643647,3.703945,3.737177,3.750990,3.750634", \ "3.573494,3.616527,3.651380,3.710629,3.751920,3.766444,3.767712", \ "3.613126,3.662270,3.685197,3.743644,3.789231,3.801584,3.818057", \ "3.695074,3.732884,3.769577,3.797142,3.852280,3.838005,3.871509"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D & !SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("3.595059,3.637419,3.672482,3.718596,3.780250,3.794110,3.797790", \ "3.590066,3.633074,3.664545,3.724396,3.774079,3.788329,3.789997", \ "3.576207,3.618357,3.658174,3.704180,3.754593,3.768459,3.769162", \ "3.573709,3.617150,3.644359,3.704732,3.738031,3.751884,3.751553", \ "3.574094,3.617142,3.652032,3.711320,3.752663,3.767329,3.768624", \ "3.613670,3.662802,3.685762,3.744286,3.789938,3.802360,3.818974", \ "3.695459,3.733290,3.770158,3.797630,3.852999,3.838677,3.872279"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D & !SE & SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("3.595064,3.637423,3.672484,3.718599,3.780249,3.794116,3.807473", \ "3.590071,3.633099,3.664548,3.724399,3.774081,3.788323,3.789991", \ "3.576212,3.618362,3.658175,3.704178,3.754590,3.768457,3.769164", \ "3.573714,3.617148,3.644362,3.704730,3.738028,3.751885,3.751557", \ "3.574099,3.617147,3.652035,3.711322,3.752666,3.767324,3.768622", \ "3.613639,3.662805,3.685763,3.744282,3.789934,3.802356,3.818956", \ "3.695460,3.733292,3.770032,3.797630,3.852997,3.838675,3.872278"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D & SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("2.976020,3.015619,3.053360,3.090747,3.151196,3.165444,3.167230", \ "2.970788,3.009633,3.040064,3.096558,3.144544,3.159250,3.161323", \ "2.960386,2.998923,3.036294,3.079616,3.127490,3.141565,3.143113", \ "2.957496,2.996092,3.021813,3.078747,3.109997,3.123555,3.159637", \ "2.951706,2.998807,3.021911,3.077144,3.115868,3.129739,3.131777", \ "2.987219,3.023723,3.055824,3.092715,3.134761,3.146475,3.148375", \ "3.053186,3.073781,3.102415,3.121838,3.147400,3.156452,3.189721"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D & SE & SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("3.595059,3.637429,3.672480,3.718605,3.780242,3.794134,3.806944", \ "3.590073,3.632965,3.664556,3.724409,3.774097,3.788318,3.790043", \ "3.576220,3.618373,3.658175,3.704172,3.754582,3.768486,3.769247", \ "3.573725,3.617128,3.644377,3.704728,3.738029,3.751920,3.751646", \ "3.574119,3.617170,3.652050,3.711340,3.752699,3.767333,3.768692", \ "3.613740,3.662825,3.685778,3.744279,3.789941,3.802393,3.818990", \ "3.695486,3.733317,3.770138,3.797653,3.828672,3.838720,3.872363"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D & !SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("3.999281,4.040347,4.077262,4.145368,4.214168,4.253292,4.297931", \ "3.989287,4.029543,4.052083,4.124818,4.207978,4.247511,4.256045", \ "3.980372,4.018319,4.054757,4.112277,4.194483,4.233057,4.243657", \ "3.982178,4.014645,4.051292,4.108761,4.181745,4.220700,4.265124", \ "3.983477,4.022819,4.059379,4.129002,4.209132,4.249047,4.258417", \ "3.996357,4.036215,4.072558,4.136629,4.223417,4.260020,4.275504", \ "4.022800,4.062121,4.092667,4.161828,4.235786,4.271259,4.283989"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D & !SE & SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("3.998395,4.039670,4.071844,4.144483,4.213224,4.252402,4.297111", \ "3.988408,4.028669,4.051208,4.123945,4.207118,4.246665,4.255226", \ "3.979548,4.017485,4.053909,4.111427,4.193650,4.232225,4.242838", \ "3.981382,4.013723,4.050498,4.107956,4.180933,4.219897,4.264343", \ "3.982753,4.022092,4.058656,4.128270,4.208391,4.248298,4.257648", \ "3.995794,4.035731,4.069839,4.136280,4.188094,4.258851,4.274276", \ "4.022319,4.062273,4.092173,4.161304,4.235232,4.270650,4.283335"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D & SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("3.998714,4.039760,4.072031,4.144769,4.213572,4.252706,4.297320", \ "3.988734,4.028993,4.051533,4.124247,4.207393,4.246915,4.255566", \ "3.980177,4.017648,4.054221,4.111707,4.193903,4.232502,4.243222", \ "3.981775,4.017449,4.050716,4.108249,4.181224,4.220186,4.264702", \ "3.983103,4.022517,4.058959,4.128577,4.208756,4.248563,4.259089", \ "3.996178,4.035997,4.065657,4.136559,4.188385,4.259148,4.275714", \ "4.022620,4.061897,4.092414,4.161573,4.235492,4.270941,4.283705"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D & SE & SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("3.996936,4.037881,4.069960,4.142402,4.210623,4.248929,4.292225", \ "3.986906,4.027049,4.064080,4.121925,4.204544,4.243085,4.250879", \ "3.975502,4.016499,4.052188,4.109290,4.190955,4.228702,4.239641", \ "3.979861,4.012372,4.048568,4.105801,4.178225,4.216383,4.260770", \ "3.981056,4.020381,4.056734,4.126074,4.205600,4.244601,4.254504", \ "3.994093,4.033885,4.063200,4.133606,4.220066,4.255308,4.268183", \ "4.028671,4.061094,4.090034,4.158749,4.232179,4.266969,4.278920"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D & !SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("3.997979,4.038932,4.071037,4.143496,4.211735,4.249883,4.293283", \ "3.987938,4.028089,4.065133,4.122965,4.205592,4.244199,4.251934", \ "3.976503,4.017500,4.053224,4.110355,4.192026,4.229758,4.240648", \ "3.980810,4.013324,4.049538,4.106804,4.179254,4.217399,4.261772", \ "3.981918,4.021253,4.057634,4.126987,4.206529,4.245619,4.255491", \ "3.995955,4.035159,4.064226,4.135021,4.220947,4.256202,4.269153", \ "4.029279,4.061717,4.090688,4.159436,4.232933,4.267763,4.279744"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D & !SE & SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("3.997988,4.038934,4.071036,4.143489,4.211718,4.249913,4.293321", \ "3.987947,4.028091,4.065133,4.122970,4.205600,4.244187,4.251929", \ "3.976507,4.017503,4.053223,4.110354,4.192036,4.229752,4.240650", \ "3.980815,4.013327,4.049539,4.106804,4.179244,4.217394,4.261771", \ "3.981921,4.021255,4.057642,4.126989,4.206525,4.245604,4.255486", \ "3.994956,4.034655,4.063998,4.134441,4.220939,4.256529,4.268881", \ "4.029288,4.061723,4.090693,4.159430,4.232926,4.267763,4.279746"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D & SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("3.997671,4.038700,4.070944,4.143694,4.212355,4.251404,4.297964", \ "3.987693,4.027934,4.050453,4.123123,4.206189,4.245584,4.254087", \ "3.979169,4.016600,4.053144,4.110585,4.192701,4.231180,4.241748", \ "3.980749,4.016457,4.049657,4.107142,4.180036,4.218878,4.263248", \ "3.982151,4.021507,4.057932,4.127503,4.207604,4.247291,4.257636", \ "3.995431,4.035095,4.064692,4.135251,4.187290,4.257934,4.274151", \ "4.021825,4.061058,4.091552,4.160665,4.234499,4.269812,4.282390"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D & SE & SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("3.997991,4.038938,4.071037,4.143502,4.211713,4.249915,4.293303", \ "3.987952,4.028098,4.065142,4.122989,4.205626,4.244197,4.252012", \ "3.976519,4.017521,4.053232,4.110349,4.192035,4.229788,4.240758", \ "3.980838,4.013356,4.049562,4.106813,4.179263,4.217438,4.261867", \ "3.981954,4.021288,4.057648,4.127013,4.206560,4.245618,4.255490", \ "3.996000,4.034688,4.064012,4.134458,4.220953,4.256583,4.269190", \ "4.029321,4.061758,4.090717,4.159458,4.232947,4.267822,4.279853"); } fall_power(scalar) {values ("0.0"); } } } } /****************************************************************************************** Module : SDFFR_X2 Cell Description : Pos.edge D-Flip-Flop with active low reset, and active high scan, and drive strength X2 *******************************************************************************************/ cell (SDFFR_X2) { drive_strength : 2; ff ("IQ" , "IQN") { next_state : "((SE * SI) + (D * !SE))"; clocked_on : "CK"; clear : "!RN"; } area : 6.916000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 94.471528; leakage_power () { when : "!CK & !D & !RN & !SE & !SI & !Q & QN"; value : 84.146725; } leakage_power () { when : "!CK & !D & !RN & !SE & SI & !Q & QN"; value : 91.179309; } leakage_power () { when : "!CK & !D & !RN & SE & !SI & !Q & QN"; value : 81.562545; } leakage_power () { when : "!CK & !D & !RN & SE & SI & !Q & QN"; value : 92.131731; } leakage_power () { when : "!CK & !D & RN & !SE & !SI & !Q & QN"; value : 92.106718; } leakage_power () { when : "!CK & !D & RN & !SE & !SI & Q & !QN"; value : 99.252209; } leakage_power () { when : "!CK & !D & RN & !SE & SI & !Q & QN"; value : 99.139302; } leakage_power () { when : "!CK & !D & RN & !SE & SI & Q & !QN"; value : 106.284888; } leakage_power () { when : "!CK & !D & RN & SE & !SI & !Q & QN"; value : 89.522537; } leakage_power () { when : "!CK & !D & RN & SE & !SI & Q & !QN"; value : 96.668219; } leakage_power () { when : "!CK & !D & RN & SE & SI & !Q & QN"; value : 95.860605; } leakage_power () { when : "!CK & !D & RN & SE & SI & Q & !QN"; value : 97.006191; } leakage_power () { when : "!CK & D & !RN & !SE & !SI & !Q & QN"; value : 95.383239; } leakage_power () { when : "!CK & D & !RN & !SE & SI & !Q & QN"; value : 96.430006; } leakage_power () { when : "!CK & D & !RN & SE & !SI & !Q & QN"; value : 90.880600; } leakage_power () { when : "!CK & D & !RN & SE & SI & !Q & QN"; value : 93.494924; } leakage_power () { when : "!CK & D & RN & !SE & !SI & !Q & QN"; value : 99.112113; } leakage_power () { when : "!CK & D & RN & !SE & !SI & Q & !QN"; value : 100.257699; } leakage_power () { when : "!CK & D & RN & !SE & SI & !Q & QN"; value : 100.158880; } leakage_power () { when : "!CK & D & RN & !SE & SI & Q & !QN"; value : 101.304371; } leakage_power () { when : "!CK & D & RN & SE & !SI & !Q & QN"; value : 98.840594; } leakage_power () { when : "!CK & D & RN & SE & !SI & Q & !QN"; value : 105.986275; } leakage_power () { when : "!CK & D & RN & SE & SI & !Q & QN"; value : 97.223807; } leakage_power () { when : "!CK & D & RN & SE & SI & Q & !QN"; value : 98.369393; } leakage_power () { when : "CK & !D & !RN & !SE & !SI & !Q & QN"; value : 84.190767; } leakage_power () { when : "CK & !D & !RN & !SE & SI & !Q & QN"; value : 91.222116; } leakage_power () { when : "CK & !D & !RN & SE & !SI & !Q & QN"; value : 81.606016; } leakage_power () { when : "CK & !D & !RN & SE & SI & !Q & QN"; value : 83.113163; } leakage_power () { when : "CK & !D & RN & !SE & !SI & !Q & QN"; value : 90.010904; } leakage_power () { when : "CK & !D & RN & !SE & !SI & Q & !QN"; value : 101.910585; } leakage_power () { when : "CK & !D & RN & !SE & SI & !Q & QN"; value : 97.042253; } leakage_power () { when : "CK & !D & RN & !SE & SI & Q & !QN"; value : 108.941364; } leakage_power () { when : "CK & !D & RN & SE & !SI & !Q & QN"; value : 87.426153; } leakage_power () { when : "CK & !D & RN & SE & !SI & Q & !QN"; value : 99.325454; } leakage_power () { when : "CK & !D & RN & SE & SI & !Q & QN"; value : 88.934145; } leakage_power () { when : "CK & !D & RN & SE & SI & Q & !QN"; value : 94.854242; } leakage_power () { when : "CK & D & !RN & !SE & !SI & !Q & QN"; value : 86.365042; } leakage_power () { when : "CK & D & !RN & !SE & SI & !Q & QN"; value : 87.412188; } leakage_power () { when : "CK & D & !RN & SE & !SI & !Q & QN"; value : 90.921888; } leakage_power () { when : "CK & D & !RN & SE & SI & !Q & QN"; value : 84.477126; } leakage_power () { when : "CK & D & RN & !SE & !SI & !Q & QN"; value : 92.185938; } leakage_power () { when : "CK & D & RN & !SE & !SI & Q & !QN"; value : 98.106035; } leakage_power () { when : "CK & D & RN & !SE & SI & !Q & QN"; value : 93.233086; } leakage_power () { when : "CK & D & RN & !SE & SI & Q & !QN"; value : 99.153086; } leakage_power () { when : "CK & D & RN & SE & !SI & !Q & QN"; value : 96.742024; } leakage_power () { when : "CK & D & RN & SE & !SI & Q & !QN"; value : 108.640851; } leakage_power () { when : "CK & D & RN & SE & SI & !Q & QN"; value : 90.298023; } leakage_power () { when : "CK & D & RN & SE & SI & Q & !QN"; value : 96.218024; } pin (D) { direction : input; nextstate_type : data; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.118154; fall_capacitance : 1.045331; rise_capacitance : 1.118154; timing () { related_pin : "CK"; timing_type : hold_rising; when : "RN & !SE"; sdf_cond : "RN_AND_NEG_SE === 1'b1"; fall_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.070580,-0.018632,0.038181", \ "-0.108810,-0.053977,0.007576", \ "0.164507,0.221948,0.288529"); } rise_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.021177,0.016885,0.048761", \ "-0.019109,0.015205,0.025969", \ "0.126402,0.162791,0.148816"); } } timing () { related_pin : "CK"; timing_type : setup_rising; when : "RN & !SE"; sdf_cond : "RN_AND_NEG_SE === 1'b1"; fall_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.233958,0.202642,0.212921", \ "0.291659,0.259961,0.271117", \ "0.447098,0.414388,0.423467"); } rise_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.224303,0.166926,0.099926", \ "0.269188,0.211273,0.144801", \ "0.336265,0.278830,0.212274"); } } internal_power () { when : "!CK & !RN & !SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.811190,3.792935,3.768089,3.755179,3.762240,3.813029,3.929587"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.026925,3.006228,2.977157,2.954720,2.954155,3.008582,3.120578"); } } internal_power () { when : "!CK & !RN & !SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.802869,3.784643,3.758724,3.745708,3.752630,3.802752,3.918091"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.009227,2.987725,2.958377,2.935436,2.935699,2.993152,3.107050"); } } internal_power () { when : "!CK & !RN & SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.547510,0.524260,0.515505,0.511914,0.509025,0.508912,0.508794"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.446605,-0.448735,-0.452870,-0.456469,-0.458755,-0.460290,-0.461034"); } } internal_power () { when : "!CK & !RN & SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.480461,0.483627,0.484400,0.484995,0.485085,0.486562,0.485192"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.328968,-0.361069,-0.400123,-0.419739,-0.430136,-0.436407,-0.441076"); } } internal_power () { when : "!CK & RN & !SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.876220,4.857604,4.833611,4.820162,4.827188,4.882465,5.010063"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.345649,3.322488,3.294632,3.271491,3.271605,3.316339,3.436382"); } } internal_power () { when : "!CK & RN & !SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.857140,4.829060,4.802373,4.791190,4.797184,4.845771,4.978437"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.349533,3.326069,3.299211,3.276161,3.275822,3.321857,3.437692"); } } internal_power () { when : "!CK & RN & !SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.868337,4.849512,4.825409,4.811781,4.820324,4.868587,5.000224"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.347997,3.324921,3.297137,3.274052,3.273535,3.319250,3.437918"); } } internal_power () { when : "!CK & RN & !SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.837672,4.820237,4.793381,4.782107,4.787872,4.836016,4.968122"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.349352,3.325869,3.299149,3.275788,3.275113,3.321926,3.438596"); } } internal_power () { when : "!CK & RN & SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.543762,0.523326,0.514567,0.510381,0.508012,0.508004,0.507861"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.449358,-0.451463,-0.455596,-0.459189,-0.461467,-0.462984,-0.463706"); } } internal_power () { when : "!CK & RN & SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.547641,0.524411,0.515644,0.512064,0.509098,0.509090,0.508888"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.446884,-0.448692,-0.452842,-0.456509,-0.458724,-0.460394,-0.461184"); } } internal_power () { when : "!CK & RN & SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.480103,0.483269,0.484043,0.484642,0.484736,0.486221,0.484859"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.330104,-0.362201,-0.401253,-0.420864,-0.431255,-0.437515,-0.442217"); } } internal_power () { when : "!CK & RN & SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.480461,0.483628,0.484401,0.484997,0.485084,0.486563,0.485194"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.329205,-0.361295,-0.400348,-0.419959,-0.430350,-0.436613,-0.441319"); } } internal_power () { when : "CK & !RN & !SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.419846,2.400718,2.375158,2.363542,2.373568,2.440500,2.549616"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.150224,1.127398,1.101076,1.083978,1.088262,1.137507,1.255737"); } } internal_power () { when : "CK & !RN & !SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.410745,2.391911,2.366289,2.354489,2.364235,2.430778,2.539444"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.150186,1.127354,1.101005,1.084609,1.087590,1.137129,1.258162"); } } internal_power () { when : "CK & !RN & SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.543622,0.523249,0.513860,0.510830,0.507973,0.507861,0.507808"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.450109,-0.451537,-0.456126,-0.459784,-0.462012,-0.463694,-0.464457"); } } internal_power () { when : "CK & !RN & SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.479553,0.482944,0.483250,0.484336,0.484494,0.485867,0.484600"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.330683,-0.362841,-0.401835,-0.421455,-0.431808,-0.438067,-0.442722"); } } internal_power () { when : "CK & RN & !SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.419404,2.399990,2.374502,2.362939,2.372959,2.440173,2.549058"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.192941,1.171882,1.143608,1.126107,1.129791,1.180188,1.300044"); } } internal_power () { when : "CK & RN & !SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.380025,2.361548,2.338251,2.324889,2.335392,2.402699,2.510518"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.185814,1.164780,1.138351,1.118189,1.123772,1.169758,1.288706"); } } internal_power () { when : "CK & RN & !SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.411340,2.391994,2.366339,2.354582,2.364306,2.430961,2.539484"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.195449,1.172350,1.146094,1.128529,1.131982,1.183158,1.301415"); } } internal_power () { when : "CK & RN & !SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.371293,2.352769,2.327943,2.315817,2.326067,2.393140,2.501544"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.185796,1.164664,1.138276,1.118632,1.123214,1.171743,1.288153"); } } internal_power () { when : "CK & RN & SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.543554,0.523105,0.513792,0.510767,0.507895,0.507798,0.507710"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.449872,-0.452006,-0.456147,-0.459743,-0.462026,-0.463547,-0.464275"); } } internal_power () { when : "CK & RN & SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.546840,0.523604,0.514832,0.510602,0.508242,0.508313,0.508134"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.449206,-0.451040,-0.455194,-0.458858,-0.461068,-0.462724,-0.463500"); } } internal_power () { when : "CK & RN & SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.479894,0.483024,0.483312,0.484405,0.484562,0.485957,0.484677"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.330549,-0.362729,-0.401766,-0.421370,-0.431758,-0.438016,-0.442669"); } } internal_power () { when : "CK & RN & SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.480631,0.483775,0.484550,0.485142,0.485257,0.486689,0.485355"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.328617,-0.360761,-0.399794,-0.419400,-0.429791,-0.436059,-0.440724"); } } } pin (RN) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.534676; fall_capacitance : 1.491248; rise_capacitance : 1.534676; timing () { related_pin : "CK"; timing_type : recovery_rising; rise_constraint(Recovery_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.096797,-0.151321,-0.217062", \ "-0.055467,-0.110449,-0.177555", \ "-0.014196,-0.069519,-0.136992"); } } timing () { related_pin : "CK"; timing_type : removal_rising; rise_constraint(Removal_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.116950,0.168657,0.233280", \ "0.079613,0.131471,0.195954", \ "0.052468,0.104017,0.168236"); } } timing () { related_pin : "RN"; timing_type : min_pulse_width; fall_constraint(Pulse_width_3) { index_1 ("0.00231025,0.112657,0.500000"); values ("0.395210,0.494789,0.884007"); } } internal_power () { when : "!CK & !D & !SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.514241,0.502780,0.491509,0.488949,0.487395,0.487372,0.485901"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.449788,-0.450227,-0.449348,-0.457225,-0.459283,-0.460190,-0.458797"); } } internal_power () { when : "!CK & !D & !SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.524900,0.502717,0.491431,0.488888,0.487330,0.487294,0.485913"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.453268,-0.454413,-0.443268,-0.451701,-0.457455,-0.463038,-0.462396"); } } internal_power () { when : "!CK & !D & SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.514210,0.502736,0.491473,0.488913,0.487391,0.487327,0.485898"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.449809,-0.450277,-0.449399,-0.457275,-0.459334,-0.460241,-0.458848"); } } internal_power () { when : "!CK & !D & SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.627961,1.608838,1.584098,1.588252,1.597545,1.658946,1.715860"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.105408,-0.107753,-0.111118,-0.115380,-0.116848,-0.105720,-0.080351"); } } internal_power () { when : "!CK & D & !SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.628004,1.608896,1.584148,1.588303,1.597550,1.659011,1.715863"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.105376,-0.107677,-0.111042,-0.115304,-0.116772,-0.105646,-0.080277"); } } internal_power () { when : "!CK & D & !SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.627995,1.608884,1.584139,1.588293,1.597549,1.658999,1.715863"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.105382,-0.107691,-0.111057,-0.115318,-0.116787,-0.105660,-0.080310"); } } internal_power () { when : "!CK & D & SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.514244,0.502784,0.491512,0.488954,0.487394,0.487379,0.485900"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.449785,-0.450219,-0.449340,-0.457217,-0.459275,-0.460183,-0.458790"); } } internal_power () { when : "!CK & D & SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.627949,1.608821,1.584085,1.588239,1.597544,1.658929,1.715859"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.105416,-0.107772,-0.111138,-0.115400,-0.116868,-0.105739,-0.080390"); } } internal_power () { when : "CK & !D & !SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.477074,0.472432,0.470381,0.470400,0.469162,0.469712,0.468516"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.448342,-0.447196,-0.449696,-0.450888,-0.451898,-0.452284,-0.451885"); } } internal_power () { when : "CK & !D & !SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.476857,0.472229,0.470144,0.470198,0.468940,0.469536,0.468316"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.449280,-0.448107,-0.450606,-0.451795,-0.452800,-0.453178,-0.452768"); } } internal_power () { when : "CK & !D & SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.475964,0.471311,0.469307,0.469288,0.468209,0.468600,0.467462"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.450804,-0.449963,-0.452324,-0.453227,-0.453163,-0.454820,-0.454426"); } } internal_power () { when : "CK & !D & SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.475542,0.470877,0.468912,0.468877,0.467710,0.468207,0.467116"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.452399,-0.451307,-0.453807,-0.454996,-0.456003,-0.456371,-0.455955"); } } internal_power () { when : "CK & D & !SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.476195,0.471544,0.469513,0.469526,0.468423,0.468849,0.467678"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.450528,-0.449670,-0.452025,-0.452922,-0.452855,-0.454506,-0.454105"); } } internal_power () { when : "CK & D & !SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.476229,0.471577,0.469560,0.469562,0.468350,0.468883,0.467726"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.450526,-0.449398,-0.451899,-0.453089,-0.454098,-0.454473,-0.454066"); } } internal_power () { when : "CK & D & SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.476894,0.472258,0.470202,0.470232,0.468998,0.469569,0.468380"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.449259,-0.448107,-0.450605,-0.451794,-0.452798,-0.453174,-0.452761"); } } internal_power () { when : "CK & D & SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.475530,0.470860,0.468911,0.468863,0.467708,0.468189,0.467114"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.452437,-0.451339,-0.453824,-0.455018,-0.456028,-0.456394,-0.455982"); } } } pin (SE) { direction : input; nextstate_type : scan_enable; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.883445; fall_capacitance : 1.735922; rise_capacitance : 1.883445; timing () { related_pin : "CK"; timing_type : hold_rising; when : "RN"; sdf_cond : "RN === 1'b1"; fall_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.044677,-0.007905,0.017750", \ "-0.103974,-0.065487,-0.037110", \ "0.170929,0.205940,0.198078"); } rise_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.066203,-0.015902,0.053736", \ "-0.086099,-0.030403,0.035475", \ "0.034320,0.091314,0.160364"); } } timing () { related_pin : "CK"; timing_type : setup_rising; when : "RN"; sdf_cond : "RN === 1'b1"; fall_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.269239,0.211694,0.175680", \ "0.333983,0.275771,0.229020", \ "0.466462,0.409472,0.383121"); } rise_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.260709,0.228340,0.237714", \ "0.296776,0.264176,0.274292", \ "0.329843,0.294839,0.302731"); } } internal_power () { when : "!CK & !D & !RN & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.824743,1.787617,1.776604,1.824074,1.920924,2.084739,2.319202"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.029163,-0.052313,-0.075805,-0.058837,0.023460,0.177857,0.407999"); } } internal_power () { when : "!CK & !D & !RN & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.490427,4.422595,4.379089,4.449612,4.630211,4.943073,5.384041"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.545474,2.523606,2.475795,2.488738,2.614500,2.904846,3.340142"); } } internal_power () { when : "!CK & !D & RN & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.824330,1.786684,1.775689,1.822802,1.920482,2.083832,2.318534"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.035100,-0.055024,-0.078543,-0.057088,0.023987,0.175027,0.402748"); } } internal_power () { when : "!CK & !D & RN & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.825513,1.788801,1.776290,1.824366,1.922093,2.085058,2.319431"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.028009,-0.045729,-0.072331,-0.055129,0.031891,0.178983,0.408979"); } } internal_power () { when : "!CK & !D & RN & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.561815,5.488333,5.446784,5.514748,5.695380,6.003922,6.473606"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.902386,2.881147,2.832619,2.843384,2.971842,3.246242,3.689521"); } } internal_power () { when : "!CK & !D & RN & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.526290,5.458895,5.417341,5.483480,5.664329,5.969335,6.444706"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.881868,2.860491,2.811877,2.824028,2.951854,3.229279,3.672881"); } } internal_power () { when : "!CK & D & !RN & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.644313,4.610386,4.622943,4.710304,4.855263,5.070997,5.376777"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.191072,3.188823,3.135379,3.116352,3.168604,3.303442,3.536570"); } } internal_power () { when : "!CK & D & !RN & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.692978,1.656141,1.646638,1.696560,1.797321,1.960117,2.192453"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.034653,0.017204,-0.002790,0.014253,0.093309,0.243816,0.474767"); } } internal_power () { when : "!CK & D & RN & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.000312,4.965416,4.978478,5.065483,5.212737,5.426390,5.720225"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.261002,4.258329,4.205390,4.185663,4.235847,4.366154,4.621690"); } } internal_power () { when : "!CK & D & RN & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.035964,5.001582,5.014345,5.101778,5.247629,5.462106,5.755708"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.281055,4.278388,4.225515,4.206901,4.258547,4.390291,4.643746"); } } internal_power () { when : "!CK & D & RN & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.692555,1.655760,1.646289,1.695636,1.796913,1.960875,2.192161"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.033526,0.016079,-0.003972,0.013121,0.092169,0.242319,0.473671"); } } internal_power () { when : "!CK & D & RN & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.693049,1.656173,1.646862,1.696563,1.797319,1.961207,2.192336"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.034401,0.016980,-0.003143,0.014027,0.093092,0.243223,0.474540"); } } internal_power () { when : "CK & !D & !RN & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.827819,1.788720,1.776003,1.824398,1.922501,2.084811,2.318649"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.026386,-0.048619,-0.069215,-0.050903,0.031497,0.180915,0.408689"); } } internal_power () { when : "CK & !D & !RN & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.091742,3.023402,2.982062,3.058767,3.244197,3.567366,4.007969"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.680740,0.658832,0.616820,0.636343,0.770140,1.051416,1.491313"); } } internal_power () { when : "CK & !D & RN & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.824370,1.785866,1.774906,1.822930,1.920334,2.083311,2.318275"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.035689,-0.055696,-0.078901,-0.062078,0.023337,0.173307,0.402676"); } } internal_power () { when : "CK & !D & RN & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.826898,1.788754,1.775659,1.823249,1.921685,2.084194,2.318890"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.030951,-0.050697,-0.074292,-0.057595,0.029322,0.178001,0.406553"); } } internal_power () { when : "CK & !D & RN & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.091247,3.023380,2.982105,3.058691,3.244159,3.567350,4.007940"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.750641,0.729935,0.684165,0.705005,0.835488,1.111655,1.553873"); } } internal_power () { when : "CK & !D & RN & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.051750,2.984755,2.942010,3.017955,3.208080,3.532699,3.972699"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.718599,0.696926,0.654344,0.671398,0.803394,1.080422,1.519422"); } } internal_power () { when : "CK & D & !RN & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.854592,2.819422,2.830346,2.918306,3.064249,3.279960,3.570389"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.718714,1.724325,1.702229,1.701207,1.765302,1.909519,2.140612"); } } internal_power () { when : "CK & D & !RN & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.695514,1.658887,1.649294,1.699700,1.800664,1.964266,2.194520"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.102188,-0.119978,-0.139796,-0.124049,-0.046007,0.101751,0.330121"); } } internal_power () { when : "CK & D & RN & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.844628,2.811071,2.822868,2.909771,3.057681,3.274841,3.569349"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.801193,1.798346,1.744914,1.723212,1.776902,1.921505,2.143042"); } } internal_power () { when : "CK & D & RN & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.881304,2.845561,2.858775,2.944342,3.094515,3.308971,3.600980"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.780997,1.777772,1.724385,1.702905,1.756507,1.897542,2.121803"); } } internal_power () { when : "CK & D & RN & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.692405,1.655240,1.645546,1.695993,1.796861,1.960435,2.192528"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.033081,0.015587,-0.004447,0.012598,0.091774,0.242196,0.473125"); } } internal_power () { when : "CK & D & RN & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.693032,1.655955,1.646782,1.696724,1.797444,1.958465,2.191846"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.034943,0.017533,-0.002556,0.014573,0.092639,0.244013,0.475065"); } } } pin (SI) { direction : input; nextstate_type : scan_in; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 0.860841; fall_capacitance : 0.821904; rise_capacitance : 0.860841; timing () { related_pin : "CK"; timing_type : hold_rising; when : "RN & SE"; sdf_cond : "RN_AND_SE === 1'b1"; fall_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.082932,-0.030335,0.026283", \ "-0.110961,-0.055309,0.007658", \ "0.143245,0.200842,0.267202"); } rise_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.022275,0.016194,0.047252", \ "-0.020749,0.013754,0.025179", \ "0.146094,0.182739,0.171539"); } } timing () { related_pin : "CK"; timing_type : setup_rising; when : "RN & SE"; sdf_cond : "RN_AND_SE === 1'b1"; fall_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.268494,0.236141,0.245575", \ "0.325761,0.293343,0.303116", \ "0.489897,0.456606,0.464403"); } rise_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.229260,0.171687,0.104643", \ "0.278160,0.220431,0.153886", \ "0.357510,0.299918,0.233584"); } } internal_power () { when : "!CK & !D & !RN & !SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.415126,0.398491,0.391049,0.387871,0.385430,0.385292,0.384117"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.341185,-0.343305,-0.346365,-0.348036,-0.349007,-0.349654,-0.349874"); } } internal_power () { when : "!CK & !D & !RN & SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.777619,3.765955,3.748920,3.737103,3.741669,3.776085,3.858954"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.683999,2.667697,2.648791,2.631591,2.626880,2.661418,2.736337"); } } internal_power () { when : "!CK & !D & RN & !SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.411976,0.397557,0.390111,0.386310,0.384416,0.384384,0.383185"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.343935,-0.346033,-0.349091,-0.350756,-0.351719,-0.352349,-0.352546"); } } internal_power () { when : "!CK & !D & RN & !SE & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.415330,0.398693,0.390557,0.388064,0.385550,0.385520,0.384239"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.341079,-0.343175,-0.346248,-0.347920,-0.348865,-0.349593,-0.349994"); } } internal_power () { when : "!CK & !D & RN & SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.845772,4.834119,4.816175,4.814436,4.819910,4.840941,4.924599"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.000746,2.984583,2.965714,2.948346,2.943963,2.970607,3.050217"); } } internal_power () { when : "!CK & !D & RN & SE & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.814832,4.803289,4.785107,4.772241,4.778043,4.810057,4.898929"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.004827,2.989009,2.969538,2.952920,2.948984,2.974994,3.049714"); } } internal_power () { when : "!CK & D & !RN & !SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.357649,0.361130,0.362206,0.361952,0.361339,0.361914,0.361519"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.248921,-0.272076,-0.301460,-0.315966,-0.323551,-0.328218,-0.331405"); } } internal_power () { when : "!CK & D & !RN & SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.766540,3.754829,3.737019,3.725742,3.730316,3.763684,3.845789"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.686188,2.670470,2.651478,2.634167,2.629474,2.663722,2.738880"); } } internal_power () { when : "!CK & D & RN & !SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.357288,0.360773,0.361852,0.360969,0.360927,0.361573,0.361187"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.250053,-0.273210,-0.302589,-0.317093,-0.324671,-0.329326,-0.332498"); } } internal_power () { when : "!CK & D & RN & !SE & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.357648,0.361133,0.362211,0.361956,0.361339,0.361920,0.361522"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.249153,-0.272305,-0.301685,-0.316188,-0.323766,-0.328424,-0.331652"); } } internal_power () { when : "!CK & D & RN & SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.834870,4.822412,4.804318,4.802388,4.799177,4.828542,4.911589"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.003293,2.987221,2.968299,2.950920,2.946571,2.973004,3.052747"); } } internal_power () { when : "!CK & D & RN & SE & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.802790,4.791201,4.772868,4.759154,4.766537,4.793346,4.883957"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.019554,3.001977,2.983941,2.966624,2.963068,2.988216,3.064609"); } } internal_power () { when : "CK & !D & !RN & !SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.416102,0.398495,0.390477,0.387879,0.385456,0.385299,0.384134"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.341312,-0.343490,-0.346568,-0.348241,-0.349186,-0.349912,-0.350310"); } } internal_power () { when : "CK & !D & !RN & SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.384999,2.373865,2.355101,2.343005,2.352859,2.402530,2.481060"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.851248,0.836988,0.819342,0.804942,0.804046,0.837154,0.920491"); } } internal_power () { when : "CK & !D & RN & !SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.411771,0.397335,0.389308,0.386724,0.384305,0.384181,0.383037"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.344455,-0.346582,-0.349645,-0.351314,-0.352281,-0.352916,-0.353119"); } } internal_power () { when : "CK & !D & RN & !SE & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.412276,0.397832,0.390377,0.386525,0.384642,0.384694,0.383437"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.343568,-0.345665,-0.348738,-0.350407,-0.351349,-0.352065,-0.352451"); } } internal_power () { when : "CK & !D & RN & SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.384046,2.372717,2.353950,2.342037,2.351762,2.401677,2.480062"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.851073,0.836997,0.819354,0.804836,0.803540,0.837246,0.917284"); } } internal_power () { when : "CK & !D & RN & SE & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.347026,2.336845,2.317669,2.306208,2.315515,2.363515,2.436929"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.845282,0.829249,0.811828,0.799540,0.797470,0.829738,0.907823"); } } internal_power () { when : "CK & D & !RN & !SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.357715,0.361153,0.361686,0.362006,0.361478,0.361943,0.361663"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.248928,-0.270751,-0.300301,-0.316001,-0.323339,-0.327781,-0.330949"); } } internal_power () { when : "CK & D & !RN & SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.372478,2.361427,2.342547,2.330059,2.339555,2.388785,2.466518"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.809085,0.791903,0.774504,0.763423,0.761909,0.795895,0.874058"); } } internal_power () { when : "CK & D & RN & !SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.357063,0.360521,0.361067,0.361362,0.360816,0.361308,0.361005"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.250508,-0.273717,-0.303107,-0.317591,-0.325169,-0.329823,-0.332995"); } } internal_power () { when : "CK & D & RN & !SE & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.357801,0.361276,0.362361,0.362101,0.361512,0.362045,0.361683"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.248574,-0.271748,-0.301137,-0.315623,-0.323202,-0.327866,-0.331049"); } } internal_power () { when : "CK & D & RN & SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.372741,2.361370,2.342491,2.330117,2.339599,2.388856,2.466583"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.853637,0.839568,0.821527,0.807523,0.805902,0.839634,0.919613"); } } internal_power () { when : "CK & D & RN & SE & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.335181,2.324931,2.305010,2.294457,2.302873,2.350263,2.422089"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.858694,0.842219,0.824862,0.812374,0.810404,0.842532,0.919581"); } } } pin (CK) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock : true; capacitance : 0.921336; fall_capacitance : 0.831574; rise_capacitance : 0.921336; timing () { related_pin : "CK"; timing_type : min_pulse_width; when : "RN"; sdf_cond : "RN === 1'b1"; fall_constraint(Pulse_width_3) { index_1 ("0.00231025,0.112657,0.500000"); values ("0.252949,0.263591,0.500500"); } rise_constraint(Pulse_width_3) { index_1 ("0.00231025,0.112657,0.500000"); values ("0.334154,0.336520,0.500500"); } } internal_power () { when : "!D & !RN & !SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.209744,3.184399,3.155276,3.174712,3.253416,3.409246,3.625964"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.301560,2.287917,2.255879,2.251166,2.302086,2.424650,2.632114"); } } internal_power () { when : "!D & !RN & !SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.201121,3.175577,3.146432,3.165594,3.242593,3.399992,3.611687"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.460600,2.448330,2.410969,2.408838,2.461762,2.582018,2.793479"); } } internal_power () { when : "!D & !RN & SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.209559,3.184214,3.155100,3.174408,3.253361,3.409159,3.625965"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.301642,2.287927,2.255908,2.251246,2.302103,2.424671,2.632102"); } } internal_power () { when : "!D & !RN & SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.800155,4.774551,4.745510,4.767296,4.857321,5.018317,5.260280"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.905288,5.890380,5.858720,5.864380,5.929573,6.063622,6.294157"); } } internal_power () { when : "!D & RN & !SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.223187,3.197632,3.167624,3.185176,3.265012,3.421579,3.640347"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.318704,2.306497,2.276315,2.267006,2.322068,2.444051,2.651150"); } } internal_power () { when : "!D & RN & !SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.342529,5.316785,5.287091,5.299860,5.378861,5.528867,5.778968"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "!D & RN & !SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.223215,3.197673,3.167658,3.185179,3.265015,3.421622,3.644681"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.318726,2.306554,2.276356,2.267067,2.322126,2.444111,2.651633"); } } internal_power () { when : "!D & RN & !SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.348546,5.315871,5.286209,5.298966,5.377974,5.527985,5.778092"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "!D & RN & SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.223065,3.197494,3.167475,3.185143,3.264977,3.421494,3.640307"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.318736,2.306533,2.276332,2.267036,2.322080,2.444071,2.651088"); } } internal_power () { when : "!D & RN & SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.343552,5.315324,5.286873,5.300699,5.378358,5.533513,5.778504"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "!D & RN & SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.241477,5.213609,5.184523,5.204034,5.287133,5.439270,5.663394"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "!D & RN & SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.242190,3.216055,3.185928,3.205298,3.286862,3.442565,3.663702"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.232997,2.220087,2.178126,2.178022,2.230688,2.357313,2.560493"); } } internal_power () { when : "D & !RN & !SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.799832,4.774724,4.745569,4.767803,4.857344,5.018817,5.259730"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.905129,5.890385,5.858606,5.864395,5.929594,6.063661,6.294208"); } } internal_power () { when : "D & !RN & !SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.799821,4.774691,4.745556,4.767793,4.857341,5.018801,5.264148"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.905125,5.890371,5.858591,5.864380,5.929579,6.063646,6.299584"); } } internal_power () { when : "D & !RN & SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.209599,3.184270,3.155146,3.174454,3.253371,3.409217,3.625935"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.301673,2.287997,2.255974,2.251302,2.302170,2.424748,2.632118"); } } internal_power () { when : "D & !RN & SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.800142,4.774529,4.745489,4.767282,4.857319,5.018301,5.264492"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.905281,5.890360,5.858701,5.864360,5.929552,6.063601,6.299528"); } } internal_power () { when : "D & RN & !SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.241525,5.213378,5.184352,5.204104,5.287210,5.439339,5.663517"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & RN & !SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.242224,3.216115,3.185975,3.205343,3.286505,3.442625,3.663704"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.233389,2.220169,2.178206,2.178092,2.230761,2.357392,2.560566"); } } internal_power () { when : "D & RN & !SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.241516,5.213667,5.184358,5.204087,5.287196,5.439324,5.663450"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & RN & !SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.242214,3.216102,3.185964,3.204896,3.286502,3.442610,3.663645"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.233387,2.220154,2.178191,2.178077,2.230746,2.357377,2.562846"); } } internal_power () { when : "D & RN & SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.223101,3.197551,3.167521,3.185149,3.264983,3.421553,3.644694"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.318762,2.306582,2.276403,2.267084,2.322148,2.444146,2.651599"); } } internal_power () { when : "D & RN & SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.662208,5.641397,5.602474,5.621247,5.695172,5.849743,6.098412"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & RN & SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.241463,5.213584,5.184497,5.203934,5.287113,5.439251,5.663376"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & RN & SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.244580,3.218438,3.188306,3.207222,3.288865,3.444894,3.665979"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.233106,2.220194,2.178238,2.178155,2.230839,2.357445,2.562898"); } } } pin (Q) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 120.544000; function : "IQ"; timing () { related_pin : "CK"; timing_type : rising_edge; timing_sense : non_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.312511,0.341020,0.364173,0.398938,0.448510,0.515652,0.614130", \ "0.317777,0.346289,0.369474,0.404221,0.453800,0.520892,0.619410", \ "0.335400,0.363942,0.387057,0.421849,0.471437,0.538552,0.637076", \ "0.359228,0.387792,0.410913,0.445606,0.495223,0.562400,0.660951", \ "0.382966,0.411415,0.434512,0.469139,0.518706,0.585879,0.684483", \ "0.403925,0.432147,0.455262,0.490548,0.539783,0.607569,0.706432", \ "0.420988,0.449508,0.472432,0.507154,0.557837,0.624725,0.723847"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.219715,0.242593,0.263290,0.299737,0.366734,0.495356,0.750108", \ "0.224934,0.247795,0.268505,0.304931,0.371943,0.500553,0.755327", \ "0.242868,0.265737,0.286460,0.322885,0.389881,0.518504,0.773283", \ "0.268114,0.290993,0.311688,0.348074,0.415093,0.543725,0.798488", \ "0.293324,0.316143,0.336753,0.373156,0.440146,0.568790,0.823405", \ "0.316073,0.338936,0.359614,0.396456,0.463467,0.592113,0.846627", \ "0.335667,0.358514,0.379530,0.415872,0.482889,0.611547,0.866268"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.057317,0.067065,0.075275,0.087742,0.104178,0.129149,0.181879", \ "0.057317,0.067067,0.075275,0.087743,0.104175,0.129159,0.181867", \ "0.057239,0.067067,0.075267,0.087750,0.104179,0.129163,0.181871", \ "0.057319,0.067061,0.075269,0.087746,0.104177,0.129146,0.181883", \ "0.057332,0.067062,0.075260,0.087722,0.104160,0.129152,0.181861", \ "0.057329,0.067123,0.075345,0.087799,0.104186,0.129142,0.181878", \ "0.057362,0.067167,0.075367,0.087844,0.104270,0.129187,0.181896"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.024558,0.037719,0.051727,0.079962,0.137813,0.256999,0.499806", \ "0.024555,0.037717,0.051732,0.079961,0.137810,0.256997,0.499807", \ "0.024551,0.037714,0.051726,0.079958,0.137808,0.256994,0.499805", \ "0.024542,0.037710,0.051726,0.079954,0.137806,0.256993,0.499806", \ "0.024545,0.037711,0.051720,0.079956,0.137809,0.256995,0.499805", \ "0.024556,0.037716,0.051727,0.079950,0.137809,0.257002,0.499807", \ "0.024575,0.037736,0.051742,0.079971,0.137815,0.256997,0.499807"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & !D & !SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.352804,0.382447,0.406718,0.442739,0.490445,0.551343,0.641559", \ "0.357304,0.386963,0.411234,0.447258,0.495027,0.555877,0.646089", \ "0.375992,0.405641,0.429911,0.465940,0.513725,0.574555,0.664768", \ "0.407859,0.437446,0.461707,0.497724,0.545431,0.606340,0.696560", \ "0.453724,0.483366,0.507460,0.543592,0.591341,0.652256,0.742342", \ "0.517392,0.547004,0.571191,0.607483,0.655222,0.716249,0.806549", \ "0.598122,0.628065,0.651950,0.688487,0.736428,0.797767,0.888076"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.060323,0.070690,0.078998,0.088851,0.097961,0.116492,0.166639", \ "0.060355,0.070692,0.078998,0.088841,0.097952,0.116490,0.166646", \ "0.060288,0.070687,0.079005,0.088844,0.097955,0.116487,0.166646", \ "0.060310,0.070687,0.078993,0.088843,0.097970,0.116484,0.166645", \ "0.060353,0.070684,0.078991,0.088859,0.097971,0.116489,0.166638", \ "0.060307,0.070700,0.079029,0.088909,0.098051,0.116539,0.166649", \ "0.063449,0.073214,0.080981,0.090152,0.098717,0.116874,0.166791"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & !D & !SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.352804,0.382447,0.406718,0.442739,0.490445,0.551339,0.641559", \ "0.357304,0.386963,0.411234,0.447258,0.495027,0.555877,0.646089", \ "0.375992,0.405641,0.429911,0.465940,0.513725,0.574554,0.664768", \ "0.407859,0.437446,0.461707,0.497724,0.545431,0.606340,0.696560", \ "0.453723,0.483366,0.507460,0.543592,0.591340,0.652256,0.742342", \ "0.517404,0.547004,0.571198,0.607483,0.655221,0.716248,0.806549", \ "0.598125,0.628065,0.651946,0.688479,0.736428,0.797767,0.888076"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.060323,0.070690,0.078998,0.088850,0.097961,0.116491,0.166639", \ "0.060355,0.070692,0.078998,0.088841,0.097952,0.116490,0.166646", \ "0.060288,0.070687,0.079005,0.088844,0.097955,0.116487,0.166646", \ "0.060310,0.070687,0.078993,0.088843,0.097970,0.116484,0.166645", \ "0.060353,0.070684,0.078991,0.088859,0.097971,0.116489,0.166638", \ "0.060313,0.070700,0.079035,0.088909,0.098051,0.116539,0.166649", \ "0.063449,0.073214,0.080991,0.090152,0.098717,0.116874,0.166791"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & !D & SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.352807,0.382449,0.406721,0.442741,0.490446,0.551348,0.641560", \ "0.357306,0.386966,0.411237,0.447261,0.495029,0.555878,0.646090", \ "0.375995,0.405644,0.429913,0.465942,0.513727,0.574556,0.664769", \ "0.407861,0.437448,0.461710,0.497726,0.545433,0.606341,0.696561", \ "0.453726,0.483368,0.507462,0.543594,0.591342,0.652258,0.742323", \ "0.517406,0.547006,0.571199,0.607485,0.655223,0.716250,0.806550", \ "0.598123,0.628067,0.651951,0.688489,0.736429,0.797767,0.888074"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.060322,0.070691,0.078999,0.088851,0.097961,0.116493,0.166639", \ "0.060357,0.070692,0.078998,0.088841,0.097952,0.116491,0.166646", \ "0.060288,0.070687,0.079005,0.088844,0.097956,0.116487,0.166646", \ "0.060310,0.070688,0.078993,0.088843,0.097970,0.116485,0.166645", \ "0.060353,0.070684,0.078992,0.088859,0.097971,0.116489,0.166641", \ "0.060313,0.070701,0.079035,0.088909,0.098052,0.116540,0.166649", \ "0.063449,0.073214,0.080981,0.090152,0.098718,0.116871,0.166789"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & !D & SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.352731,0.382319,0.406594,0.442645,0.490380,0.551262,0.641500", \ "0.357218,0.386867,0.411144,0.447165,0.494897,0.555843,0.646099", \ "0.375963,0.405544,0.429815,0.465854,0.513586,0.574512,0.664786", \ "0.407780,0.437422,0.461637,0.497695,0.545430,0.606339,0.696610", \ "0.453600,0.483242,0.507580,0.543519,0.591282,0.652140,0.742414", \ "0.516877,0.546524,0.570751,0.606574,0.654329,0.715235,0.805516", \ "0.597657,0.627742,0.651944,0.688200,0.736007,0.796971,0.887244"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.060314,0.070677,0.078979,0.088820,0.097921,0.116470,0.166628", \ "0.060331,0.070672,0.078973,0.088814,0.097932,0.116464,0.166603", \ "0.060323,0.070673,0.078984,0.088817,0.097930,0.116468,0.166602", \ "0.060294,0.070671,0.078980,0.088822,0.097936,0.116455,0.166627", \ "0.060337,0.070668,0.078974,0.088838,0.097946,0.116470,0.166629", \ "0.060302,0.070676,0.079013,0.088895,0.098006,0.116499,0.166633", \ "0.063491,0.073214,0.080969,0.090129,0.098683,0.116830,0.166777"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & D & !SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.352731,0.382319,0.406594,0.442645,0.490378,0.551305,0.641500", \ "0.357218,0.386867,0.411144,0.447165,0.494897,0.555843,0.646099", \ "0.375963,0.405544,0.429815,0.465854,0.513586,0.574512,0.664786", \ "0.407780,0.437422,0.461637,0.497695,0.545430,0.606339,0.696610", \ "0.453655,0.483239,0.507580,0.543590,0.591373,0.652140,0.742414", \ "0.516877,0.546512,0.570751,0.606564,0.654329,0.715235,0.805516", \ "0.597657,0.627742,0.651944,0.688200,0.736007,0.796972,0.887244"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.060314,0.070677,0.078979,0.088819,0.097922,0.116464,0.166628", \ "0.060331,0.070672,0.078973,0.088814,0.097932,0.116464,0.166603", \ "0.060323,0.070673,0.078984,0.088817,0.097930,0.116468,0.166602", \ "0.060294,0.070671,0.078980,0.088822,0.097936,0.116455,0.166627", \ "0.060290,0.070671,0.078974,0.088838,0.097958,0.116470,0.166629", \ "0.060302,0.070684,0.079013,0.088895,0.098006,0.116499,0.166633", \ "0.063491,0.073214,0.080970,0.090129,0.098683,0.116834,0.166777"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & D & !SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.352731,0.382319,0.406594,0.442644,0.490397,0.551304,0.641500", \ "0.357218,0.386867,0.411144,0.447165,0.494897,0.555843,0.646099", \ "0.375963,0.405544,0.429815,0.465854,0.513586,0.574512,0.664786", \ "0.407780,0.437422,0.461637,0.497695,0.545430,0.606339,0.696610", \ "0.453600,0.483259,0.507580,0.543590,0.591373,0.652140,0.742414", \ "0.516868,0.546512,0.570751,0.606564,0.654316,0.715243,0.805516", \ "0.597657,0.627742,0.651944,0.688201,0.736007,0.796972,0.887244"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.060314,0.070677,0.078979,0.088820,0.097913,0.116464,0.166628", \ "0.060331,0.070672,0.078973,0.088814,0.097932,0.116464,0.166603", \ "0.060323,0.070673,0.078984,0.088817,0.097930,0.116468,0.166602", \ "0.060294,0.070671,0.078980,0.088822,0.097936,0.116455,0.166627", \ "0.060337,0.070668,0.078974,0.088838,0.097958,0.116470,0.166629", \ "0.060320,0.070684,0.079013,0.088895,0.098005,0.116506,0.166633", \ "0.063491,0.073214,0.080969,0.090129,0.098683,0.116834,0.166777"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & D & SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.352807,0.382449,0.406721,0.442741,0.490447,0.551345,0.641560", \ "0.357306,0.386966,0.411236,0.447261,0.495029,0.555878,0.646090", \ "0.375994,0.405643,0.429913,0.465942,0.513727,0.574556,0.664769", \ "0.407861,0.437448,0.461710,0.497726,0.545433,0.606341,0.696561", \ "0.453725,0.483368,0.507462,0.543594,0.591342,0.652258,0.742343", \ "0.517405,0.547006,0.571193,0.607485,0.655223,0.716250,0.806550", \ "0.598123,0.628067,0.651947,0.688491,0.736429,0.797767,0.888074"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.060322,0.070691,0.078999,0.088851,0.097961,0.116492,0.166639", \ "0.060356,0.070692,0.078998,0.088841,0.097952,0.116491,0.166646", \ "0.060288,0.070687,0.079005,0.088844,0.097956,0.116487,0.166646", \ "0.060310,0.070688,0.078993,0.088843,0.097970,0.116485,0.166645", \ "0.060353,0.070684,0.078992,0.088859,0.097971,0.116489,0.166638", \ "0.060313,0.070701,0.079029,0.088909,0.098052,0.116540,0.166649", \ "0.063449,0.073214,0.080991,0.090149,0.098718,0.116871,0.166789"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & D & SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.352731,0.382319,0.406594,0.442644,0.490379,0.551303,0.641500", \ "0.357218,0.386867,0.411144,0.447165,0.494897,0.555843,0.646099", \ "0.375963,0.405544,0.429815,0.465854,0.513586,0.574512,0.664786", \ "0.407780,0.437422,0.461637,0.497695,0.545430,0.606339,0.696611", \ "0.453600,0.483242,0.507580,0.543590,0.591282,0.652140,0.742414", \ "0.516868,0.546524,0.570751,0.606574,0.654316,0.715231,0.805516", \ "0.597657,0.627742,0.651944,0.688200,0.736007,0.796972,0.887244"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.060314,0.070677,0.078979,0.088820,0.097920,0.116464,0.166628", \ "0.060331,0.070672,0.078973,0.088814,0.097932,0.116464,0.166603", \ "0.060323,0.070673,0.078984,0.088817,0.097930,0.116468,0.166602", \ "0.060294,0.070671,0.078980,0.088822,0.097936,0.116455,0.166627", \ "0.060337,0.070668,0.078974,0.088838,0.097946,0.116470,0.166629", \ "0.060320,0.070676,0.079013,0.088895,0.098005,0.116488,0.166633", \ "0.063491,0.073214,0.080970,0.090129,0.098683,0.116834,0.166777"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & !D & !SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.599378,0.627998,0.651420,0.687128,0.738794,0.808456,0.909293", \ "0.603672,0.632227,0.655729,0.691214,0.742724,0.812130,0.912747", \ "0.621425,0.649960,0.673310,0.708749,0.759932,0.829117,0.929660", \ "0.657964,0.686489,0.709807,0.745128,0.796209,0.865295,0.965759", \ "0.716600,0.745136,0.768448,0.804022,0.854921,0.924078,1.024536", \ "0.794309,0.822858,0.846058,0.881756,0.932677,1.001911,1.102153", \ "0.881462,0.909992,0.933580,0.968849,1.019708,1.088598,1.189215"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.057502,0.067463,0.076096,0.089857,0.107758,0.132604,0.184643", \ "0.057389,0.067341,0.075875,0.089417,0.107214,0.132218,0.184421", \ "0.057353,0.067269,0.075730,0.089119,0.106781,0.131894,0.184255", \ "0.057338,0.067239,0.075677,0.088996,0.106598,0.131757,0.184152", \ "0.057332,0.067207,0.075665,0.088939,0.106495,0.131663,0.184126", \ "0.057372,0.067216,0.075617,0.088890,0.106423,0.131609,0.184084", \ "0.057339,0.067211,0.075622,0.088857,0.106363,0.131562,0.184052"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & !D & !SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.599379,0.628000,0.651422,0.687141,0.738793,0.808458,0.909293", \ "0.603673,0.632228,0.655731,0.691215,0.742725,0.812131,0.912748", \ "0.621426,0.649961,0.673311,0.708750,0.759933,0.829118,0.929661", \ "0.657926,0.686437,0.709803,0.745129,0.796210,0.865296,0.965759", \ "0.716601,0.745137,0.768566,0.804023,0.854922,0.924079,1.024536", \ "0.794310,0.822859,0.846075,0.881759,0.932810,1.001911,1.102153", \ "0.881463,0.909993,0.933581,0.968850,1.019709,1.088598,1.189216"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.057502,0.067463,0.076096,0.089843,0.107758,0.132604,0.184642", \ "0.057389,0.067341,0.075875,0.089417,0.107214,0.132218,0.184421", \ "0.057353,0.067269,0.075730,0.089119,0.106781,0.131894,0.184255", \ "0.057338,0.067243,0.075688,0.088996,0.106598,0.131757,0.184152", \ "0.057332,0.067207,0.075659,0.088939,0.106495,0.131663,0.184126", \ "0.057372,0.067216,0.075640,0.088875,0.106423,0.131609,0.184084", \ "0.057339,0.067211,0.075622,0.088857,0.106363,0.131562,0.184052"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & !D & SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.599353,0.627995,0.651406,0.687145,0.738808,0.808443,0.909298", \ "0.603605,0.632220,0.655718,0.691200,0.742711,0.812120,0.912739", \ "0.621411,0.649963,0.673304,0.708735,0.759919,0.829106,0.929652", \ "0.657845,0.686459,0.709801,0.745118,0.796197,0.865285,0.965752", \ "0.716588,0.745122,0.768425,0.804045,0.854910,0.924069,1.024529", \ "0.794298,0.822848,0.846047,0.881712,0.932667,1.001902,1.102147", \ "0.881452,0.909979,0.933570,0.968839,1.019699,1.088590,1.189209"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.057504,0.067462,0.076095,0.089847,0.107760,0.132604,0.184644", \ "0.057427,0.067338,0.075869,0.089416,0.107214,0.132217,0.184420", \ "0.057352,0.067247,0.075731,0.089118,0.106780,0.131893,0.184255", \ "0.057386,0.067221,0.075676,0.088998,0.106597,0.131756,0.184152", \ "0.057332,0.067206,0.075639,0.088926,0.106495,0.131663,0.184125", \ "0.057372,0.067215,0.075617,0.088891,0.106422,0.131609,0.184084", \ "0.057339,0.067210,0.075622,0.088857,0.106362,0.131561,0.184051"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & !D & SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.599336,0.628020,0.651546,0.687155,0.738826,0.808627,0.909420", \ "0.603669,0.632248,0.655746,0.691242,0.742745,0.812158,0.912797", \ "0.621441,0.649993,0.673335,0.708775,0.759954,0.829149,0.929711", \ "0.657883,0.686527,0.709829,0.745162,0.796236,0.865332,0.965811", \ "0.716630,0.745163,0.768465,0.804054,0.854959,0.924153,1.024591", \ "0.794345,0.822705,0.846117,0.881771,0.932856,1.001967,1.102205", \ "0.881525,0.910052,0.933643,0.968916,1.019776,1.088673,1.189304"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.057495,0.067460,0.076095,0.089849,0.107766,0.132601,0.184638", \ "0.057405,0.067335,0.075864,0.089416,0.107208,0.132213,0.184417", \ "0.057347,0.067243,0.075731,0.089107,0.106775,0.131889,0.184251", \ "0.057350,0.067237,0.075671,0.088986,0.106592,0.131752,0.184149", \ "0.057327,0.067203,0.075656,0.088934,0.106484,0.131661,0.184105", \ "0.057369,0.067208,0.075638,0.088885,0.106418,0.131605,0.184068", \ "0.057335,0.067206,0.075618,0.088849,0.106358,0.131558,0.184049"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & D & !SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.599336,0.628020,0.651546,0.687158,0.738821,0.808511,0.909443", \ "0.603669,0.632247,0.655746,0.691242,0.742745,0.812158,0.912797", \ "0.621441,0.649993,0.673335,0.708775,0.759954,0.829149,0.929711", \ "0.657883,0.686466,0.709829,0.745161,0.796235,0.865332,0.965811", \ "0.716629,0.745163,0.768466,0.804054,0.854959,0.924153,1.024591", \ "0.794345,0.822705,0.846115,0.881930,0.932732,1.001967,1.102205", \ "0.881525,0.910051,0.933643,0.968916,1.019776,1.088673,1.189304"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.057495,0.067460,0.076095,0.089849,0.107764,0.132601,0.184656", \ "0.057405,0.067335,0.075864,0.089416,0.107208,0.132213,0.184417", \ "0.057347,0.067243,0.075731,0.089107,0.106775,0.131889,0.184251", \ "0.057349,0.067226,0.075671,0.088986,0.106592,0.131752,0.184149", \ "0.057327,0.067203,0.075656,0.088934,0.106484,0.131661,0.184105", \ "0.057369,0.067208,0.075635,0.088885,0.106418,0.131605,0.184068", \ "0.057335,0.067206,0.075618,0.088849,0.106358,0.131558,0.184049"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & D & !SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.599336,0.628020,0.651546,0.687158,0.738835,0.808622,0.909339", \ "0.603669,0.632247,0.655746,0.691242,0.742745,0.812158,0.912797", \ "0.621440,0.649993,0.673335,0.708775,0.759954,0.829149,0.929711", \ "0.657883,0.686466,0.709829,0.745162,0.796235,0.865332,0.965810", \ "0.716629,0.745163,0.768466,0.804054,0.854959,0.924153,1.024591", \ "0.794345,0.822704,0.846095,0.881762,0.932856,1.001967,1.102205", \ "0.881525,0.910051,0.933643,0.968916,1.019776,1.088673,1.189304"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.057495,0.067460,0.076095,0.089849,0.107756,0.132601,0.184637", \ "0.057405,0.067335,0.075864,0.089416,0.107208,0.132213,0.184417", \ "0.057347,0.067243,0.075731,0.089107,0.106775,0.131889,0.184251", \ "0.057349,0.067226,0.075671,0.088986,0.106592,0.131752,0.184149", \ "0.057327,0.067203,0.075656,0.088934,0.106484,0.131661,0.184105", \ "0.057369,0.067208,0.075639,0.088889,0.106418,0.131605,0.184068", \ "0.057335,0.067206,0.075618,0.088849,0.106358,0.131558,0.184049"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & D & SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.599353,0.627995,0.651406,0.687123,0.738843,0.808488,0.909284", \ "0.603605,0.632220,0.655718,0.691200,0.742712,0.812120,0.912740", \ "0.621411,0.649963,0.673304,0.708736,0.759920,0.829107,0.929652", \ "0.657899,0.686496,0.709801,0.745119,0.796197,0.865286,0.965752", \ "0.716588,0.745122,0.768425,0.804045,0.854910,0.924069,1.024523", \ "0.794298,0.822848,0.846047,0.881839,0.932640,1.001902,1.102147", \ "0.881452,0.909979,0.933571,0.968840,1.019699,1.088590,1.189209"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.057504,0.067462,0.076095,0.089846,0.107759,0.132605,0.184641", \ "0.057427,0.067338,0.075869,0.089416,0.107214,0.132217,0.184420", \ "0.057352,0.067247,0.075731,0.089118,0.106780,0.131893,0.184255", \ "0.057396,0.067242,0.075676,0.088998,0.106597,0.131756,0.184152", \ "0.057332,0.067206,0.075639,0.088926,0.106495,0.131663,0.184108", \ "0.057372,0.067215,0.075617,0.088891,0.106422,0.131609,0.184084", \ "0.057339,0.067210,0.075622,0.088857,0.106362,0.131561,0.184051"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & D & SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.599336,0.628020,0.651546,0.687158,0.738814,0.808487,0.909310", \ "0.603668,0.632247,0.655746,0.691242,0.742745,0.812158,0.912797", \ "0.621440,0.649993,0.673335,0.708775,0.759954,0.829149,0.929711", \ "0.657933,0.686527,0.709829,0.745162,0.796236,0.865332,0.965811", \ "0.716629,0.745163,0.768466,0.804054,0.854959,0.924153,1.024591", \ "0.794345,0.822715,0.846094,0.881771,0.932856,1.001967,1.102205", \ "0.881525,0.910051,0.933643,0.968916,1.019776,1.088673,1.189304"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.057495,0.067460,0.076095,0.089849,0.107764,0.132609,0.184653", \ "0.057405,0.067335,0.075864,0.089416,0.107208,0.132213,0.184417", \ "0.057347,0.067243,0.075731,0.089107,0.106775,0.131889,0.184251", \ "0.057391,0.067237,0.075671,0.088986,0.106592,0.131752,0.184149", \ "0.057327,0.067203,0.075656,0.088934,0.106484,0.131661,0.184105", \ "0.057369,0.067210,0.075626,0.088885,0.106418,0.131605,0.184068", \ "0.057335,0.067206,0.075618,0.088849,0.106358,0.131558,0.184049"); } } internal_power () { related_pin : "CK"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("5.643290,5.604095,5.554047,5.565308,5.663277,5.752340,5.753952", \ "5.630743,5.608237,5.546327,5.569631,5.665767,5.746002,5.755074", \ "5.612702,5.588492,5.533284,5.539985,5.645900,5.707942,5.728776", \ "5.600725,5.562137,5.518998,5.527175,5.578789,5.710693,5.695774", \ "5.623696,5.589711,5.519257,5.538553,5.651965,5.697512,5.750969", \ "5.681943,5.642788,5.594661,5.606203,5.693553,5.791794,5.787467", \ "5.787501,5.757086,5.698611,5.701437,5.787878,5.881697,5.886279"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("4.850145,4.964476,5.028038,5.399141,5.509716,5.549654,5.542512", \ "4.841847,4.961078,5.034070,5.387270,5.506160,5.550775,5.545234", \ "4.824960,4.941703,5.008646,5.369767,5.458076,5.533980,5.526373", \ "4.824453,4.941888,5.006795,5.378226,5.436728,5.528755,5.521318", \ "4.848945,4.969698,5.028693,5.399824,5.484545,5.555657,5.574342", \ "4.913260,5.030040,5.105809,5.459281,5.573659,5.586057,5.631117", \ "5.033548,5.150383,5.223295,5.576382,5.642633,5.731318,5.746146"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & !SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("5.046983,5.004776,4.938396,4.976397,4.992630,5.029278,5.047628", \ "5.028402,4.988740,4.934710,4.943092,4.989677,5.026593,5.044921", \ "5.030192,4.989005,4.936984,4.917422,5.031428,5.066907,5.017058", \ "5.026081,4.984099,4.917701,4.927188,4.999274,5.033956,5.053462", \ "5.028183,4.986612,4.929853,4.936426,5.011374,5.045729,4.997883", \ "5.056475,5.000766,4.954755,4.977832,5.025160,5.056435,5.006375", \ "5.155873,5.101189,5.025309,5.013018,5.039474,5.060743,5.072696"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & !SE & SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("5.046252,5.004049,4.937671,4.975691,4.991874,5.028546,5.046885", \ "5.027680,4.988016,4.933990,4.942358,4.988921,5.025814,5.044131", \ "5.029491,4.988308,4.936280,4.916705,5.030694,5.066150,5.016294", \ "5.025429,4.983443,4.917039,4.926511,4.998576,5.033225,5.052721", \ "5.027599,4.986019,4.929251,4.935813,5.010727,5.045040,4.997155", \ "5.056124,5.000276,4.954413,4.977304,5.024599,5.055819,5.005705", \ "5.155506,5.100812,5.024888,5.027312,5.039004,5.060205,5.072095"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("5.046606,5.004382,4.938014,4.976056,4.992331,5.028895,5.047430", \ "5.028014,4.988356,4.934344,4.942732,4.989344,5.026326,5.044733", \ "5.029824,4.988645,4.936622,4.917055,5.031074,5.066612,5.016867", \ "5.025750,4.983772,4.917378,4.926855,4.998953,5.033680,5.053272", \ "5.027907,4.986332,4.929567,4.936160,5.011117,5.045510,4.998090", \ "5.056398,5.000514,4.954706,4.977695,5.024937,5.056239,5.006239", \ "5.155746,5.101055,5.025171,5.013156,5.039310,5.060649,5.072642"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & SE & SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("5.616919,5.576425,5.509572,5.546026,5.560917,5.597213,5.614142", \ "5.606530,5.559055,5.504498,5.513683,5.559436,5.594682,5.613044", \ "5.597133,5.556671,5.505030,5.485166,5.598619,5.632387,5.583029", \ "5.593842,5.552744,5.486982,5.495642,5.566901,5.601378,5.616796", \ "5.606578,5.554589,5.505663,5.514535,5.589874,5.623853,5.573704", \ "5.639919,5.597368,5.524609,5.558565,5.627261,5.658745,5.607811", \ "5.780039,5.725236,5.645984,5.647188,5.664825,5.688969,5.700389"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D & !SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("5.617778,5.577293,5.510455,5.546912,5.561881,5.598017,5.615175", \ "5.607381,5.559911,5.505357,5.514557,5.560355,5.595654,5.614033", \ "5.597965,5.557513,5.505892,5.486071,5.599595,5.633402,5.584043", \ "5.594625,5.553535,5.487773,5.496498,5.567826,5.602347,5.617800", \ "5.607537,5.560837,5.506391,5.514547,5.589942,5.624724,5.574611", \ "5.640518,5.597904,5.525229,5.559417,5.628034,5.659586,5.608700", \ "5.780511,5.725728,5.646485,5.647727,5.665472,5.689420,5.701218"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D & !SE & SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("5.617789,5.577303,5.510461,5.546942,5.561641,5.598021,5.615162", \ "5.607392,5.559921,5.505364,5.514565,5.560360,5.595658,5.614036", \ "5.597976,5.557523,5.505897,5.486074,5.599597,5.633397,5.584043", \ "5.594635,5.553545,5.487781,5.496504,5.567824,5.602346,5.617797", \ "5.607292,5.552155,5.506398,5.514552,5.589944,5.624728,5.574616", \ "5.640455,5.597913,5.525241,5.559417,5.628233,5.659497,5.608683", \ "5.780518,5.725733,5.646491,5.648264,5.665471,5.689418,5.701215"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D & SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("5.045750,5.003509,4.937113,4.975098,4.991233,5.027780,5.046044", \ "5.027157,4.987489,4.933445,4.941792,4.988301,5.025129,5.043344", \ "5.028975,4.987776,4.935731,4.916111,5.030031,5.065422,5.015489", \ "5.024911,4.982910,4.916509,4.925927,4.997927,5.032511,5.051920", \ "5.027102,4.985512,4.928733,4.935269,5.010132,5.044378,4.996406", \ "5.055664,4.999761,4.953766,4.976861,5.024015,5.055166,5.004962", \ "5.155109,5.100400,5.024463,5.028204,5.038478,5.059659,5.071447"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D & SE & SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("5.617797,5.577311,5.510467,5.546951,5.561903,5.598058,5.615237", \ "5.607402,5.559933,5.505381,5.514586,5.560390,5.595706,5.614116", \ "5.597986,5.557532,5.505907,5.486076,5.599580,5.633401,5.584096", \ "5.594654,5.553565,5.487807,5.496506,5.567822,5.602361,5.617843", \ "5.607318,5.555341,5.506423,5.514584,5.590721,5.624774,5.574709", \ "5.640484,5.598019,5.525274,5.559274,5.628236,5.659877,5.608750", \ "5.780547,5.725760,5.646524,5.647769,5.665487,5.689449,5.701276"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D & !SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("5.962996,5.927192,5.892074,5.903614,6.024720,6.123699,6.096409", \ "5.954822,5.917254,5.863582,5.875821,5.952706,6.098150,6.090084", \ "5.939295,5.902060,5.863925,5.865116,5.938045,6.038443,6.075646", \ "5.946476,5.908329,5.858447,5.866655,5.987193,6.084261,6.054314", \ "5.960614,5.905507,5.859073,5.856441,5.968071,6.063370,6.104757", \ "5.957944,5.919642,5.869960,5.892360,5.997372,6.090308,6.134698", \ "5.988228,5.949436,5.900974,5.927326,6.037208,6.133456,6.110895"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D & !SE & SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("5.962056,5.926253,5.891147,5.902591,6.023921,6.122840,6.088849", \ "5.953891,5.916322,5.862663,5.874909,5.951815,6.097374,6.089251", \ "5.938434,5.901169,5.863030,5.864229,5.937173,6.037599,6.074836", \ "5.946533,5.908148,5.860738,5.865814,5.986366,6.083452,6.053524", \ "5.959842,5.904735,5.864667,5.855667,5.967296,6.062595,6.103998", \ "5.957281,5.918978,5.883003,5.891611,5.995179,6.089603,6.133991", \ "5.987702,5.948906,5.900453,5.926770,6.036635,6.132844,6.110197"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D & SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("5.962847,5.929508,5.891548,5.902766,6.023976,6.124509,6.089374", \ "5.954065,5.916144,5.862639,5.875306,5.952184,6.096629,6.089637", \ "5.938901,5.900977,5.859907,5.864602,5.937511,6.037927,6.075183", \ "5.946426,5.907547,5.857193,5.866130,5.986681,6.083766,6.053604", \ "5.960275,5.905153,5.861302,5.855929,5.967657,6.062957,6.104371", \ "5.957693,5.919369,5.868992,5.892039,5.997013,6.089925,6.134332", \ "5.988083,5.948838,5.900527,5.927115,6.036935,6.133155,6.111308"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D & SE & SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("5.960994,5.923602,5.887564,5.899892,6.020957,6.117468,6.085707", \ "5.951933,5.913703,5.860042,5.872288,5.948847,6.103672,6.084145", \ "5.936406,5.898384,5.864130,5.861542,5.934094,6.033622,6.069655", \ "5.944124,5.904434,5.854766,5.863255,5.983170,6.079384,6.050734", \ "5.957603,5.902416,5.855621,5.852996,5.964104,6.058261,6.099406", \ "5.954805,5.918209,5.880330,5.889062,5.991721,6.085309,6.128187", \ "5.984833,5.945585,5.899156,5.923546,6.032873,6.128282,6.095422"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D & !SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("5.962101,5.924709,5.888692,5.900914,6.022074,6.119513,6.084004", \ "5.953037,5.914801,5.861136,5.873354,5.949931,6.104707,6.085183", \ "5.937511,5.899451,5.865109,5.862632,5.935210,6.034711,6.070722", \ "5.945145,5.906296,5.855774,5.864299,5.984263,6.080458,6.051772", \ "5.958527,5.903339,5.857293,5.853928,5.965056,6.059223,6.100378", \ "5.955609,5.919023,5.881181,5.887996,5.994018,6.086243,6.129146", \ "5.985488,5.946253,5.899831,5.924243,6.033669,6.129130,6.096295"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D & !SE & SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("5.962112,5.924717,5.888702,5.900931,6.021919,6.118696,6.087434", \ "5.953040,5.914755,5.861148,5.873381,5.949934,6.104620,6.085198", \ "5.937521,5.899453,5.865124,5.862647,5.935206,6.034731,6.070743", \ "5.945150,5.906300,5.855786,5.864305,5.984255,6.080474,6.051800", \ "5.958541,5.903355,5.857299,5.853940,5.965078,6.059236,6.100412", \ "5.955623,5.919036,5.881422,5.889656,5.992639,6.086241,6.129162", \ "5.985499,5.946265,5.899841,5.924257,6.033670,6.129126,6.096312"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D & SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("5.961712,5.924938,5.890383,5.901828,6.022305,6.121399,6.087769", \ "5.952972,5.915051,5.861483,5.874119,5.950926,6.095166,6.088113", \ "5.937736,5.899839,5.858765,5.863413,5.936261,6.036571,6.073678", \ "5.944657,5.905910,5.856211,5.864960,5.985446,6.082433,6.052149", \ "5.959204,5.904068,5.860163,5.854797,5.966460,6.061653,6.103776", \ "5.956691,5.918351,5.867977,5.889771,5.996237,6.088676,6.132941", \ "5.987198,5.947937,5.899611,5.926150,6.035898,6.131992,6.109970"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D & SE & SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("5.962132,5.924737,5.888689,5.900928,6.022120,6.120346,6.091957", \ "5.953062,5.914776,5.861168,5.873391,5.949959,6.104577,6.085275", \ "5.937547,5.899483,5.865230,5.862653,5.935202,6.034753,6.070779", \ "5.944296,5.905486,5.855801,5.864304,5.984243,6.080485,6.051838", \ "5.958575,5.903390,5.857340,5.853965,5.965112,6.059302,6.100472", \ "5.955650,5.918949,5.881583,5.889946,5.992653,6.086276,6.129207", \ "5.985539,5.946305,5.899884,5.924300,6.033687,6.129154,6.096374"); } rise_power(scalar) {values ("0.0"); } } } pin (QN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 121.155000; function : "IQN"; timing () { related_pin : "CK"; timing_type : rising_edge; timing_sense : non_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.298531,0.307054,0.314269,0.326723,0.348284,0.386497,0.457945", \ "0.303752,0.312255,0.319465,0.331917,0.353474,0.391681,0.463165", \ "0.321672,0.330182,0.337430,0.349862,0.371431,0.409641,0.481120", \ "0.346917,0.355435,0.362652,0.375073,0.396627,0.434864,0.506325", \ "0.372136,0.380591,0.387732,0.400132,0.421689,0.459916,0.531236", \ "0.395081,0.403397,0.410560,0.423404,0.445005,0.483229,0.554430", \ "0.414493,0.422980,0.430508,0.442854,0.464429,0.502671,0.574084"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.444993,0.455096,0.463133,0.483990,0.538322,0.660186,0.912458", \ "0.450299,0.460371,0.468442,0.489269,0.543611,0.665432,0.917739", \ "0.467900,0.478008,0.486022,0.506903,0.561247,0.683098,0.935414", \ "0.491757,0.501867,0.509880,0.530653,0.585013,0.706932,0.959257", \ "0.515523,0.525425,0.533476,0.554172,0.608497,0.730421,0.982797", \ "0.536517,0.546277,0.554260,0.575545,0.629555,0.752084,1.004731", \ "0.553555,0.563663,0.571446,0.592228,0.647595,0.769234,1.022175"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.016400,0.020780,0.024430,0.031306,0.045080,0.073562,0.133668", \ "0.016396,0.020779,0.024434,0.031309,0.045080,0.073566,0.133664", \ "0.016396,0.020780,0.024434,0.031303,0.045082,0.073551,0.133664", \ "0.016394,0.020779,0.024437,0.031318,0.045072,0.073561,0.133665", \ "0.016397,0.020778,0.024426,0.031320,0.045081,0.073556,0.133665", \ "0.016391,0.020774,0.024422,0.031295,0.045097,0.073575,0.133646", \ "0.016397,0.020784,0.024436,0.031309,0.045080,0.073546,0.133626"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.025617,0.037691,0.048518,0.073810,0.133088,0.255114,0.499788", \ "0.025611,0.037692,0.048518,0.073810,0.133091,0.255114,0.499789", \ "0.025613,0.037693,0.048514,0.073813,0.133089,0.255119,0.499789", \ "0.025613,0.037692,0.048518,0.073815,0.133093,0.255116,0.499787", \ "0.025612,0.037691,0.048511,0.073809,0.133093,0.255119,0.499788", \ "0.025614,0.037696,0.048519,0.073809,0.133097,0.255116,0.499787", \ "0.025638,0.037713,0.048533,0.073822,0.133096,0.255120,0.499789"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & !D & !SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.488422,0.497933,0.505556,0.526301,0.580792,0.702935,0.955478", \ "0.492934,0.502444,0.510071,0.530808,0.585379,0.707478,0.960007", \ "0.511616,0.521118,0.528750,0.549485,0.604075,0.726158,0.978684", \ "0.543432,0.552925,0.560543,0.581271,0.635794,0.757931,1.010476", \ "0.589335,0.598832,0.606293,0.627162,0.681728,0.803863,1.056261", \ "0.652922,0.662425,0.670036,0.691126,0.745689,0.867936,1.120551", \ "0.734792,0.744233,0.751301,0.772399,0.826913,0.949268,1.201781"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.025653,0.037055,0.047671,0.073341,0.132961,0.255051,0.499729", \ "0.025651,0.037055,0.047669,0.073346,0.132960,0.255050,0.499728", \ "0.025650,0.037055,0.047668,0.073349,0.132957,0.255046,0.499730", \ "0.025656,0.037062,0.047670,0.073343,0.132957,0.255047,0.499727", \ "0.025651,0.037056,0.047666,0.073343,0.132950,0.255053,0.499727", \ "0.025659,0.037062,0.047667,0.073342,0.132954,0.255049,0.499728", \ "0.025813,0.037197,0.047768,0.073376,0.132964,0.255046,0.499732"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & !D & !SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.488422,0.497933,0.505556,0.526302,0.580792,0.702935,0.955479", \ "0.492933,0.502443,0.510070,0.530808,0.585379,0.707478,0.960007", \ "0.511616,0.521118,0.528750,0.549485,0.604075,0.726158,0.978684", \ "0.543432,0.552925,0.560543,0.581271,0.635793,0.757931,1.010476", \ "0.589335,0.598832,0.606293,0.627162,0.681727,0.803863,1.056261", \ "0.652922,0.662425,0.670028,0.691125,0.745689,0.867938,1.120551", \ "0.734792,0.744232,0.751297,0.772379,0.826913,0.949268,1.201780"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.025653,0.037055,0.047671,0.073341,0.132961,0.255051,0.499727", \ "0.025651,0.037055,0.047669,0.073346,0.132960,0.255050,0.499728", \ "0.025650,0.037055,0.047668,0.073349,0.132957,0.255046,0.499730", \ "0.025656,0.037062,0.047670,0.073343,0.132957,0.255047,0.499727", \ "0.025651,0.037056,0.047666,0.073343,0.132950,0.255053,0.499727", \ "0.025659,0.037062,0.047667,0.073342,0.132954,0.255049,0.499728", \ "0.025813,0.037197,0.047770,0.073381,0.132964,0.255046,0.499732"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & !D & SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.488425,0.497936,0.505558,0.526304,0.580793,0.702938,0.955479", \ "0.492937,0.502446,0.510073,0.530811,0.585381,0.707479,0.960007", \ "0.511619,0.521121,0.528753,0.549487,0.604077,0.726159,0.978685", \ "0.543434,0.552928,0.560545,0.581273,0.635795,0.757932,1.010477", \ "0.589337,0.598835,0.606295,0.627164,0.681729,0.803864,1.056262", \ "0.652924,0.662427,0.670030,0.691127,0.745691,0.867949,1.120556", \ "0.734794,0.744234,0.751303,0.772380,0.826915,0.949271,1.201775"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.025653,0.037055,0.047671,0.073341,0.132961,0.255051,0.499728", \ "0.025651,0.037055,0.047670,0.073346,0.132960,0.255050,0.499728", \ "0.025650,0.037056,0.047668,0.073349,0.132957,0.255046,0.499730", \ "0.025656,0.037062,0.047670,0.073343,0.132957,0.255047,0.499727", \ "0.025651,0.037056,0.047667,0.073343,0.132950,0.255053,0.499727", \ "0.025659,0.037063,0.047667,0.073342,0.132954,0.255047,0.499728", \ "0.025813,0.037197,0.047769,0.073381,0.132964,0.255049,0.499730"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & !D & SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.488314,0.497781,0.505419,0.526194,0.580745,0.702856,0.955421", \ "0.492807,0.502336,0.509967,0.530715,0.585251,0.707435,0.960022", \ "0.511531,0.521004,0.528640,0.549402,0.603933,0.726108,0.978707", \ "0.543368,0.552884,0.560465,0.581238,0.635790,0.757936,1.010538", \ "0.589179,0.598697,0.606403,0.627081,0.681656,0.803751,1.056345", \ "0.652387,0.661931,0.669578,0.690186,0.744780,0.866897,1.119482", \ "0.734387,0.743916,0.751285,0.772099,0.826461,0.948425,1.200877"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.025647,0.037050,0.047666,0.073339,0.132955,0.255051,0.499728", \ "0.025651,0.037051,0.047665,0.073343,0.132960,0.255050,0.499730", \ "0.025649,0.037050,0.047663,0.073344,0.132957,0.255047,0.499731", \ "0.025649,0.037051,0.047667,0.073338,0.132956,0.255045,0.499726", \ "0.025650,0.037051,0.047662,0.073344,0.132953,0.255053,0.499727", \ "0.025656,0.037058,0.047666,0.073340,0.132955,0.255052,0.499728", \ "0.025808,0.037197,0.047767,0.073380,0.132966,0.255051,0.499729"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & D & !SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.488314,0.497781,0.505420,0.526194,0.580715,0.702892,0.955421", \ "0.492807,0.502336,0.509967,0.530715,0.585251,0.707435,0.960022", \ "0.511531,0.521004,0.528640,0.549402,0.603933,0.726108,0.978707", \ "0.543368,0.552884,0.560465,0.581238,0.635791,0.757936,1.010538", \ "0.589196,0.598715,0.606403,0.627157,0.681743,0.803751,1.056345", \ "0.652387,0.661931,0.669578,0.690186,0.744758,0.866889,1.119482", \ "0.734387,0.743916,0.751285,0.772099,0.826461,0.948434,1.200884"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.025647,0.037050,0.047666,0.073339,0.132961,0.255046,0.499728", \ "0.025651,0.037049,0.047665,0.073343,0.132960,0.255050,0.499730", \ "0.025649,0.037050,0.047663,0.073344,0.132957,0.255047,0.499731", \ "0.025649,0.037051,0.047667,0.073338,0.132955,0.255045,0.499726", \ "0.025648,0.037051,0.047663,0.073343,0.132953,0.255053,0.499727", \ "0.025656,0.037058,0.047666,0.073340,0.132959,0.255045,0.499729", \ "0.025808,0.037197,0.047767,0.073380,0.132966,0.255051,0.499731"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & D & !SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.488314,0.497781,0.505419,0.526194,0.580734,0.702890,0.955421", \ "0.492807,0.502336,0.509967,0.530715,0.585251,0.707435,0.960022", \ "0.511531,0.521004,0.528640,0.549402,0.603933,0.726108,0.978707", \ "0.543368,0.552883,0.560465,0.581238,0.635791,0.757936,1.010538", \ "0.589196,0.598715,0.606403,0.627157,0.681744,0.803751,1.056345", \ "0.652387,0.661924,0.669578,0.690186,0.744758,0.866897,1.119482", \ "0.734387,0.743916,0.751284,0.772077,0.826461,0.948425,1.200877"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.025647,0.037050,0.047666,0.073339,0.132959,0.255047,0.499728", \ "0.025651,0.037049,0.047665,0.073343,0.132960,0.255050,0.499730", \ "0.025649,0.037050,0.047663,0.073344,0.132957,0.255047,0.499731", \ "0.025649,0.037051,0.047667,0.073338,0.132955,0.255045,0.499726", \ "0.025648,0.037051,0.047663,0.073343,0.132952,0.255053,0.499727", \ "0.025656,0.037056,0.047666,0.073340,0.132959,0.255052,0.499729", \ "0.025808,0.037197,0.047767,0.073380,0.132966,0.255051,0.499729"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & D & SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.488425,0.497935,0.505558,0.526304,0.580794,0.702943,0.955479", \ "0.492937,0.502446,0.510073,0.530810,0.585381,0.707479,0.960007", \ "0.511618,0.521121,0.528752,0.549487,0.604077,0.726159,0.978685", \ "0.543434,0.552927,0.560545,0.581273,0.635795,0.757932,1.010477", \ "0.589337,0.598834,0.606295,0.627164,0.681729,0.803864,1.056262", \ "0.652924,0.662427,0.670030,0.691127,0.745691,0.867939,1.120551", \ "0.734794,0.744234,0.751303,0.772380,0.826914,0.949269,1.201781"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.025653,0.037055,0.047671,0.073341,0.132961,0.255051,0.499728", \ "0.025651,0.037055,0.047670,0.073346,0.132960,0.255050,0.499728", \ "0.025650,0.037056,0.047668,0.073349,0.132957,0.255046,0.499730", \ "0.025656,0.037062,0.047670,0.073343,0.132957,0.255047,0.499727", \ "0.025651,0.037056,0.047667,0.073343,0.132950,0.255053,0.499727", \ "0.025659,0.037063,0.047667,0.073342,0.132954,0.255049,0.499728", \ "0.025813,0.037197,0.047769,0.073381,0.132964,0.255046,0.499732"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & D & SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.488314,0.497781,0.505420,0.526194,0.580742,0.702890,0.955420", \ "0.492807,0.502336,0.509967,0.530715,0.585251,0.707435,0.960022", \ "0.511531,0.521004,0.528640,0.549402,0.603933,0.726108,0.978707", \ "0.543368,0.552884,0.560465,0.581238,0.635791,0.757936,1.010538", \ "0.589184,0.598699,0.606403,0.627157,0.681656,0.803751,1.056345", \ "0.652388,0.661924,0.669578,0.690186,0.744781,0.866897,1.119482", \ "0.734387,0.743916,0.751284,0.772077,0.826461,0.948425,1.200877"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.025647,0.037050,0.047666,0.073339,0.132956,0.255047,0.499728", \ "0.025651,0.037051,0.047665,0.073343,0.132960,0.255050,0.499730", \ "0.025649,0.037050,0.047663,0.073344,0.132957,0.255047,0.499731", \ "0.025649,0.037051,0.047667,0.073338,0.132955,0.255045,0.499726", \ "0.025647,0.037051,0.047663,0.073343,0.132953,0.255053,0.499727", \ "0.025657,0.037056,0.047666,0.073340,0.132955,0.255052,0.499729", \ "0.025808,0.037197,0.047767,0.073380,0.132966,0.255051,0.499729"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & !D & !SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.732318,0.742469,0.750630,0.771842,0.826441,0.948797,1.201689", \ "0.736286,0.746350,0.754614,0.775770,0.830556,0.952921,1.205740", \ "0.753839,0.763850,0.771971,0.793182,0.847906,0.970280,1.223153", \ "0.790303,0.800286,0.808379,0.829514,0.884242,1.006621,1.259479", \ "0.848898,0.858869,0.867090,0.888369,0.943006,1.065496,1.318375", \ "0.926581,0.936570,0.944556,0.966228,1.020896,1.143390,1.396076", \ "1.013683,1.023677,1.032042,1.053172,1.107815,1.230128,1.483206"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.025811,0.037957,0.048753,0.073952,0.133182,0.255174,0.499823", \ "0.025725,0.037835,0.048642,0.073903,0.133169,0.255167,0.499822", \ "0.025666,0.037744,0.048557,0.073867,0.133165,0.255172,0.499820", \ "0.025641,0.037707,0.048522,0.073852,0.133152,0.255169,0.499817", \ "0.025628,0.037684,0.048501,0.073842,0.133152,0.255163,0.499818", \ "0.025619,0.037670,0.048489,0.073834,0.133148,0.255165,0.499817", \ "0.025612,0.037663,0.048476,0.073826,0.133151,0.255164,0.499818"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & !D & !SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.732319,0.742468,0.750632,0.771861,0.826440,0.948798,1.201687", \ "0.736287,0.746351,0.754615,0.775771,0.830557,0.952922,1.205740", \ "0.753840,0.763851,0.771972,0.793183,0.847907,0.970281,1.223153", \ "0.790254,0.800287,0.808380,0.829515,0.884243,1.006621,1.259479", \ "0.848899,0.858870,0.866959,0.888370,0.943007,1.065497,1.318376", \ "0.926582,0.936571,0.944552,0.966229,1.020897,1.143391,1.396076", \ "1.013684,1.023678,1.032042,1.053173,1.107816,1.230128,1.483207"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.025811,0.037957,0.048753,0.073948,0.133182,0.255174,0.499823", \ "0.025725,0.037835,0.048642,0.073904,0.133169,0.255167,0.499822", \ "0.025666,0.037744,0.048557,0.073867,0.133165,0.255172,0.499820", \ "0.025647,0.037707,0.048522,0.073852,0.133152,0.255169,0.499817", \ "0.025628,0.037684,0.048501,0.073842,0.133152,0.255163,0.499818", \ "0.025619,0.037670,0.048489,0.073834,0.133148,0.255165,0.499817", \ "0.025612,0.037663,0.048476,0.073826,0.133151,0.255164,0.499818"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & !D & SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.732276,0.742462,0.750615,0.771863,0.826458,0.948784,1.201682", \ "0.736235,0.746343,0.754604,0.775756,0.830544,0.952911,1.205732", \ "0.753824,0.763841,0.771961,0.793168,0.847893,0.970270,1.223146", \ "0.790202,0.800240,0.808367,0.829502,0.884230,1.006611,1.259472", \ "0.848885,0.858857,0.866958,0.888394,0.942997,1.065487,1.318368", \ "0.926570,0.936559,0.944535,0.966175,1.020728,1.143382,1.396070", \ "1.013672,1.023665,1.032031,1.053162,1.107806,1.230120,1.483200"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.025808,0.037952,0.048753,0.073953,0.133182,0.255174,0.499823", \ "0.025726,0.037834,0.048643,0.073903,0.133169,0.255167,0.499822", \ "0.025666,0.037743,0.048556,0.073867,0.133165,0.255172,0.499820", \ "0.025643,0.037705,0.048521,0.073849,0.133153,0.255169,0.499818", \ "0.025628,0.037683,0.048502,0.073840,0.133152,0.255163,0.499818", \ "0.025618,0.037669,0.048488,0.073834,0.133147,0.255165,0.499817", \ "0.025612,0.037660,0.048476,0.073826,0.133151,0.255164,0.499818"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & !D & SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.732269,0.742487,0.750755,0.771863,0.826485,0.948968,1.201811", \ "0.736259,0.746366,0.754629,0.775802,0.830579,0.952954,1.205798", \ "0.753847,0.763876,0.771994,0.793209,0.847930,0.970317,1.223209", \ "0.790230,0.800317,0.808397,0.829550,0.884271,1.006662,1.259536", \ "0.848921,0.858906,0.866984,0.888400,0.943030,1.065577,1.318442", \ "0.926614,0.936425,0.944585,0.966139,1.020946,1.143451,1.396152", \ "1.013740,1.023735,1.032102,1.053237,1.107885,1.230207,1.483300"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.025809,0.037954,0.048751,0.073952,0.133181,0.255176,0.499823", \ "0.025724,0.037833,0.048642,0.073904,0.133169,0.255168,0.499822", \ "0.025664,0.037742,0.048556,0.073866,0.133165,0.255171,0.499820", \ "0.025638,0.037705,0.048520,0.073845,0.133152,0.255169,0.499818", \ "0.025627,0.037686,0.048500,0.073841,0.133151,0.255163,0.499818", \ "0.025617,0.037670,0.048486,0.073833,0.133148,0.255165,0.499816", \ "0.025611,0.037660,0.048476,0.073825,0.133150,0.255164,0.499818"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & D & !SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.732269,0.742487,0.750755,0.771866,0.826480,0.948851,1.201827", \ "0.736259,0.746366,0.754628,0.775802,0.830579,0.952954,1.205798", \ "0.753847,0.763876,0.771994,0.793209,0.847930,0.970317,1.223209", \ "0.790284,0.800317,0.808397,0.829550,0.884271,1.006662,1.259536", \ "0.848921,0.858906,0.866984,0.888400,0.943030,1.065577,1.318440", \ "0.926614,0.936334,0.944585,0.966271,1.020946,1.143451,1.396152", \ "1.013740,1.023735,1.032102,1.053237,1.107885,1.230207,1.483300"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.025809,0.037954,0.048751,0.073952,0.133182,0.255176,0.499823", \ "0.025724,0.037833,0.048642,0.073904,0.133169,0.255168,0.499822", \ "0.025664,0.037742,0.048556,0.073866,0.133165,0.255171,0.499820", \ "0.025637,0.037705,0.048520,0.073845,0.133152,0.255169,0.499818", \ "0.025627,0.037686,0.048500,0.073841,0.133151,0.255163,0.499817", \ "0.025617,0.037668,0.048487,0.073833,0.133148,0.255165,0.499816", \ "0.025611,0.037660,0.048476,0.073825,0.133150,0.255164,0.499818"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & D & !SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.732269,0.742487,0.750755,0.771867,0.826490,0.948962,1.201731", \ "0.736259,0.746366,0.754628,0.775802,0.830579,0.952954,1.205798", \ "0.753847,0.763876,0.771994,0.793208,0.847930,0.970317,1.223209", \ "0.790230,0.800253,0.808397,0.829550,0.884271,1.006662,1.259536", \ "0.848921,0.858906,0.866984,0.888400,0.943030,1.065577,1.318442", \ "0.926614,0.936425,0.944603,0.966270,1.020946,1.143451,1.396152", \ "1.013739,1.023734,1.032102,1.053237,1.107885,1.230207,1.483300"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.025809,0.037954,0.048751,0.073951,0.133182,0.255176,0.499824", \ "0.025724,0.037833,0.048642,0.073904,0.133169,0.255168,0.499822", \ "0.025664,0.037742,0.048556,0.073866,0.133165,0.255171,0.499820", \ "0.025638,0.037706,0.048520,0.073845,0.133152,0.255169,0.499818", \ "0.025627,0.037686,0.048500,0.073841,0.133151,0.255163,0.499818", \ "0.025617,0.037670,0.048488,0.073833,0.133148,0.255165,0.499816", \ "0.025611,0.037660,0.048476,0.073825,0.133150,0.255164,0.499818"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & D & SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.732276,0.742463,0.750616,0.771842,0.826489,0.948824,1.201677", \ "0.736235,0.746343,0.754605,0.775756,0.830544,0.952911,1.205733", \ "0.753824,0.763841,0.771961,0.793169,0.847894,0.970270,1.223146", \ "0.790257,0.800290,0.808367,0.829503,0.884231,1.006611,1.259473", \ "0.848885,0.858856,0.867089,0.888395,0.942998,1.065487,1.318369", \ "0.926570,0.936559,0.944539,0.966176,1.020887,1.143383,1.396070", \ "1.013672,1.023665,1.032032,1.053162,1.107806,1.230120,1.483200"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.025808,0.037952,0.048753,0.073950,0.133182,0.255175,0.499823", \ "0.025726,0.037834,0.048643,0.073903,0.133169,0.255167,0.499822", \ "0.025666,0.037743,0.048556,0.073867,0.133165,0.255172,0.499820", \ "0.025639,0.037707,0.048521,0.073849,0.133153,0.255169,0.499818", \ "0.025628,0.037683,0.048501,0.073840,0.133152,0.255163,0.499818", \ "0.025618,0.037669,0.048488,0.073834,0.133148,0.255165,0.499817", \ "0.025612,0.037660,0.048476,0.073826,0.133151,0.255164,0.499818"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & D & SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.732269,0.742487,0.750755,0.771867,0.826475,0.948827,1.201698", \ "0.736259,0.746366,0.754628,0.775802,0.830579,0.952954,1.205798", \ "0.753847,0.763876,0.771994,0.793208,0.847930,0.970317,1.223209", \ "0.790230,0.800253,0.808397,0.829550,0.884271,1.006662,1.259536", \ "0.848921,0.858906,0.866986,0.888400,0.943030,1.065577,1.318442", \ "0.926614,0.936416,0.944603,0.966271,1.020945,1.143451,1.396152", \ "1.013739,1.023734,1.032102,1.053237,1.107885,1.230207,1.483300"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.025809,0.037954,0.048751,0.073952,0.133182,0.255173,0.499823", \ "0.025724,0.037833,0.048642,0.073904,0.133169,0.255168,0.499822", \ "0.025664,0.037742,0.048556,0.073866,0.133165,0.255171,0.499820", \ "0.025638,0.037706,0.048520,0.073845,0.133152,0.255169,0.499818", \ "0.025627,0.037686,0.048500,0.073841,0.133151,0.255163,0.499818", \ "0.025617,0.037670,0.048488,0.073833,0.133148,0.255165,0.499816", \ "0.025611,0.037660,0.048476,0.073825,0.133150,0.255164,0.499818"); } } internal_power () { related_pin : "CK"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("4.850156,4.967884,5.039905,5.399362,5.511706,5.534693,5.577095", \ "4.841835,4.960287,5.033818,5.393612,5.506552,5.548460,5.527164", \ "4.816934,4.945632,5.016310,5.381106,5.487834,5.523642,5.555396", \ "4.824450,4.941188,5.015614,5.347653,5.483219,5.529132,5.506018", \ "4.848948,4.968270,5.034699,5.405816,5.463602,5.526535,5.556618", \ "4.912320,5.029646,5.105336,5.460944,5.552827,5.611207,5.613281", \ "5.032662,5.149651,5.222757,5.582572,5.687746,5.730052,5.728570"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("5.644103,5.611142,5.550710,5.572681,5.642252,5.751460,5.762022", \ "5.630756,5.605809,5.542028,5.559792,5.644873,5.754158,5.764132", \ "5.613517,5.586533,5.528637,5.551475,5.639855,5.733692,5.723586", \ "5.601539,5.575179,5.514318,5.538209,5.629300,5.711375,5.722208", \ "5.623695,5.591811,5.543202,5.549507,5.651587,5.723523,5.736564", \ "5.682809,5.647858,5.599702,5.596293,5.707792,5.755305,5.769694", \ "5.787717,5.754625,5.693953,5.721608,5.814699,5.898610,5.908628"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & !SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("5.049421,5.002330,4.933543,4.976376,5.042337,5.055004,5.029702", \ "5.030871,4.986264,4.929851,4.963529,5.039172,5.052236,5.024584", \ "5.032629,4.986569,4.932283,4.964275,5.034302,5.024350,4.999180", \ "5.028513,4.981807,4.912871,4.934002,4.978054,5.059415,5.035865", \ "5.030603,4.984185,4.925221,4.936651,4.990344,5.003038,5.048580", \ "5.058877,4.995093,4.950165,4.952544,5.004118,5.013625,5.055998", \ "5.158335,5.104413,5.020390,5.002437,5.088963,5.086463,5.054777"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & !SE & SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("5.048691,5.001603,4.932818,4.979084,5.041581,5.054257,5.026484", \ "5.030149,4.985540,4.929131,4.962586,5.038418,5.051458,5.023790", \ "5.031928,4.985871,4.931579,4.963558,5.033571,5.023587,4.998412", \ "5.027861,4.981151,4.912209,4.933325,4.977354,5.058686,5.035120", \ "5.030019,4.983593,4.924618,4.936036,4.989694,5.002343,5.047855", \ "5.058392,4.994599,4.949497,4.952014,5.003554,5.013050,5.055333", \ "5.157969,5.104031,5.019961,5.017336,5.088497,5.085927,5.054171"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("5.049040,5.001934,4.933162,4.978606,5.042028,5.054607,5.029506", \ "5.030483,4.985881,4.929486,4.964287,5.038830,5.051964,5.024399", \ "5.032262,4.986209,4.931921,4.963900,5.033964,5.024063,4.998991", \ "5.028182,4.981481,4.912549,4.933685,4.977736,5.059134,5.035677", \ "5.030327,4.983906,4.924936,4.936415,4.990090,5.002826,5.048425", \ "5.058665,4.994890,4.949792,4.952390,5.003898,5.013290,5.059281", \ "5.158208,5.104340,5.020253,5.017703,5.088791,5.086217,5.090699"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & SE & SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("5.619348,5.573976,5.504755,5.536305,5.610815,5.622846,5.596197", \ "5.606521,5.556670,5.499764,5.523548,5.608840,5.620316,5.594143", \ "5.599563,5.554229,5.500294,5.509305,5.577769,5.590070,5.563985", \ "5.596272,5.553463,5.482216,5.485672,5.545524,5.626831,5.614441", \ "5.609248,5.562567,5.500895,5.533888,5.590162,5.581198,5.624399", \ "5.642298,5.594942,5.549247,5.556987,5.606362,5.616188,5.658394", \ "5.782472,5.722759,5.641056,5.636024,5.714153,5.714495,5.715084"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D & !SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("5.620207,5.574863,5.505637,5.537188,5.611525,5.623735,5.597228", \ "5.607371,5.557506,5.500621,5.524428,5.609781,5.621287,5.595135", \ "5.600395,5.555070,5.501154,5.510210,5.578738,5.591071,5.564999", \ "5.597055,5.554319,5.483005,5.486524,5.602815,5.627813,5.616509", \ "5.609387,5.563086,5.501622,5.523739,5.568899,5.582054,5.625325", \ "5.642897,5.595557,5.549876,5.557686,5.607221,5.617296,5.659338", \ "5.782944,5.723251,5.641556,5.636558,5.714819,5.714956,5.683423"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D & !SE & SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("5.620218,5.574872,5.505642,5.537218,5.611302,5.623737,5.597215", \ "5.607382,5.557517,5.500629,5.524433,5.609784,5.621290,5.595137", \ "5.600406,5.555080,5.501159,5.510212,5.578741,5.591068,5.564999", \ "5.597064,5.554316,5.483013,5.486530,5.602999,5.627809,5.616265", \ "5.609403,5.563095,5.501628,5.523735,5.568907,5.582061,5.625327", \ "5.642906,5.595464,5.549887,5.557684,5.607217,5.617014,5.659334", \ "5.782951,5.723255,5.641561,5.637880,5.714815,5.715236,5.715923"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D & SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("5.048185,5.001061,4.932261,4.976545,5.040929,5.053486,5.028115", \ "5.029626,4.985013,4.928587,4.963276,5.037786,5.050765,5.023006", \ "5.031413,4.985339,4.931030,4.962954,5.032920,5.022869,4.997609", \ "5.027344,4.980618,4.911680,4.932755,4.976709,5.057965,5.034321", \ "5.029522,4.983086,4.924101,4.935522,4.989103,5.001689,5.047095", \ "5.057932,4.994134,4.949013,4.951555,5.002973,5.012405,5.054578", \ "5.157571,5.103684,5.019571,5.016964,5.087961,5.085323,5.053464"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D & SE & SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("5.620226,5.574862,5.505649,5.537229,5.611814,5.623766,5.597295", \ "5.607392,5.557548,5.500646,5.524454,5.609801,5.621332,5.595219", \ "5.600416,5.555089,5.501171,5.510218,5.578729,5.591084,5.565055", \ "5.597083,5.554294,5.483040,5.486536,5.603607,5.627818,5.615744", \ "5.609725,5.563311,5.501655,5.524202,5.591096,5.582119,5.625407", \ "5.642999,5.595492,5.549914,5.557696,5.607133,5.617046,5.659389", \ "5.782980,5.723283,5.641597,5.637922,5.714818,5.715261,5.715965"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D & !SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("5.965349,5.924782,5.887383,5.893833,6.033578,6.082025,6.146785", \ "5.957168,5.914742,5.873550,5.865889,6.003931,6.088844,6.071881", \ "5.941655,5.899533,5.859112,5.855187,5.989384,6.064716,6.057542", \ "5.948813,5.905869,5.853890,5.856794,5.966180,6.041604,6.107199", \ "5.946051,5.905524,5.857926,5.846503,5.946741,6.089481,6.088434", \ "5.960307,5.917081,5.879307,5.880970,5.974707,6.116284,6.116977", \ "5.990580,5.947017,5.895885,5.917720,6.016310,6.090831,6.152352"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D & !SE & SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("5.964409,5.923808,5.886455,5.892776,6.033116,6.080258,6.138838", \ "5.956236,5.913809,5.872638,5.864977,6.003043,6.088080,6.071044", \ "5.940754,5.898642,5.858219,5.854299,5.988515,6.063873,6.056728", \ "5.948861,5.905018,5.853012,5.855952,5.965351,6.040789,6.106428", \ "5.945278,5.904653,5.856428,5.845728,5.945961,6.088708,6.087669", \ "5.959644,5.916416,5.878574,5.880293,5.974022,6.115580,6.116267", \ "5.990054,5.946487,5.895364,5.917162,6.015735,6.090212,6.151709"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D & SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("5.965203,5.925849,5.886858,5.892968,6.032039,6.087215,6.139683", \ "5.956403,5.913692,5.876665,5.865378,6.003391,6.087161,6.071437", \ "5.941261,5.898488,5.854411,5.854676,5.988833,6.064191,6.057083", \ "5.948748,5.904955,5.853839,5.856256,5.965675,6.041118,6.109002", \ "5.945722,5.906384,5.859496,5.845946,5.946341,6.089061,6.088041", \ "5.960056,5.916809,5.879255,5.881059,5.976257,6.115893,6.116614", \ "5.990434,5.946401,5.895441,5.917513,6.016043,6.090541,6.152038"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D & SE & SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("5.963342,5.921077,5.882913,5.890113,6.000067,6.074839,6.135936", \ "5.954273,5.911198,5.873902,5.862410,6.000036,6.103034,6.065865", \ "5.938808,5.895675,5.856331,5.851617,5.985399,6.059877,6.051545", \ "5.946449,5.901967,5.849679,5.853368,5.962165,6.036740,6.098502", \ "5.943041,5.899636,5.851549,5.843058,5.942800,6.084358,6.082300", \ "5.957170,5.915624,5.875967,5.878958,5.970569,6.111267,6.110619", \ "5.987188,5.943141,5.894058,5.913941,6.011974,6.085659,6.145954"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D & !SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("5.964449,5.922184,5.884039,5.891133,6.001223,6.076896,6.134040", \ "5.955375,5.912294,5.874998,5.863472,6.001139,6.104058,6.066898", \ "5.939872,5.896739,5.857334,5.852704,5.986535,6.060977,6.052608", \ "5.946591,5.902978,5.850686,5.854408,5.963250,6.037800,6.099559", \ "5.943959,5.900558,5.852467,5.843987,5.943745,6.085331,6.082179", \ "5.957974,5.918911,5.876908,5.878207,5.971468,6.112211,6.111574", \ "5.987842,5.943808,5.894731,5.914635,6.012763,6.086493,6.146846"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D & !SE & SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("5.964460,5.922192,5.884049,5.891151,6.028990,6.076056,6.137694", \ "5.955381,5.912302,5.875010,5.863499,6.001140,6.103938,6.066915", \ "5.939839,5.896744,5.857347,5.852719,5.986528,6.060995,6.052630", \ "5.947474,5.903846,5.850698,5.854415,5.963243,6.037819,6.099584", \ "5.943974,5.900574,5.852472,5.844000,5.943768,6.085343,6.083303", \ "5.957988,5.916466,5.876566,5.878223,5.971481,6.112208,6.111592", \ "5.987854,5.943820,5.894741,5.914650,6.012765,6.086492,6.146860"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D & SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("5.964067,5.924993,5.885691,5.892022,6.001478,6.130527,6.137760", \ "5.955270,5.912597,5.875528,5.864191,6.002131,6.085673,6.069908", \ "5.940137,5.897350,5.853269,5.853486,5.987583,6.062835,6.055574", \ "5.946988,5.903449,5.852699,5.855086,5.964437,6.039781,6.107515", \ "5.944651,5.905302,5.855273,5.844812,5.945143,6.087758,6.086570", \ "5.959053,5.915791,5.878101,5.879990,5.973214,6.114645,6.115218", \ "5.989549,5.945499,5.894524,5.916546,6.015004,6.089372,6.150721"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D & SE & SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("5.964480,5.922212,5.884037,5.891150,6.026981,6.077550,6.142314", \ "5.955403,5.912324,5.875024,5.863512,6.001154,6.103872,6.066997", \ "5.939907,5.896773,5.857428,5.852727,5.986512,6.061010,6.052671", \ "5.947504,5.903888,5.850714,5.854417,5.963237,6.037842,6.099609", \ "5.944011,5.900610,5.853171,5.844028,5.943807,6.085402,6.083368", \ "5.958015,5.916622,5.876602,5.878233,5.971501,6.112236,6.111641", \ "5.987894,5.943860,5.894785,5.914695,6.012788,6.086532,6.146908"); } fall_power(scalar) {values ("0.0"); } } } } /****************************************************************************************** Module : SDFFS_X1 Cell Description : Pos.edge D-Flip-Flop with active high scan, and active low set, and drive strength X1 *******************************************************************************************/ cell (SDFFS_X1) { drive_strength : 1; ff ("IQ" , "IQN") { next_state : "((SE * SI) + (D * !SE))"; clocked_on : "CK"; preset : "!SN"; } area : 6.650000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 69.900752; leakage_power () { when : "!CK & !D & !SE & !SI & !SN & Q & !QN"; value : 63.014925; } leakage_power () { when : "!CK & !D & !SE & !SI & SN & !Q & QN"; value : 69.939161; } leakage_power () { when : "!CK & !D & !SE & !SI & SN & Q & !QN"; value : 70.966662; } leakage_power () { when : "!CK & !D & !SE & SI & !SN & Q & !QN"; value : 70.047509; } leakage_power () { when : "!CK & !D & !SE & SI & SN & !Q & QN"; value : 76.971745; } leakage_power () { when : "!CK & !D & !SE & SI & SN & Q & !QN"; value : 77.999246; } leakage_power () { when : "!CK & !D & SE & !SI & !SN & Q & !QN"; value : 60.433214; } leakage_power () { when : "!CK & !D & SE & !SI & SN & !Q & QN"; value : 67.357451; } leakage_power () { when : "!CK & !D & SE & !SI & SN & Q & !QN"; value : 68.384952; } leakage_power () { when : "!CK & !D & SE & SI & !SN & Q & !QN"; value : 59.109551; } leakage_power () { when : "!CK & !D & SE & SI & SN & !Q & QN"; value : 72.325732; } leakage_power () { when : "!CK & !D & SE & SI & SN & Q & !QN"; value : 67.352748; } leakage_power () { when : "!CK & D & !SE & !SI & !SN & Q & !QN"; value : 62.358674; } leakage_power () { when : "!CK & D & !SE & !SI & SN & !Q & QN"; value : 75.574856; } leakage_power () { when : "!CK & D & !SE & !SI & SN & Q & !QN"; value : 70.601872; } leakage_power () { when : "!CK & D & !SE & SI & !SN & Q & !QN"; value : 63.405337; } leakage_power () { when : "!CK & D & !SE & SI & SN & !Q & QN"; value : 76.621528; } leakage_power () { when : "!CK & D & !SE & SI & SN & Q & !QN"; value : 71.648535; } leakage_power () { when : "!CK & D & SE & !SI & !SN & Q & !QN"; value : 69.751271; } leakage_power () { when : "!CK & D & SE & !SI & SN & !Q & QN"; value : 76.675507; } leakage_power () { when : "!CK & D & SE & !SI & SN & Q & !QN"; value : 77.702913; } leakage_power () { when : "!CK & D & SE & SI & !SN & Q & !QN"; value : 60.472744; } leakage_power () { when : "!CK & D & SE & SI & SN & !Q & QN"; value : 73.688925; } leakage_power () { when : "!CK & D & SE & SI & SN & Q & !QN"; value : 68.716037; } leakage_power () { when : "CK & !D & !SE & !SI & !SN & Q & !QN"; value : 66.052702; } leakage_power () { when : "CK & !D & !SE & !SI & SN & !Q & QN"; value : 69.377721; } leakage_power () { when : "CK & !D & !SE & !SI & SN & Q & !QN"; value : 75.146330; } leakage_power () { when : "CK & !D & !SE & SI & !SN & Q & !QN"; value : 73.083766; } leakage_power () { when : "CK & !D & !SE & SI & SN & !Q & QN"; value : 76.409070; } leakage_power () { when : "CK & !D & !SE & SI & SN & Q & !QN"; value : 82.177394; } leakage_power () { when : "CK & !D & SE & !SI & !SN & Q & !QN"; value : 63.470231; } leakage_power () { when : "CK & !D & SE & !SI & SN & !Q & QN"; value : 66.795440; } leakage_power () { when : "CK & !D & SE & !SI & SN & Q & !QN"; value : 72.563859; } leakage_power () { when : "CK & !D & SE & SI & !SN & Q & !QN"; value : 58.991618; } leakage_power () { when : "CK & !D & SE & SI & SN & !Q & QN"; value : 68.304857; } leakage_power () { when : "CK & !D & SE & SI & SN & Q & !QN"; value : 68.087051; } leakage_power () { when : "CK & D & !SE & !SI & !SN & Q & !QN"; value : 62.240931; } leakage_power () { when : "CK & D & !SE & !SI & SN & !Q & QN"; value : 71.554266; } leakage_power () { when : "CK & D & !SE & !SI & SN & Q & !QN"; value : 71.336365; } leakage_power () { when : "CK & D & !SE & SI & !SN & Q & !QN"; value : 63.287983; } leakage_power () { when : "CK & D & !SE & SI & SN & !Q & QN"; value : 72.601318; } leakage_power () { when : "CK & D & !SE & SI & SN & Q & !QN"; value : 72.383321; } leakage_power () { when : "CK & D & SE & !SI & !SN & Q & !QN"; value : 72.785533; } leakage_power () { when : "CK & D & SE & !SI & SN & !Q & QN"; value : 76.111311; } leakage_power () { when : "CK & D & SE & !SI & SN & Q & !QN"; value : 81.879160; } leakage_power () { when : "CK & D & SE & SI & !SN & Q & !QN"; value : 60.355295; } leakage_power () { when : "CK & D & SE & SI & SN & !Q & QN"; value : 69.668725; } leakage_power () { when : "CK & D & SE & SI & SN & Q & !QN"; value : 69.450729; } pin (D) { direction : input; nextstate_type : data; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.131009; fall_capacitance : 1.057425; rise_capacitance : 1.131009; timing () { related_pin : "CK"; timing_type : hold_rising; when : "!SE & SN"; sdf_cond : "NEG_SE_AND_SN === 1'b1"; fall_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.072614,-0.020395,0.035302", \ "-0.112671,-0.058028,0.001279", \ "0.153701,0.212125,0.275848"); } rise_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.023576,0.013971,0.046177", \ "-0.026081,0.008136,0.020106", \ "0.113874,0.146747,0.125941"); } } timing () { related_pin : "CK"; timing_type : setup_rising; when : "!SE & SN"; sdf_cond : "NEG_SE_AND_SN === 1'b1"; fall_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.243432,0.212666,0.228131", \ "0.301243,0.270104,0.285505", \ "0.457811,0.426124,0.439193"); } rise_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.233335,0.175682,0.110629", \ "0.278727,0.220500,0.155688", \ "0.347058,0.288640,0.224943"); } } internal_power () { when : "!CK & !SE & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.203001,5.184320,5.158980,5.147794,5.154469,5.202688,5.314789"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.666983,3.644298,3.617021,3.594506,3.593707,3.638431,3.757580"); } } internal_power () { when : "!CK & !SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.285097,5.268316,5.242422,5.231972,5.237534,5.286044,5.399937"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.791436,3.768629,3.741813,3.717881,3.717231,3.763165,3.887510"); } } internal_power () { when : "!CK & !SE & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.252856,5.235763,5.209754,5.195771,5.206322,5.253509,5.366018"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.681596,3.658716,3.632230,3.606744,3.607666,3.652839,3.774834"); } } internal_power () { when : "!CK & !SE & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.194916,5.176212,5.151793,5.139399,5.145754,5.192925,5.304729"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.669240,3.646929,3.619550,3.597176,3.595375,3.639218,3.761488"); } } internal_power () { when : "!CK & !SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.276989,5.260194,5.234196,5.223511,5.228823,5.275022,5.389175"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.793991,3.771114,3.744318,3.720709,3.718915,3.764708,3.888922"); } } internal_power () { when : "!CK & !SE & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.244765,5.227633,5.202747,5.187370,5.197610,5.243584,5.355973"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.684100,3.661204,3.634706,3.610646,3.609296,3.656930,3.776027"); } } internal_power () { when : "!CK & SE & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.547055,0.524926,0.515369,0.511663,0.509122,0.508616,0.508554"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.446729,-0.449616,-0.454100,-0.457689,-0.459975,-0.461336,-0.462306"); } } internal_power () { when : "!CK & SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.543617,0.524505,0.514947,0.511244,0.508694,0.508215,0.508144"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.448127,-0.451002,-0.455486,-0.459071,-0.461352,-0.462701,-0.463687"); } } internal_power () { when : "!CK & SE & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.543907,0.526445,0.515239,0.511537,0.508974,0.508514,0.508426"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.447594,-0.450454,-0.454936,-0.458520,-0.460795,-0.462140,-0.463120"); } } internal_power () { when : "!CK & SE & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.479975,0.483229,0.484141,0.484610,0.484679,0.485996,0.484854"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.330165,-0.362347,-0.401408,-0.420981,-0.431438,-0.437778,-0.442334"); } } internal_power () { when : "!CK & SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.480507,0.483779,0.484486,0.485139,0.485139,0.486527,0.485295"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.328569,-0.361253,-0.400256,-0.419829,-0.429923,-0.436701,-0.440744"); } } internal_power () { when : "!CK & SE & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.479891,0.483156,0.484062,0.484156,0.484538,0.485941,0.484753"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.330547,-0.362703,-0.401762,-0.421334,-0.431786,-0.438120,-0.442667"); } } internal_power () { when : "CK & !SE & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.472287,2.455135,2.429971,2.418565,2.428849,2.495510,2.603588"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.161102,1.138305,1.112059,1.093461,1.095914,1.140500,1.261358"); } } internal_power () { when : "CK & !SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.510288,2.493126,2.468440,2.456767,2.466565,2.534458,2.639994"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.173146,1.151722,1.124987,1.106325,1.111803,1.158687,1.279027"); } } internal_power () { when : "CK & !SE & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.471587,2.454378,2.429181,2.417849,2.428143,2.494174,2.599677"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.207570,1.184569,1.158246,1.138978,1.141491,1.189230,1.304935"); } } internal_power () { when : "CK & !SE & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.463504,2.446292,2.421018,2.409501,2.419290,2.486200,2.592949"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.161042,1.138217,1.111966,1.093473,1.095942,1.142166,1.260016"); } } internal_power () { when : "CK & !SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.501554,2.484870,2.459563,2.447805,2.457702,2.525527,2.631885"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.175087,1.153629,1.126876,1.110054,1.110993,1.160866,1.279741"); } } internal_power () { when : "CK & !SE & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.463524,2.446263,2.420737,2.409479,2.419548,2.485098,2.593335"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.210095,1.187077,1.160739,1.141481,1.143543,1.191723,1.305440"); } } internal_power () { when : "CK & SE & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.542466,0.523300,0.513311,0.510038,0.507517,0.507010,0.506968"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.451418,-0.453064,-0.457555,-0.461159,-0.463488,-0.464952,-0.466024"); } } internal_power () { when : "CK & SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.543745,0.524614,0.515055,0.511351,0.508812,0.508314,0.508261"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.447510,-0.450392,-0.454888,-0.458479,-0.460767,-0.462128,-0.463100"); } } internal_power () { when : "CK & SE & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.543473,0.524354,0.514322,0.511090,0.508521,0.508078,0.507988"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.448779,-0.451627,-0.456119,-0.459701,-0.461974,-0.463313,-0.464254"); } } internal_power () { when : "CK & SE & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.479397,0.482807,0.483215,0.483993,0.484048,0.485300,0.484130"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.330848,-0.363097,-0.402067,-0.421667,-0.432092,-0.438432,-0.442991"); } } internal_power () { when : "CK & SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.480727,0.483784,0.484641,0.485079,0.485128,0.486472,0.485280"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.329095,-0.362014,-0.400236,-0.420157,-0.430088,-0.436934,-0.440936"); } } internal_power () { when : "CK & SE & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.479415,0.482658,0.483198,0.484005,0.484035,0.485333,0.484117"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.330954,-0.363091,-0.402135,-0.421698,-0.432150,-0.438486,-0.443040"); } } } pin (SE) { direction : input; nextstate_type : scan_enable; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.957713; fall_capacitance : 1.817306; rise_capacitance : 1.957713; timing () { related_pin : "CK"; timing_type : hold_rising; when : "SN"; sdf_cond : "SN === 1'b1"; fall_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.051562,-0.015485,0.008906", \ "-0.113298,-0.076169,-0.048152", \ "0.152646,0.184829,0.171947"); } rise_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.056642,-0.010695,0.051167", \ "-0.086955,-0.035820,0.027070", \ "0.011576,0.069479,0.135357"); } } timing () { related_pin : "CK"; timing_type : setup_rising; when : "SN"; sdf_cond : "SN === 1'b1"; fall_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.284635,0.226870,0.190658", \ "0.349786,0.292025,0.243784", \ "0.489192,0.431294,0.400369"); } rise_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.273267,0.241510,0.255945", \ "0.312144,0.280055,0.294537", \ "0.348114,0.315937,0.328850"); } } internal_power () { when : "!CK & !D & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.951343,1.913715,1.897147,1.943402,2.040874,2.200750,2.425280"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.048582,0.027045,0.005911,0.015743,0.092049,0.238004,0.459415"); } } internal_power () { when : "!CK & !D & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.950508,1.913277,1.896721,1.942737,2.039101,2.200349,2.424992"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.043478,0.025653,0.004526,0.018836,0.093488,0.236611,0.458007"); } } internal_power () { when : "!CK & !D & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.950704,1.913579,1.897156,1.943030,2.039381,2.200642,2.425369"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.044009,0.026201,0.001120,0.019386,0.094044,0.236821,0.458573"); } } internal_power () { when : "!CK & !D & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("6.014375,5.947429,5.898359,5.967218,6.134390,6.444117,6.880055"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.301846,3.282691,3.235836,3.240191,3.359510,3.624208,4.060974"); } } internal_power () { when : "!CK & !D & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("6.097367,6.030667,5.981629,6.051870,6.221693,6.527691,6.959527"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.426092,3.407871,3.360383,3.364335,3.483847,3.749267,4.186529"); } } internal_power () { when : "!CK & !D & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("6.064617,5.997773,5.948404,6.014948,6.187444,6.493306,6.925109"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.316152,3.296385,3.249594,3.252154,3.374908,3.642957,4.073034"); } } internal_power () { when : "!CK & D & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.493738,5.460818,5.468367,5.553597,5.698366,5.908853,6.207300"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.708655,4.707758,4.656995,4.629986,4.674612,4.802125,5.023231"); } } internal_power () { when : "!CK & D & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.478885,5.446376,5.456582,5.540943,5.680598,5.891436,6.186689"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.675855,4.685298,4.664088,4.659184,4.712422,4.848007,5.066682"); } } internal_power () { when : "!CK & D & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.501277,5.470056,5.476841,5.562521,5.709490,5.919808,6.217982"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.770503,4.770195,4.714667,4.694696,4.737685,4.867412,5.086754"); } } internal_power () { when : "!CK & D & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.819646,1.783398,1.769984,1.816742,1.915705,2.072189,2.301945"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.111826,0.096079,0.076910,0.089413,0.161692,0.304275,0.528549"); } } internal_power () { when : "!CK & D & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.823614,1.787628,1.773803,1.822534,1.919879,2.076480,2.306160"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.129628,0.113681,0.094488,0.106712,0.178791,0.320706,0.544973"); } } internal_power () { when : "!CK & D & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.819563,1.783325,1.769905,1.816293,1.915564,2.072123,2.301803"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.111444,0.095723,0.076555,0.089063,0.161335,0.303933,0.528216"); } } internal_power () { when : "CK & !D & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.949559,1.912909,1.896324,1.943373,2.038947,2.200149,2.425497"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.049832,0.030618,0.006278,0.017359,0.096245,0.237779,0.463697"); } } internal_power () { when : "CK & !D & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.950472,1.913659,1.896980,1.943100,2.040535,2.200415,2.424449"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.043010,0.025940,0.000665,0.014936,0.091208,0.236784,0.458523"); } } internal_power () { when : "CK & !D & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.950515,1.913163,1.896284,1.942837,2.040245,2.200185,2.422879"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.041696,0.024631,-0.005690,0.013769,0.090008,0.235949,0.457215"); } } internal_power () { when : "CK & !D & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.275771,3.210321,3.162727,3.236650,3.422963,3.737446,4.165810"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.770960,0.751480,0.709753,0.720086,0.842986,1.108637,1.538970"); } } internal_power () { when : "CK & !D & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.315223,3.248577,3.200869,3.277177,3.459792,3.785738,4.203721"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.808105,0.789588,0.748416,0.759458,0.881603,1.150831,1.578988"); } } internal_power () { when : "CK & !D & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.275735,3.210564,3.162570,3.237691,3.422825,3.737301,4.165100"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.841847,0.822901,0.780070,0.789083,0.911279,1.172849,1.603883"); } } internal_power () { when : "CK & D & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.003088,2.970305,2.977152,3.061416,3.206036,3.415190,3.703235"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.853994,1.861829,1.840914,1.835837,1.889480,2.035072,2.252070"); } } internal_power () { when : "CK & D & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.968115,2.935411,2.941507,3.026606,3.170869,3.382696,3.670006"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.894179,1.902091,1.881982,1.874977,1.929511,2.073231,2.290130"); } } internal_power () { when : "CK & D & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.996428,2.963562,2.968738,3.054605,3.201624,3.411260,3.700942"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.941880,1.941133,1.888540,1.862680,1.906928,2.047434,2.262164"); } } internal_power () { when : "CK & D & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.822132,1.786437,1.772141,1.821431,1.918610,2.073914,2.303540"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.025138,-0.041063,-0.059964,-0.048893,0.023108,0.163173,0.384259"); } } internal_power () { when : "CK & D & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.822710,1.787208,1.773235,1.821723,1.920507,2.076080,2.305545"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.129215,0.113287,0.094072,0.106459,0.178482,0.320247,0.545019"); } } internal_power () { when : "CK & D & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.818433,1.782704,1.768437,1.815990,1.916041,2.070156,2.300876"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.111177,0.095354,0.076295,0.088459,0.161040,0.304112,0.527801"); } } } pin (SI) { direction : input; nextstate_type : scan_in; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 0.874647; fall_capacitance : 0.839929; rise_capacitance : 0.874647; timing () { related_pin : "CK"; timing_type : hold_rising; when : "SE & SN"; sdf_cond : "SE_AND_SN === 1'b1"; fall_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.084532,-0.032595,0.022705", \ "-0.116564,-0.060994,0.000480", \ "0.130013,0.188001,0.253894"); } rise_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.024348,0.012576,0.044178", \ "-0.028008,0.005748,0.017917", \ "0.131367,0.163506,0.143904"); } } timing () { related_pin : "CK"; timing_type : setup_rising; when : "SE & SN"; sdf_cond : "SE_AND_SN === 1'b1"; fall_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.279311,0.248025,0.262126", \ "0.337064,0.305209,0.319483", \ "0.503010,0.470199,0.482396"); } rise_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.238734,0.180889,0.116034", \ "0.288302,0.230161,0.165020", \ "0.370748,0.312765,0.246898"); } } internal_power () { when : "!CK & !D & !SE & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.412347,0.398128,0.390412,0.387271,0.384931,0.384903,0.383852"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.341607,-0.344285,-0.347744,-0.349307,-0.350327,-0.350934,-0.351130"); } } internal_power () { when : "!CK & !D & !SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.414247,0.397708,0.389989,0.386853,0.384502,0.384503,0.383441"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.343009,-0.345674,-0.349131,-0.350690,-0.351705,-0.352300,-0.352515"); } } internal_power () { when : "!CK & !D & !SE & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.414537,0.398003,0.390282,0.387146,0.384783,0.384801,0.383724"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.342474,-0.345125,-0.348581,-0.350137,-0.351148,-0.351738,-0.351948"); } } internal_power () { when : "!CK & !D & SE & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.175302,5.162431,5.143205,5.132315,5.137747,5.169326,5.248146"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.320636,3.305799,3.287665,3.270713,3.265667,3.292351,3.381120"); } } internal_power () { when : "!CK & !D & SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.256080,5.244287,5.227417,5.214214,5.221061,5.249010,5.327338"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.446842,3.430955,3.412739,3.395032,3.390848,3.417349,3.500159"); } } internal_power () { when : "!CK & !D & SE & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.223664,5.211557,5.195077,5.181199,5.188323,5.216107,5.295790"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.334640,3.319795,3.301960,3.282938,3.281642,3.310059,3.390976"); } } internal_power () { when : "!CK & D & !SE & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.357220,0.360771,0.361426,0.361236,0.360690,0.361214,0.360971"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.246343,-0.272896,-0.303150,-0.317272,-0.324871,-0.329533,-0.332696"); } } internal_power () { when : "!CK & D & !SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.357763,0.361490,0.361658,0.361919,0.361309,0.361887,0.361545"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.248329,-0.270876,-0.301033,-0.315207,-0.322768,-0.327224,-0.330542"); } } internal_power () { when : "!CK & D & !SE & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.357134,0.360697,0.361347,0.360728,0.360543,0.361148,0.360870"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.246726,-0.273252,-0.303504,-0.317624,-0.325218,-0.329874,-0.333029"); } } internal_power () { when : "!CK & D & SE & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.164366,5.150841,5.130645,5.120913,5.125931,5.154972,5.234450"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.323162,3.308358,3.290272,3.273186,3.268176,3.295638,3.388510"); } } internal_power () { when : "!CK & D & SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.245154,5.233325,5.216421,5.202810,5.209244,5.237368,5.314431"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.449389,3.432992,3.414336,3.397614,3.394176,3.418863,3.506727"); } } internal_power () { when : "!CK & D & SE & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.212775,5.200576,5.183891,5.169397,5.176440,5.203252,5.282707"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.339085,3.321337,3.302707,3.285353,3.283844,3.311600,3.401242"); } } internal_power () { when : "CK & !D & !SE & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.415081,0.397445,0.389271,0.386587,0.384259,0.384261,0.383212"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.343327,-0.346093,-0.349738,-0.351328,-0.352353,-0.352992,-0.353246"); } } internal_power () { when : "CK & !D & !SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.412045,0.397820,0.390100,0.386962,0.384624,0.384603,0.383559"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.342395,-0.345077,-0.348536,-0.350100,-0.351123,-0.351731,-0.351928"); } } internal_power () { when : "CK & !D & !SE & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.411772,0.397561,0.389339,0.386701,0.384333,0.384368,0.383286"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.343665,-0.346313,-0.349768,-0.351322,-0.352331,-0.352916,-0.353082"); } } internal_power () { when : "CK & !D & SE & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.435852,2.423790,2.404828,2.395089,2.404521,2.452196,2.540767"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.863764,0.846649,0.829684,0.816820,0.812349,0.842258,0.924521"); } } internal_power () { when : "CK & !D & SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.476656,2.464244,2.445797,2.433921,2.441873,2.493204,2.578922"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.832930,0.816237,0.800074,0.786955,0.786508,0.817528,0.896121"); } } internal_power () { when : "CK & !D & SE & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.437128,2.423504,2.406420,2.394914,2.404264,2.451504,2.543756"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.866079,0.849346,0.832393,0.819199,0.816987,0.847281,0.921431"); } } internal_power () { when : "CK & D & !SE & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.356981,0.360685,0.360945,0.361114,0.360576,0.361043,0.360797"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.249129,-0.271741,-0.301894,-0.316075,-0.323654,-0.328134,-0.331488"); } } internal_power () { when : "CK & D & !SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.357723,0.361442,0.362078,0.361448,0.361265,0.361835,0.361552"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.248706,-0.271278,-0.301422,-0.315586,-0.323137,-0.327579,-0.330880"); } } internal_power () { when : "CK & D & !SE & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.356637,0.360202,0.360426,0.360630,0.360046,0.360550,0.360234"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.247097,-0.273622,-0.303867,-0.317982,-0.325578,-0.330237,-0.333397"); } } internal_power () { when : "CK & D & SE & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.425932,2.413526,2.394528,2.383608,2.392573,2.439300,2.527683"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.819274,0.802509,0.785095,0.773622,0.772497,0.801721,0.883516"); } } internal_power () { when : "CK & D & SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.465330,2.452866,2.434642,2.422049,2.429660,2.480310,2.565426"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.835509,0.818787,0.802663,0.791147,0.789010,0.819067,0.903053"); } } internal_power () { when : "CK & D & SE & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.425909,2.413510,2.394523,2.383596,2.392514,2.438789,2.527703"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.867977,0.850512,0.834666,0.821627,0.819485,0.848556,0.928705"); } } } pin (SN) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.335695; fall_capacitance : 1.305955; rise_capacitance : 1.335695; timing () { related_pin : "CK"; timing_type : recovery_rising; rise_constraint(Recovery_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.193288,-0.251620,-0.325718", \ "-0.181150,-0.238782,-0.310292", \ "-0.058307,-0.127345,-0.208406"); } } timing () { related_pin : "CK"; timing_type : removal_rising; rise_constraint(Removal_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.710554,0.747849,0.806073", \ "0.848568,0.886098,0.943748", \ "1.333307,1.370601,1.429316"); } } timing () { related_pin : "SN"; timing_type : min_pulse_width; fall_constraint(Pulse_width_3) { index_1 ("0.00231025,0.112657,0.500000"); values ("0.607381,0.674162,1.093968"); } } internal_power () { when : "!CK & !D & !SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.513774,0.497078,0.489008,0.486146,0.484490,0.484673,0.484532"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.381096,-0.385450,-0.392236,-0.398493,-0.400599,-0.402849,-0.404125"); } } internal_power () { when : "!CK & !D & !SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.513799,0.497114,0.489038,0.486177,0.484493,0.484712,0.484534"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.381077,-0.385406,-0.392192,-0.398449,-0.400555,-0.402804,-0.404080"); } } internal_power () { when : "!CK & !D & SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.513744,0.497036,0.488973,0.486111,0.484487,0.484628,0.484529"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.381117,-0.385502,-0.392287,-0.398544,-0.400650,-0.402899,-0.404175"); } } internal_power () { when : "!CK & !D & SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.511206,0.496156,0.489073,0.486073,0.484774,0.484476,0.483299"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.447525,-0.447913,-0.452308,-0.455246,-0.456547,-0.456054,-0.455425"); } } internal_power () { when : "!CK & D & !SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.511250,0.496217,0.489123,0.486124,0.484779,0.484542,0.483303"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.447493,-0.447838,-0.452233,-0.455171,-0.456473,-0.455979,-0.455351"); } } internal_power () { when : "!CK & D & !SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.511241,0.496205,0.489113,0.486114,0.484778,0.484529,0.483302"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.447500,-0.447853,-0.452247,-0.455186,-0.456487,-0.455994,-0.455365"); } } internal_power () { when : "!CK & D & SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.513777,0.497084,0.489012,0.486151,0.484490,0.484679,0.484531"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.381094,-0.385444,-0.392229,-0.398485,-0.400591,-0.402841,-0.404117"); } } internal_power () { when : "!CK & D & SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.511194,0.496140,0.489060,0.486060,0.484772,0.484459,0.483298"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.447534,-0.447932,-0.452327,-0.455266,-0.456567,-0.456073,-0.455445"); } } internal_power () { when : "CK & !D & !SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.533792,0.511001,0.496067,0.494854,0.492704,0.490645,0.491018"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.449709,-0.450853,-0.453902,-0.456983,-0.458495,-0.457968,-0.458774"); } } internal_power () { when : "CK & !D & !SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.533582,0.510804,0.495835,0.494658,0.492487,0.490475,0.490823"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.450616,-0.451734,-0.454782,-0.457860,-0.459368,-0.458834,-0.459629"); } } internal_power () { when : "CK & !D & SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.533697,0.510878,0.499238,0.492841,0.492669,0.491199,0.491055"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.449934,-0.451346,-0.454905,-0.457658,-0.459194,-0.458696,-0.459454"); } } internal_power () { when : "CK & !D & SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.532855,0.509939,0.497810,0.493804,0.491730,0.489556,0.489902"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.450750,-0.451757,-0.455038,-0.458094,-0.459599,-0.459074,-0.460017"); } } internal_power () { when : "CK & D & !SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.534125,0.511181,0.496802,0.493209,0.493025,0.491512,0.491316"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.448243,-0.449426,-0.452797,-0.455936,-0.457462,-0.456984,-0.457713"); } } internal_power () { when : "CK & D & !SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.533537,0.510635,0.498454,0.494484,0.492364,0.490227,0.490507"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.448895,-0.449867,-0.453148,-0.456205,-0.457712,-0.457194,-0.458145"); } } internal_power () { when : "CK & D & SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.533628,0.510842,0.495903,0.494702,0.492554,0.490516,0.490896"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.450563,-0.451702,-0.454749,-0.457828,-0.459335,-0.458799,-0.459592"); } } internal_power () { when : "CK & D & SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.532842,0.509922,0.497809,0.493789,0.491728,0.489538,0.489900"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.450784,-0.451784,-0.455068,-0.458114,-0.459623,-0.459093,-0.460039"); } } } pin (CK) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock : true; capacitance : 0.940104; fall_capacitance : 0.850788; rise_capacitance : 0.940104; timing () { related_pin : "CK"; timing_type : min_pulse_width; when : "SN"; sdf_cond : "SN === 1'b1"; fall_constraint(Pulse_width_3) { index_1 ("0.00231025,0.112657,0.500000"); values ("0.263328,0.271660,0.500500"); } rise_constraint(Pulse_width_3) { index_1 ("0.00231025,0.112657,0.500000"); values ("0.219368,0.225110,0.500500"); } } internal_power () { when : "!D & !SE & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.212358,5.186742,5.156285,5.171941,5.251686,5.408212,5.639360"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("10.255390,10.240790,10.184490,10.151370,10.189170,10.311740,10.533740"); } } internal_power () { when : "!D & !SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.998003,2.972932,2.944021,2.961303,3.044555,3.197916,3.418667"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.572619,2.560309,2.527618,2.516705,2.577510,2.698738,2.902529"); } } internal_power () { when : "!D & !SE & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.315594,5.288041,5.258285,5.273560,5.355474,5.514587,5.743772"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "!D & !SE & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.212380,5.186905,5.156324,5.171975,5.251103,5.408264,5.639368"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("10.255400,10.240830,10.184540,10.151410,10.189220,10.311770,10.533790"); } } internal_power () { when : "!D & !SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.998029,2.972977,2.944059,2.961342,3.044567,3.197964,3.418678"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.572630,2.560368,2.527675,2.516761,2.577550,2.698787,2.902573"); } } internal_power () { when : "!D & !SE & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.315641,5.287795,5.258365,5.273629,5.355552,5.514652,5.743828"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "!D & SE & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.212513,5.186788,5.156433,5.171879,5.251819,5.408367,5.639343"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("10.255380,10.240760,10.184460,10.151330,10.189130,10.311680,10.533690"); } } internal_power () { when : "!D & SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.997956,2.972873,2.943969,2.961253,3.044496,3.197831,3.418649"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.572624,2.560305,2.527611,2.516695,2.577476,2.698690,2.902454"); } } internal_power () { when : "!D & SE & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.315601,5.287804,5.258148,5.273472,5.355456,5.514904,5.743604"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "!D & SE & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.111976,3.086146,3.057470,3.075454,3.157809,3.312471,3.533209"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.458509,2.445267,2.410014,2.402841,2.458266,2.588055,2.792316"); } } internal_power () { when : "!D & SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.515285,5.487293,5.460763,5.477646,5.559317,5.705490,5.938864"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "!D & SE & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.112087,3.086028,3.056265,3.075538,3.157692,3.312934,3.534854"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.474373,2.462523,2.427658,2.419362,2.476625,2.598715,2.802490"); } } internal_power () { when : "D & !SE & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.112030,3.086204,3.056423,3.075499,3.157811,3.312531,3.533211"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.458527,2.445317,2.410086,2.402914,2.458344,2.588116,2.792394"); } } internal_power () { when : "D & !SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.515852,5.487330,5.461370,5.479354,5.557987,5.706621,5.939493"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & !SE & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.112135,3.086079,3.056312,3.075538,3.157653,3.312993,3.538639"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.474379,2.462592,2.427726,2.419439,2.476703,2.598784,2.804010"); } } internal_power () { when : "D & !SE & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.112017,3.086189,3.057505,3.075487,3.157794,3.312516,3.533209"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.458047,2.445303,2.410071,2.402899,2.458329,2.588102,2.792378"); } } internal_power () { when : "D & !SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.481388,5.453791,5.425563,5.445143,5.526712,5.675914,5.904870"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & !SE & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.112121,3.086064,3.056300,3.075536,3.157686,3.312979,3.538636"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.474374,2.462578,2.427712,2.419424,2.476689,2.598769,2.803995"); } } internal_power () { when : "D & SE & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.212552,5.187006,5.156483,5.171938,5.251830,5.408441,5.638368"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("10.255420,10.240820,10.184520,10.151390,10.189200,10.311750,10.539400"); } } internal_power () { when : "D & SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.997993,2.972935,2.944020,2.961305,3.044512,3.197896,3.418665"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.572659,2.560365,2.527672,2.516762,2.577546,2.698761,2.902518"); } } internal_power () { when : "D & SE & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.315683,5.287715,5.258262,5.273566,5.355553,5.515005,5.743698"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & SE & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.111960,3.086126,3.057453,3.075437,3.157791,3.312450,3.533204"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.458501,2.445248,2.409995,2.402821,2.458246,2.588036,2.792296"); } } internal_power () { when : "D & SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.515388,5.487400,5.460854,5.477750,5.559423,5.705599,5.938955"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & SE & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.112071,3.086009,3.056248,3.075534,3.157688,3.312913,3.538629"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.474366,2.462504,2.427639,2.419342,2.476606,2.598694,2.803905"); } } } pin (Q) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 59.915610; function : "IQ"; timing () { related_pin : "CK"; timing_type : rising_edge; timing_sense : non_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.215626,0.232441,0.248066,0.271870,0.307493,0.362069,0.447869", \ "0.220919,0.237739,0.253348,0.277143,0.312799,0.367357,0.453161", \ "0.238869,0.255715,0.271356,0.295150,0.330840,0.385395,0.471215", \ "0.263139,0.279959,0.295516,0.319377,0.355077,0.409647,0.495559", \ "0.287003,0.303861,0.319204,0.343084,0.378857,0.433207,0.519293", \ "0.307497,0.324394,0.340189,0.363725,0.399525,0.454445,0.540676", \ "0.324242,0.341607,0.357230,0.380328,0.416278,0.472175,0.558131"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.190634,0.206990,0.224994,0.258605,0.323451,0.451066,0.705034", \ "0.195822,0.212186,0.230197,0.263798,0.328656,0.456286,0.710250", \ "0.213774,0.230131,0.248128,0.281729,0.346582,0.474208,0.728178", \ "0.238910,0.255273,0.273279,0.306834,0.371717,0.499349,0.753316", \ "0.263938,0.280312,0.298131,0.331783,0.396647,0.524277,0.778309", \ "0.287098,0.303439,0.320919,0.354921,0.419796,0.547447,0.801503", \ "0.305964,0.322261,0.340683,0.374120,0.438942,0.566607,0.820582"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.035607,0.041135,0.046775,0.056347,0.073109,0.102134,0.156075", \ "0.035608,0.041133,0.046773,0.056354,0.073100,0.102126,0.156083", \ "0.035648,0.041168,0.046812,0.056385,0.073127,0.102147,0.156083", \ "0.035699,0.041215,0.046848,0.056423,0.073154,0.102162,0.156096", \ "0.035721,0.041251,0.046877,0.056446,0.073172,0.102167,0.156078", \ "0.035811,0.041350,0.046990,0.056545,0.073279,0.102256,0.156140", \ "0.035891,0.041438,0.047081,0.056638,0.073354,0.102301,0.156144"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.022543,0.033452,0.047398,0.076359,0.135935,0.256530,0.499149", \ "0.022539,0.033452,0.047402,0.076351,0.135935,0.256529,0.499149", \ "0.022532,0.033451,0.047393,0.076356,0.135934,0.256534,0.499148", \ "0.022531,0.033447,0.047394,0.076352,0.135934,0.256527,0.499148", \ "0.022533,0.033448,0.047391,0.076353,0.135933,0.256529,0.499146", \ "0.022541,0.033453,0.047394,0.076347,0.135926,0.256534,0.499149", \ "0.022568,0.033471,0.047413,0.076356,0.135931,0.256533,0.499146"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & !D & !SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.274001,0.293075,0.312993,0.348378,0.413444,0.539822,0.792634", \ "0.278649,0.297711,0.317636,0.353036,0.418100,0.544496,0.797316", \ "0.296774,0.315836,0.335762,0.371152,0.436216,0.562601,0.815433", \ "0.333683,0.352739,0.372638,0.408015,0.473085,0.599504,0.852322", \ "0.389789,0.408627,0.428453,0.463377,0.528215,0.654225,0.906906", \ "0.456910,0.475317,0.494738,0.528706,0.592332,0.717725,0.970059", \ "0.529036,0.547252,0.566469,0.599041,0.661271,0.785411,1.036980"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.032647,0.044110,0.057706,0.084822,0.141191,0.259640,0.501593", \ "0.032636,0.044098,0.057703,0.084829,0.141206,0.259582,0.501590", \ "0.032637,0.044111,0.057705,0.084831,0.141187,0.259641,0.501589", \ "0.032641,0.044101,0.057707,0.084832,0.141201,0.259637,0.501613", \ "0.032645,0.044113,0.057716,0.084835,0.141202,0.259644,0.501612", \ "0.032769,0.044224,0.057812,0.084901,0.141245,0.259650,0.501609", \ "0.032996,0.044424,0.057989,0.084998,0.141301,0.259677,0.501597"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & !D & !SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.274001,0.293075,0.312992,0.348377,0.413451,0.539809,0.792626", \ "0.278649,0.297711,0.317636,0.353037,0.418100,0.544496,0.797317", \ "0.296774,0.315836,0.335762,0.371152,0.436216,0.562601,0.815434", \ "0.333700,0.352759,0.372637,0.408012,0.473085,0.599504,0.852323", \ "0.389789,0.408623,0.428452,0.463377,0.528215,0.654225,0.906907", \ "0.456910,0.475317,0.494738,0.528707,0.592332,0.717725,0.970060", \ "0.529036,0.547252,0.566468,0.599041,0.661271,0.785412,1.036981"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.032647,0.044110,0.057706,0.084817,0.141195,0.259629,0.501610", \ "0.032636,0.044098,0.057703,0.084829,0.141206,0.259582,0.501590", \ "0.032637,0.044111,0.057705,0.084831,0.141187,0.259641,0.501589", \ "0.032630,0.044113,0.057707,0.084833,0.141201,0.259637,0.501613", \ "0.032645,0.044116,0.057716,0.084835,0.141202,0.259644,0.501612", \ "0.032769,0.044224,0.057812,0.084901,0.141245,0.259650,0.501610", \ "0.032996,0.044424,0.057989,0.084998,0.141301,0.259677,0.501597"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & !D & SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.274001,0.293075,0.312993,0.348378,0.413444,0.539822,0.792634", \ "0.278649,0.297711,0.317636,0.353036,0.418100,0.544496,0.797316", \ "0.296774,0.315836,0.335762,0.371152,0.436216,0.562601,0.815434", \ "0.333683,0.352742,0.372638,0.408012,0.473085,0.599504,0.852322", \ "0.389789,0.408623,0.428453,0.463376,0.528215,0.654225,0.906906", \ "0.456910,0.475317,0.494738,0.528706,0.592332,0.717725,0.970059", \ "0.529036,0.547251,0.566469,0.599041,0.661271,0.785411,1.036980"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.032647,0.044110,0.057706,0.084822,0.141191,0.259639,0.501593", \ "0.032636,0.044098,0.057703,0.084829,0.141206,0.259582,0.501590", \ "0.032637,0.044111,0.057705,0.084831,0.141187,0.259641,0.501589", \ "0.032640,0.044100,0.057707,0.084833,0.141201,0.259637,0.501613", \ "0.032645,0.044116,0.057716,0.084835,0.141202,0.259644,0.501612", \ "0.032769,0.044224,0.057812,0.084901,0.141245,0.259650,0.501609", \ "0.032996,0.044424,0.057989,0.084998,0.141301,0.259677,0.501597"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & !D & SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.273987,0.293046,0.312966,0.348368,0.413456,0.539865,0.792692", \ "0.278622,0.297685,0.317614,0.353010,0.418112,0.544509,0.797325", \ "0.296744,0.315816,0.335745,0.371132,0.436218,0.562618,0.815468", \ "0.333564,0.352656,0.372581,0.407989,0.473079,0.599479,0.852330", \ "0.389845,0.408684,0.428401,0.463403,0.527958,0.654111,0.906738", \ "0.456708,0.475128,0.494224,0.528373,0.592029,0.717385,0.969606", \ "0.528903,0.547133,0.565822,0.598956,0.661222,0.785388,1.036973"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.032640,0.044106,0.057716,0.084838,0.141236,0.259643,0.501701", \ "0.032644,0.044108,0.057715,0.084849,0.141234,0.259683,0.501659", \ "0.032632,0.044119,0.057718,0.084834,0.141225,0.259699,0.501644", \ "0.032638,0.044121,0.057718,0.084849,0.141221,0.259660,0.501666", \ "0.032650,0.044123,0.057727,0.084854,0.141235,0.259695,0.501661", \ "0.032760,0.044231,0.057825,0.084920,0.141271,0.259672,0.501653", \ "0.032999,0.044431,0.057997,0.085016,0.141321,0.259669,0.501642"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & D & !SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.273987,0.293046,0.312966,0.348368,0.413456,0.539865,0.792692", \ "0.278622,0.297685,0.317614,0.353010,0.418112,0.544509,0.797325", \ "0.296744,0.315816,0.335745,0.371132,0.436219,0.562618,0.815468", \ "0.333576,0.352650,0.372581,0.407989,0.473078,0.599479,0.852330", \ "0.389845,0.408689,0.428401,0.463403,0.527958,0.654111,0.906738", \ "0.456708,0.475128,0.494224,0.528373,0.592030,0.717385,0.969606", \ "0.528903,0.547133,0.565822,0.598957,0.661223,0.785388,1.036973"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.032640,0.044106,0.057716,0.084838,0.141236,0.259642,0.501701", \ "0.032644,0.044108,0.057715,0.084849,0.141234,0.259683,0.501659", \ "0.032632,0.044119,0.057718,0.084834,0.141225,0.259699,0.501644", \ "0.032648,0.044110,0.057718,0.084849,0.141221,0.259660,0.501666", \ "0.032650,0.044123,0.057727,0.084854,0.141235,0.259695,0.501661", \ "0.032760,0.044231,0.057825,0.084920,0.141271,0.259672,0.501653", \ "0.032999,0.044431,0.057997,0.085016,0.141321,0.259669,0.501642"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & D & !SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.273987,0.293046,0.312966,0.348369,0.413448,0.539865,0.792692", \ "0.278622,0.297685,0.317614,0.353010,0.418112,0.544509,0.797325", \ "0.296744,0.315816,0.335745,0.371132,0.436219,0.562618,0.815468", \ "0.333564,0.352650,0.372581,0.407989,0.473079,0.599479,0.852330", \ "0.389845,0.408684,0.428401,0.463403,0.527958,0.654111,0.906738", \ "0.456708,0.475128,0.494224,0.528373,0.592029,0.717385,0.969606", \ "0.528903,0.547133,0.565823,0.598956,0.661222,0.785388,1.036973"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.032640,0.044106,0.057716,0.084845,0.141216,0.259643,0.501701", \ "0.032644,0.044108,0.057715,0.084849,0.141234,0.259683,0.501659", \ "0.032632,0.044119,0.057718,0.084834,0.141225,0.259699,0.501644", \ "0.032638,0.044110,0.057718,0.084849,0.141221,0.259660,0.501666", \ "0.032650,0.044123,0.057727,0.084854,0.141235,0.259695,0.501661", \ "0.032760,0.044231,0.057825,0.084920,0.141271,0.259672,0.501653", \ "0.032999,0.044431,0.057997,0.085016,0.141321,0.259669,0.501642"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & D & SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.273999,0.293074,0.312992,0.348377,0.413451,0.539822,0.792634", \ "0.278648,0.297710,0.317635,0.353036,0.418100,0.544496,0.797316", \ "0.296773,0.315835,0.335761,0.371152,0.436216,0.562600,0.815433", \ "0.333699,0.352758,0.372637,0.408012,0.473085,0.599503,0.852322", \ "0.389787,0.408622,0.428452,0.463377,0.528214,0.654224,0.906907", \ "0.456908,0.475316,0.494738,0.528706,0.592332,0.717724,0.970059", \ "0.529034,0.547250,0.566468,0.599042,0.661271,0.785412,1.036980"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.032647,0.044110,0.057706,0.084823,0.141195,0.259640,0.501593", \ "0.032636,0.044098,0.057703,0.084829,0.141206,0.259582,0.501590", \ "0.032637,0.044111,0.057705,0.084831,0.141187,0.259641,0.501589", \ "0.032630,0.044113,0.057707,0.084833,0.141201,0.259636,0.501613", \ "0.032645,0.044116,0.057716,0.084835,0.141203,0.259644,0.501612", \ "0.032769,0.044224,0.057812,0.084901,0.141245,0.259649,0.501609", \ "0.032996,0.044424,0.057989,0.084998,0.141301,0.259677,0.501597"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & D & SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.273987,0.293046,0.312966,0.348369,0.413448,0.539866,0.792692", \ "0.278622,0.297684,0.317614,0.353010,0.418112,0.544509,0.797325", \ "0.296744,0.315816,0.335745,0.371132,0.436218,0.562618,0.815468", \ "0.333576,0.352650,0.372581,0.407989,0.473078,0.599479,0.852330", \ "0.389845,0.408688,0.428401,0.463403,0.527958,0.654111,0.906738", \ "0.456708,0.475128,0.494224,0.528372,0.592029,0.717385,0.969606", \ "0.528903,0.547133,0.565822,0.598956,0.661222,0.785388,1.036973"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.032640,0.044106,0.057716,0.084845,0.141216,0.259642,0.501701", \ "0.032644,0.044108,0.057715,0.084849,0.141234,0.259683,0.501659", \ "0.032632,0.044119,0.057718,0.084834,0.141225,0.259699,0.501644", \ "0.032648,0.044110,0.057718,0.084849,0.141221,0.259660,0.501665", \ "0.032650,0.044122,0.057727,0.084854,0.141235,0.259695,0.501661", \ "0.032760,0.044231,0.057825,0.084920,0.141271,0.259672,0.501653", \ "0.032999,0.044431,0.057997,0.085016,0.141321,0.259669,0.501642"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & !D & !SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.776506,0.795309,0.813355,0.847141,0.912419,1.040004,1.295731", \ "0.779440,0.798156,0.817185,0.851426,0.915706,1.044084,1.299744", \ "0.798050,0.816845,0.837043,0.870010,0.934382,1.062667,1.318298", \ "0.830743,0.850734,0.868601,0.902714,0.967511,1.095600,1.351284", \ "0.877309,0.897283,0.916243,0.949308,1.013988,1.142153,1.397766", \ "0.942590,0.961355,0.979176,1.013332,1.078237,1.206074,1.461594", \ "1.025577,1.044300,1.063439,1.098542,1.162594,1.290102,1.545513"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.029312,0.039050,0.051512,0.078278,0.136246,0.256891,0.499877", \ "0.029359,0.039082,0.051519,0.078262,0.136265,0.256937,0.499874", \ "0.029350,0.039078,0.051482,0.078259,0.136264,0.256982,0.499796", \ "0.029342,0.039046,0.051515,0.078260,0.136267,0.256933,0.499876", \ "0.029339,0.039044,0.051478,0.078256,0.136250,0.256920,0.499913", \ "0.029304,0.039041,0.051512,0.078259,0.136241,0.256894,0.499806", \ "0.029353,0.039086,0.051525,0.078241,0.136229,0.256951,0.499768"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & !D & !SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.776506,0.795309,0.813319,0.847900,0.911657,1.039986,1.295732", \ "0.779439,0.798155,0.817185,0.851426,0.915706,1.044084,1.299744", \ "0.798050,0.816845,0.837043,0.870010,0.934382,1.062667,1.318298", \ "0.831910,0.849537,0.868601,0.902714,0.967511,1.095599,1.351284", \ "0.877309,0.897282,0.916243,0.949308,1.013988,1.142152,1.397766", \ "0.942589,0.961355,0.979176,1.013384,1.078237,1.206074,1.461594", \ "1.025576,1.044665,1.063439,1.098542,1.162594,1.290102,1.545513"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.029312,0.039050,0.051515,0.078245,0.136261,0.256975,0.499877", \ "0.029359,0.039082,0.051519,0.078262,0.136265,0.256937,0.499874", \ "0.029350,0.039078,0.051482,0.078259,0.136264,0.256982,0.499796", \ "0.029308,0.039071,0.051515,0.078260,0.136267,0.256933,0.499876", \ "0.029339,0.039044,0.051478,0.078256,0.136250,0.256920,0.499913", \ "0.029304,0.039041,0.051512,0.078258,0.136241,0.256894,0.499806", \ "0.029353,0.039039,0.051525,0.078241,0.136229,0.256951,0.499768"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & !D & SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.776505,0.795308,0.813267,0.847142,0.912373,1.040000,1.295689", \ "0.779439,0.798155,0.817184,0.851426,0.915706,1.044084,1.299744", \ "0.798050,0.816844,0.837043,0.870010,0.934382,1.062667,1.318298", \ "0.831868,0.850706,0.868600,0.902714,0.967510,1.095599,1.351284", \ "0.877308,0.897282,0.916243,0.949308,1.013987,1.142152,1.397766", \ "0.942589,0.961355,0.979175,1.013332,1.078237,1.206074,1.461594", \ "1.025576,1.044233,1.063439,1.098542,1.162594,1.290102,1.545513"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.029312,0.039050,0.051517,0.078278,0.136247,0.256967,0.499788", \ "0.029359,0.039082,0.051519,0.078262,0.136265,0.256937,0.499874", \ "0.029350,0.039078,0.051482,0.078259,0.136264,0.256982,0.499796", \ "0.029309,0.039047,0.051515,0.078260,0.136267,0.256933,0.499876", \ "0.029339,0.039044,0.051478,0.078256,0.136250,0.256920,0.499913", \ "0.029304,0.039041,0.051512,0.078260,0.136241,0.256894,0.499806", \ "0.029353,0.039083,0.051525,0.078241,0.136229,0.256951,0.499768"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & !D & SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.776916,0.795705,0.813597,0.847450,0.912630,1.040148,1.295734", \ "0.779894,0.798538,0.817551,0.851740,0.915950,1.044220,1.299742", \ "0.798423,0.817201,0.837380,0.870305,0.934608,1.062787,1.318283", \ "0.832236,0.849816,0.868892,0.903018,0.967701,1.095690,1.351245", \ "0.877563,0.897527,0.916474,0.949502,1.014125,1.142199,1.397695", \ "0.942762,0.961523,0.979322,1.013504,1.078309,1.206071,1.461483", \ "1.025654,1.044304,1.063497,1.098579,1.162590,1.290008,1.545354"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.029320,0.039058,0.051525,0.078282,0.136250,0.256986,0.499878", \ "0.029358,0.039088,0.051527,0.078266,0.136264,0.256937,0.499874", \ "0.029359,0.039089,0.051490,0.078260,0.136267,0.256983,0.499795", \ "0.029316,0.039080,0.051520,0.078262,0.136267,0.256933,0.499876", \ "0.029347,0.039048,0.051479,0.078259,0.136250,0.256920,0.499917", \ "0.029307,0.039048,0.051516,0.078258,0.136242,0.256975,0.499800", \ "0.029357,0.039086,0.051526,0.078242,0.136229,0.256882,0.499774"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & D & !SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.776932,0.795720,0.813613,0.847478,0.912641,1.040155,1.295695", \ "0.779909,0.798553,0.817565,0.851753,0.915961,1.044227,1.299746", \ "0.798438,0.817215,0.837393,0.870318,0.934618,1.062794,1.318287", \ "0.832250,0.849829,0.868905,0.903029,0.967711,1.095696,1.351249", \ "0.877575,0.897539,0.916486,0.949512,1.014134,1.142205,1.397698", \ "0.942772,0.961533,0.979331,1.013512,1.078316,1.206076,1.461486", \ "1.025662,1.044377,1.063505,1.098586,1.162596,1.290013,1.545356"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.029320,0.039058,0.051525,0.078282,0.136250,0.256986,0.499789", \ "0.029358,0.039088,0.051527,0.078266,0.136264,0.256937,0.499874", \ "0.029359,0.039089,0.051490,0.078260,0.136267,0.256983,0.499795", \ "0.029317,0.039080,0.051520,0.078262,0.136266,0.256933,0.499876", \ "0.029347,0.039048,0.051479,0.078259,0.136250,0.256920,0.499917", \ "0.029307,0.039049,0.051517,0.078258,0.136242,0.256975,0.499800", \ "0.029357,0.039090,0.051526,0.078242,0.136230,0.256882,0.499774"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & D & !SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.776931,0.795720,0.813613,0.848242,0.912641,1.040148,1.295694", \ "0.779909,0.798553,0.817565,0.851753,0.915960,1.044227,1.299746", \ "0.798437,0.817215,0.837393,0.870317,0.934617,1.062794,1.318288", \ "0.832249,0.849829,0.868904,0.903029,0.967710,1.095696,1.351249", \ "0.877575,0.897539,0.916485,0.949512,1.014133,1.142204,1.397699", \ "0.942772,0.961533,0.979331,1.013512,1.078316,1.206076,1.461487", \ "1.025662,1.044311,1.063505,1.098585,1.162596,1.290013,1.545357"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.029320,0.039058,0.051525,0.078250,0.136250,0.256968,0.499791", \ "0.029358,0.039088,0.051527,0.078266,0.136264,0.256937,0.499874", \ "0.029359,0.039089,0.051490,0.078260,0.136267,0.256983,0.499795", \ "0.029317,0.039080,0.051520,0.078262,0.136266,0.256933,0.499876", \ "0.029347,0.039048,0.051479,0.078259,0.136250,0.256920,0.499917", \ "0.029307,0.039049,0.051517,0.078258,0.136242,0.256975,0.499800", \ "0.029357,0.039086,0.051526,0.078242,0.136230,0.256882,0.499774"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & D & SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.776505,0.795308,0.813264,0.847142,0.912333,1.039989,1.295731", \ "0.779439,0.798155,0.817184,0.851425,0.915706,1.044084,1.299744", \ "0.798050,0.816844,0.837043,0.870010,0.934382,1.062667,1.318298", \ "0.831909,0.849537,0.868600,0.902713,0.967510,1.095599,1.351284", \ "0.877308,0.897282,0.916242,0.949308,1.013987,1.142152,1.397766", \ "0.942589,0.961354,0.979176,1.013332,1.078237,1.206073,1.461594", \ "1.025576,1.044233,1.063438,1.098542,1.162594,1.290102,1.545513"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.029312,0.039050,0.051517,0.078278,0.136248,0.256976,0.499877", \ "0.029359,0.039082,0.051519,0.078262,0.136265,0.256937,0.499874", \ "0.029350,0.039078,0.051482,0.078259,0.136264,0.256982,0.499796", \ "0.029308,0.039071,0.051515,0.078260,0.136267,0.256933,0.499876", \ "0.029339,0.039044,0.051478,0.078256,0.136250,0.256920,0.499913", \ "0.029304,0.039041,0.051512,0.078260,0.136241,0.256894,0.499806", \ "0.029353,0.039083,0.051525,0.078241,0.136229,0.256951,0.499768"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & D & SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.776910,0.795699,0.813592,0.848224,0.912602,1.040127,1.295733", \ "0.779888,0.798532,0.817546,0.851736,0.915946,1.044218,1.299741", \ "0.798417,0.817196,0.837375,0.870300,0.934604,1.062785,1.318283", \ "0.832231,0.849812,0.868888,0.903013,0.967698,1.095687,1.351244", \ "0.877559,0.897523,0.916470,0.949498,1.014122,1.142197,1.397694", \ "0.942759,0.961520,0.979319,1.013501,1.078306,1.206069,1.461483", \ "1.025652,1.044301,1.063495,1.098577,1.162588,1.290007,1.545353"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.029319,0.039058,0.051525,0.078250,0.136251,0.256978,0.499877", \ "0.029358,0.039088,0.051527,0.078266,0.136264,0.256937,0.499874", \ "0.029359,0.039089,0.051490,0.078260,0.136267,0.256983,0.499795", \ "0.029316,0.039080,0.051520,0.078262,0.136267,0.256933,0.499876", \ "0.029347,0.039048,0.051479,0.078259,0.136250,0.256920,0.499917", \ "0.029307,0.039048,0.051516,0.078258,0.136242,0.256975,0.499800", \ "0.029357,0.039086,0.051526,0.078242,0.136229,0.256882,0.499774"); } } internal_power () { related_pin : "CK"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("3.610202,3.670161,3.707177,3.751969,3.807653,3.828638,3.829336", \ "3.601857,3.660992,3.698678,3.752654,3.801952,3.827112,3.827277", \ "3.582536,3.640897,3.676775,3.726969,3.761425,3.804811,3.805198", \ "3.578800,3.636930,3.673140,3.722261,3.762082,3.791014,3.804058", \ "3.603265,3.653886,3.692592,3.741296,3.782689,3.806535,3.818395", \ "3.665235,3.720878,3.756755,3.803634,3.847359,3.861862,3.884806", \ "3.779246,3.833434,3.867038,3.912994,3.961410,3.989133,3.996530"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("3.343514,3.419474,3.469507,3.639231,3.721482,3.736895,3.751594", \ "3.339321,3.414238,3.462273,3.638015,3.710147,3.733667,3.750044", \ "3.320108,3.395412,3.443149,3.616243,3.691823,3.715507,3.731705", \ "3.321247,3.395292,3.442620,3.616860,3.688468,3.712182,3.728005", \ "3.347186,3.421379,3.467065,3.643439,3.721073,3.745226,3.754077", \ "3.413364,3.487452,3.533434,3.699046,3.788519,3.811382,3.822094", \ "3.529993,3.603969,3.641090,3.826060,3.893551,3.917702,3.927011"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & !SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("2.701364,2.761036,2.805788,2.841785,2.847385,2.868863,2.876371", \ "2.686605,2.752230,2.796605,2.820554,2.839478,2.861888,2.868902", \ "2.675334,2.739512,2.778836,2.802349,2.852867,2.842096,2.883906", \ "2.685563,2.743295,2.784700,2.826226,2.840597,2.865235,2.874210", \ "2.736096,2.784104,2.816757,2.848189,2.879299,2.872165,2.917358", \ "2.839275,2.864746,2.888356,2.904660,2.931742,2.927262,2.941005", \ "2.957541,2.980922,2.995936,2.997641,3.014331,3.012919,3.028819"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & !SE & SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("2.701198,2.760857,2.805599,2.841633,2.847035,2.869200,2.876047", \ "2.686438,2.752052,2.796420,2.820346,2.839242,2.861618,2.868592", \ "2.675171,2.739338,2.778653,2.814112,2.852652,2.841840,2.883622", \ "2.685253,2.743287,2.784452,2.825976,2.840385,2.864991,2.873928", \ "2.735943,2.783795,2.816586,2.848009,2.879088,2.871912,2.917086", \ "2.839139,2.864602,2.888205,2.904488,2.931561,2.927038,2.940738", \ "2.957438,2.980810,2.995815,2.997509,3.014181,3.012726,3.028580"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("2.701746,2.761440,2.806213,2.842236,2.847868,2.869383,2.876818", \ "2.686985,2.752632,2.797023,2.821000,2.839968,2.862399,2.869361", \ "2.675704,2.739902,2.779243,2.802791,2.853319,2.842575,2.884317", \ "2.685917,2.743729,2.785089,2.826592,2.841029,2.865680,2.874604", \ "2.736414,2.784291,2.817108,2.848558,2.879703,2.872599,2.917732", \ "2.839532,2.865018,2.888642,2.904973,2.932064,2.927614,2.941322", \ "2.957705,2.981100,2.996131,2.997854,3.014560,3.013183,3.029062"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & SE & SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("2.653291,2.712638,2.757485,2.793151,2.798820,2.821320,2.863286", \ "2.639810,2.705208,2.749201,2.772942,2.791715,2.813804,2.821908", \ "2.629091,2.693060,2.732228,2.755507,2.805611,2.794822,2.837142", \ "2.638672,2.696452,2.732311,2.779007,2.793237,2.818507,2.826753", \ "2.690058,2.737695,2.770313,2.801842,2.832009,2.824472,2.835658", \ "2.792999,2.819517,2.843113,2.858901,2.885760,2.881323,2.894892", \ "2.912939,2.929993,2.953248,2.956601,2.969433,2.968472,2.984028"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D & !SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("2.653428,2.712783,2.757640,2.793319,2.799043,2.821544,2.863574", \ "2.639944,2.705353,2.749370,2.773139,2.791910,2.814022,2.822149", \ "2.629235,2.693215,2.732399,2.755680,2.805855,2.795071,2.837430", \ "2.638862,2.696468,2.732466,2.779181,2.793473,2.818754,2.827029", \ "2.690188,2.737810,2.770461,2.802023,2.832199,2.824671,2.835879", \ "2.793106,2.819631,2.843240,2.859038,2.885955,2.881534,2.895131", \ "2.913020,2.930079,2.953346,2.956720,2.969605,2.968666,2.984259"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D & !SE & SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("2.654021,2.713411,2.758310,2.794002,2.799942,2.822524,2.864633", \ "2.640531,2.705979,2.750043,2.773885,2.792730,2.814968,2.823199", \ "2.629824,2.693844,2.733067,2.756403,2.806695,2.796016,2.838480", \ "2.639391,2.697087,2.733105,2.779884,2.794281,2.819687,2.828058", \ "2.690731,2.738411,2.771071,2.802704,2.832954,2.825537,2.836877", \ "2.793574,2.820130,2.843779,2.859625,2.886656,2.882342,2.896073", \ "2.913375,2.930463,2.953761,2.957218,2.970189,2.969377,2.985121"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D & SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("2.701220,2.760883,2.805623,2.841574,2.847040,2.868572,2.875941", \ "2.686463,2.752078,2.796437,2.820361,2.839254,2.861597,2.868475", \ "2.675189,2.739357,2.778666,2.814046,2.852633,2.841805,2.883471", \ "2.685268,2.743301,2.784465,2.825984,2.840362,2.864941,2.873770", \ "2.735950,2.783801,2.816588,2.847994,2.879069,2.871865,2.916925", \ "2.839135,2.864596,2.888192,2.904473,2.931506,2.926954,2.940552", \ "2.957412,2.980782,2.995782,2.997458,3.014104,3.012610,3.028365"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D & SE & SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("2.653893,2.713277,2.758162,2.793843,2.799725,2.822229,2.864336", \ "2.640407,2.705852,2.749884,2.773694,2.792539,2.814740,2.822952", \ "2.629689,2.693697,2.732903,2.756235,2.806450,2.795757,2.838175", \ "2.639304,2.696941,2.732958,2.779714,2.794052,2.819418,2.827765", \ "2.690601,2.738348,2.770916,2.802517,2.832763,2.825330,2.836630", \ "2.793463,2.820011,2.843641,2.859488,2.886442,2.882119,2.895817", \ "2.913285,2.930370,2.953655,2.957078,2.970005,2.969170,2.984877"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D & !SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("3.911669,4.008862,4.070766,4.146575,4.229844,4.282279,4.322894", \ "3.897831,3.995463,4.052221,4.125934,4.192541,4.276703,4.314478", \ "3.882772,3.984984,4.051360,4.103598,4.203051,4.252814,4.293730", \ "3.895916,3.993296,4.047554,4.106571,4.182571,4.270315,4.313161", \ "3.956059,4.034527,4.083705,4.143206,4.217053,4.304018,4.337433", \ "4.060818,4.124655,4.160851,4.217211,4.282818,4.368920,4.378251", \ "4.212817,4.260428,4.289560,4.337681,4.384409,4.467832,4.509344"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D & !SE & SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("3.911323,4.008501,4.070745,4.141752,4.197464,4.294199,4.322575", \ "3.897486,3.995116,4.051873,4.125590,4.192185,4.276346,4.314118", \ "3.882437,3.984643,4.051022,4.103254,4.202719,4.252472,4.293389", \ "3.896452,3.990001,4.047228,4.106237,4.182246,4.269987,4.312831", \ "3.955765,4.034227,4.083402,4.142904,4.216735,4.303692,4.337101", \ "4.060556,4.124387,4.160581,4.216958,4.282537,4.368629,4.377938", \ "4.212601,4.257852,4.289331,4.337449,4.384164,4.467572,4.509063"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D & SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("3.912451,4.009634,4.072126,4.147314,4.230685,4.282646,4.322578", \ "3.898579,3.996220,4.052974,4.126669,4.193262,4.277363,4.315000", \ "3.883507,3.985715,4.052091,4.104319,4.203729,4.253438,4.294201", \ "3.897215,3.994082,4.048241,4.107250,4.183219,4.270898,4.313617", \ "3.956661,4.035131,4.084313,4.143804,4.217645,4.304566,4.337914", \ "4.061320,4.125150,4.161366,4.217722,4.283312,4.369370,4.378624", \ "4.213187,4.260574,4.289942,4.338063,4.384791,4.468189,4.509626"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D & SE & SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("3.918136,4.015489,4.071101,4.146769,4.231057,4.285549,4.327450", \ "3.897249,3.994806,4.065367,4.126102,4.193674,4.279330,4.319028", \ "3.889582,3.984549,4.051191,4.103859,4.204306,4.255539,4.298357", \ "3.903922,3.991147,4.047732,4.106960,4.184128,4.273275,4.317986", \ "3.956378,4.036674,4.084353,4.144346,4.219035,4.307344,4.319966", \ "4.061796,4.125037,4.175790,4.218983,4.285295,4.373329,4.383697", \ "4.214597,4.262084,4.291619,4.341042,4.387527,4.471870,4.515138"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D & !SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("3.918335,4.015691,4.071304,4.146938,4.231331,4.285843,4.326854", \ "3.897432,3.994992,4.065586,4.126338,4.193909,4.279599,4.319336", \ "3.889778,3.984758,4.051413,4.104074,4.204588,4.255834,4.298684", \ "3.904107,3.991351,4.047934,4.107159,4.184390,4.273574,4.318315", \ "3.956552,4.036933,4.084537,4.144569,4.219248,4.307593,4.350815", \ "4.061937,4.125186,4.175958,4.219155,4.285518,4.373594,4.383975", \ "4.214715,4.262442,4.291752,4.341249,4.387724,4.472116,4.515416"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D & !SE & SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("3.919569,4.016932,4.072534,4.143711,4.232606,4.286099,4.328051", \ "3.898655,3.996213,4.066823,4.127600,4.195141,4.280818,4.320566", \ "3.890983,3.985939,4.052623,4.105271,4.205840,4.257048,4.299918", \ "3.905247,3.992502,4.049061,4.108316,4.185578,4.274783,4.319519", \ "3.957592,4.037980,4.085599,4.145658,4.220345,4.308706,4.351954", \ "4.062843,4.126109,4.176898,4.220099,4.286526,4.374649,4.385075", \ "4.215466,4.262979,4.292539,4.342057,4.388606,4.473064,4.516447"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D & SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("3.911371,4.008550,4.071058,4.146239,4.229589,4.281771,4.322401", \ "3.897532,3.995157,4.051915,4.125613,4.192199,4.276307,4.313959", \ "3.882475,3.984678,4.051052,4.103282,4.202700,4.252410,4.293204", \ "3.896482,3.983715,4.047254,4.106261,4.182224,4.269907,4.312628", \ "3.955777,4.034237,4.083413,4.142896,4.216713,4.303614,4.336912", \ "4.060549,4.124375,4.160566,4.216909,4.282475,4.368507,4.377705", \ "4.212560,4.259928,4.289286,4.337384,4.384069,4.467416,4.508792"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D & SE & SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("3.919408,4.016757,4.072381,4.143495,4.232242,4.285963,4.328665", \ "3.898504,3.996054,4.066644,4.127373,4.194919,4.280554,4.320252", \ "3.890799,3.985789,4.052442,4.105062,4.205548,4.256762,4.299573", \ "3.905088,3.992312,4.048911,4.108103,4.185309,4.274463,4.319180", \ "3.957434,4.037711,4.085405,4.145435,4.220125,4.308461,4.321110", \ "4.062704,4.125956,4.176732,4.219934,4.286296,4.374352,4.384789", \ "4.215348,4.262850,4.292404,4.341852,4.388396,4.472803,4.516152"); } fall_power(scalar) {values ("0.0"); } } } pin (QN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 60.577400; function : "IQN"; timing () { related_pin : "CK"; timing_type : rising_edge; timing_sense : non_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.262757,0.272418,0.281211,0.295364,0.318545,0.358228,0.430664", \ "0.267949,0.277609,0.286411,0.300570,0.323749,0.363436,0.435874", \ "0.285896,0.295551,0.304342,0.318475,0.341688,0.381359,0.453810", \ "0.311027,0.320673,0.329502,0.343593,0.366819,0.406486,0.478959", \ "0.336075,0.345741,0.354335,0.368542,0.391745,0.431420,0.503945", \ "0.359222,0.368873,0.377126,0.391657,0.414879,0.454548,0.527097", \ "0.378092,0.387671,0.396892,0.410871,0.434032,0.473743,0.546204"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.340030,0.354701,0.369222,0.396772,0.455599,0.579786,0.832984", \ "0.345319,0.360013,0.374500,0.402052,0.460890,0.585093,0.838278", \ "0.363321,0.378001,0.392524,0.420064,0.478924,0.603109,0.856320", \ "0.387595,0.402283,0.416705,0.444290,0.503150,0.627314,0.880612", \ "0.411493,0.426208,0.440554,0.468009,0.526903,0.650864,0.904356", \ "0.432091,0.446796,0.461456,0.488671,0.547521,0.671989,0.925580", \ "0.448892,0.464057,0.478525,0.505298,0.564296,0.689692,0.942989"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.016632,0.020597,0.024665,0.032093,0.046276,0.074773,0.134279", \ "0.016625,0.020593,0.024658,0.032087,0.046289,0.074788,0.134260", \ "0.016631,0.020595,0.024659,0.032094,0.046286,0.074795,0.134274", \ "0.016625,0.020592,0.024665,0.032106,0.046288,0.074786,0.134275", \ "0.016626,0.020596,0.024651,0.032087,0.046287,0.074779,0.134262", \ "0.016632,0.020593,0.024661,0.032081,0.046256,0.074795,0.134295", \ "0.016632,0.020590,0.024669,0.032085,0.046269,0.074778,0.134255"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.023322,0.033338,0.046243,0.073986,0.133458,0.255384,0.499953", \ "0.023317,0.033360,0.046243,0.073984,0.133465,0.255389,0.499958", \ "0.023321,0.033344,0.046246,0.073987,0.133468,0.255389,0.499955", \ "0.023330,0.033350,0.046250,0.073975,0.133467,0.255386,0.499959", \ "0.023331,0.033376,0.046256,0.073991,0.133470,0.255384,0.499956", \ "0.023356,0.033390,0.046267,0.073994,0.133471,0.255380,0.499957", \ "0.023367,0.033387,0.046275,0.073992,0.133463,0.255386,0.499965"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & !D & !SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.093234,0.104287,0.114279,0.129808,0.154519,0.196019,0.270170", \ "0.097868,0.108923,0.118920,0.134466,0.159172,0.200686,0.274851", \ "0.116037,0.127069,0.137052,0.152608,0.177329,0.218851,0.293027", \ "0.153103,0.164073,0.174009,0.189584,0.214371,0.255962,0.330143", \ "0.204501,0.216955,0.228038,0.244430,0.269968,0.311754,0.385943", \ "0.259657,0.274297,0.287349,0.305874,0.333694,0.377748,0.452661", \ "0.317163,0.334409,0.349770,0.370428,0.401130,0.447827,0.523821"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.016428,0.020460,0.024781,0.032688,0.047612,0.076822,0.135224", \ "0.016430,0.020460,0.024774,0.032697,0.047612,0.076831,0.135218", \ "0.016436,0.020480,0.024802,0.032708,0.047622,0.076821,0.135223", \ "0.016640,0.020673,0.024971,0.032831,0.047691,0.076837,0.135220", \ "0.021069,0.024486,0.028198,0.035216,0.049078,0.077489,0.135303", \ "0.027290,0.030815,0.034336,0.041034,0.054284,0.081006,0.136189", \ "0.034175,0.038065,0.041616,0.048146,0.060770,0.085928,0.138146"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & !D & !SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.093234,0.104287,0.114278,0.129808,0.154518,0.196016,0.270168", \ "0.097868,0.108923,0.118920,0.134466,0.159172,0.200686,0.274851", \ "0.116037,0.127069,0.137052,0.152609,0.177329,0.218851,0.293028", \ "0.153122,0.164090,0.174009,0.189584,0.214371,0.255962,0.330143", \ "0.204501,0.216955,0.228038,0.244430,0.269968,0.311754,0.385943", \ "0.259657,0.274297,0.287349,0.305874,0.333694,0.377748,0.452662", \ "0.317163,0.334409,0.349770,0.370428,0.401130,0.447827,0.523821"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.016428,0.020460,0.024781,0.032690,0.047612,0.076820,0.135221", \ "0.016430,0.020460,0.024774,0.032697,0.047612,0.076831,0.135218", \ "0.016436,0.020480,0.024802,0.032708,0.047622,0.076821,0.135223", \ "0.016640,0.020671,0.024971,0.032831,0.047691,0.076837,0.135220", \ "0.021069,0.024486,0.028198,0.035216,0.049078,0.077489,0.135303", \ "0.027290,0.030815,0.034336,0.041034,0.054284,0.081006,0.136189", \ "0.034175,0.038065,0.041616,0.048146,0.060770,0.085928,0.138146"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & !D & SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.093234,0.104287,0.114279,0.129808,0.154519,0.196019,0.270170", \ "0.097868,0.108923,0.118920,0.134466,0.159172,0.200686,0.274851", \ "0.116037,0.127069,0.137052,0.152608,0.177329,0.218851,0.293028", \ "0.153103,0.164072,0.174009,0.189584,0.214371,0.255962,0.330143", \ "0.204501,0.216955,0.228038,0.244430,0.269968,0.311754,0.385943", \ "0.259657,0.274297,0.287349,0.305874,0.333694,0.377748,0.452661", \ "0.317163,0.334408,0.349770,0.370428,0.401130,0.447827,0.523821"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.016428,0.020460,0.024781,0.032688,0.047612,0.076822,0.135224", \ "0.016430,0.020460,0.024774,0.032697,0.047612,0.076831,0.135218", \ "0.016436,0.020480,0.024802,0.032708,0.047622,0.076821,0.135223", \ "0.016640,0.020669,0.024971,0.032831,0.047691,0.076837,0.135220", \ "0.021069,0.024486,0.028198,0.035216,0.049078,0.077489,0.135303", \ "0.027290,0.030815,0.034336,0.041034,0.054284,0.081006,0.136189", \ "0.034175,0.038065,0.041616,0.048146,0.060770,0.085928,0.138146"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & !D & SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.093228,0.104281,0.114276,0.129801,0.154519,0.196024,0.270178", \ "0.097860,0.108913,0.118911,0.134448,0.159167,0.200675,0.274819", \ "0.116026,0.127066,0.137051,0.152587,0.177319,0.218839,0.293016", \ "0.153032,0.164006,0.173981,0.189570,0.214362,0.255933,0.330113", \ "0.204624,0.217069,0.228027,0.244493,0.269735,0.311650,0.385779", \ "0.259458,0.274152,0.286855,0.305582,0.333445,0.377475,0.452258", \ "0.317143,0.334391,0.349143,0.370412,0.401149,0.447859,0.523849"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.016430,0.020459,0.024779,0.032686,0.047601,0.076822,0.135219", \ "0.016429,0.020459,0.024781,0.032694,0.047611,0.076821,0.135217", \ "0.016435,0.020479,0.024802,0.032693,0.047620,0.076819,0.135221", \ "0.016642,0.020674,0.024968,0.032822,0.047678,0.076850,0.135216", \ "0.021056,0.024472,0.028197,0.035208,0.049093,0.077485,0.135299", \ "0.027308,0.030823,0.034400,0.041064,0.054311,0.081019,0.136194", \ "0.034172,0.038065,0.041697,0.048142,0.060767,0.085917,0.138136"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & D & !SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.093228,0.104281,0.114276,0.129801,0.154519,0.196024,0.270178", \ "0.097860,0.108913,0.118911,0.134448,0.159167,0.200675,0.274819", \ "0.116026,0.127066,0.137051,0.152587,0.177319,0.218839,0.293016", \ "0.153032,0.164006,0.173981,0.189570,0.214362,0.255933,0.330113", \ "0.204624,0.217069,0.228027,0.244493,0.269735,0.311650,0.385779", \ "0.259458,0.274152,0.286855,0.305582,0.333445,0.377475,0.452258", \ "0.317143,0.334391,0.349144,0.370412,0.401149,0.447859,0.523849"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.016430,0.020459,0.024779,0.032686,0.047601,0.076822,0.135219", \ "0.016429,0.020459,0.024781,0.032694,0.047611,0.076821,0.135217", \ "0.016435,0.020479,0.024802,0.032693,0.047620,0.076819,0.135221", \ "0.016645,0.020674,0.024968,0.032822,0.047678,0.076850,0.135216", \ "0.021056,0.024472,0.028197,0.035208,0.049093,0.077485,0.135299", \ "0.027308,0.030823,0.034400,0.041064,0.054311,0.081019,0.136194", \ "0.034172,0.038065,0.041697,0.048142,0.060767,0.085917,0.138136"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & D & !SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.093228,0.104281,0.114276,0.129804,0.154519,0.196024,0.270178", \ "0.097860,0.108913,0.118911,0.134448,0.159167,0.200675,0.274819", \ "0.116026,0.127066,0.137051,0.152587,0.177319,0.218839,0.293016", \ "0.153032,0.164006,0.173981,0.189570,0.214362,0.255933,0.330113", \ "0.204624,0.217069,0.228027,0.244493,0.269735,0.311650,0.385779", \ "0.259458,0.274152,0.286855,0.305582,0.333445,0.377475,0.452258", \ "0.317143,0.334391,0.349144,0.370412,0.401149,0.447859,0.523849"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.016430,0.020459,0.024779,0.032683,0.047601,0.076822,0.135219", \ "0.016429,0.020459,0.024781,0.032694,0.047611,0.076821,0.135217", \ "0.016435,0.020479,0.024802,0.032693,0.047620,0.076819,0.135221", \ "0.016642,0.020674,0.024968,0.032822,0.047678,0.076850,0.135216", \ "0.021056,0.024472,0.028197,0.035208,0.049093,0.077485,0.135299", \ "0.027308,0.030823,0.034400,0.041064,0.054311,0.081019,0.136194", \ "0.034172,0.038065,0.041697,0.048142,0.060767,0.085917,0.138136"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & D & SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.093234,0.104287,0.114278,0.129808,0.154519,0.196019,0.270170", \ "0.097868,0.108923,0.118920,0.134466,0.159172,0.200686,0.274851", \ "0.116037,0.127069,0.137052,0.152608,0.177329,0.218851,0.293028", \ "0.153122,0.164090,0.174009,0.189584,0.214371,0.255962,0.330143", \ "0.204500,0.216955,0.228038,0.244430,0.269968,0.311754,0.385943", \ "0.259657,0.274296,0.287349,0.305874,0.333694,0.377748,0.452661", \ "0.317162,0.334408,0.349770,0.370428,0.401130,0.447827,0.523821"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.016428,0.020460,0.024781,0.032690,0.047612,0.076822,0.135224", \ "0.016430,0.020460,0.024774,0.032697,0.047612,0.076831,0.135218", \ "0.016436,0.020480,0.024802,0.032708,0.047622,0.076821,0.135223", \ "0.016640,0.020671,0.024971,0.032831,0.047691,0.076837,0.135220", \ "0.021069,0.024486,0.028198,0.035216,0.049078,0.077489,0.135303", \ "0.027290,0.030815,0.034336,0.041034,0.054284,0.081006,0.136189", \ "0.034175,0.038065,0.041616,0.048146,0.060770,0.085928,0.138146"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & D & SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.093228,0.104281,0.114276,0.129805,0.154519,0.196024,0.270178", \ "0.097860,0.108913,0.118911,0.134448,0.159168,0.200675,0.274819", \ "0.116026,0.127066,0.137051,0.152587,0.177319,0.218839,0.293016", \ "0.153032,0.164006,0.173981,0.189570,0.214362,0.255933,0.330113", \ "0.204624,0.217069,0.228027,0.244493,0.269735,0.311650,0.385779", \ "0.259458,0.274152,0.286855,0.305582,0.333445,0.377475,0.452258", \ "0.317143,0.334391,0.349144,0.370412,0.401149,0.447859,0.523849"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.016430,0.020459,0.024779,0.032682,0.047600,0.076822,0.135219", \ "0.016429,0.020459,0.024781,0.032694,0.047611,0.076821,0.135217", \ "0.016435,0.020479,0.024802,0.032693,0.047620,0.076819,0.135221", \ "0.016642,0.020674,0.024968,0.032822,0.047678,0.076850,0.135216", \ "0.021056,0.024472,0.028197,0.035208,0.049093,0.077485,0.135299", \ "0.027308,0.030823,0.034400,0.041064,0.054311,0.081019,0.136194", \ "0.034172,0.038065,0.041697,0.048142,0.060767,0.085917,0.138136"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & !D & !SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.093220,0.104120,0.113956,0.129214,0.153381,0.193911,0.267072", \ "0.097858,0.108757,0.118601,0.133857,0.158043,0.198550,0.271730", \ "0.116021,0.126896,0.136735,0.151994,0.176183,0.216702,0.289890", \ "0.153015,0.163849,0.173650,0.188949,0.213192,0.253772,0.326993", \ "0.204508,0.216723,0.227469,0.243795,0.268539,0.309430,0.382675", \ "0.259953,0.274470,0.286978,0.305188,0.332317,0.375106,0.449566", \ "0.317880,0.334863,0.349200,0.370002,0.399818,0.445170,0.521304"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.016219,0.020165,0.024373,0.032037,0.046471,0.075162,0.134723", \ "0.016218,0.020164,0.024373,0.032026,0.046473,0.075168,0.134749", \ "0.016224,0.020187,0.024393,0.032040,0.046482,0.075173,0.134746", \ "0.016424,0.020368,0.024552,0.032163,0.046562,0.075185,0.134746", \ "0.020769,0.024108,0.027731,0.034517,0.047962,0.075916,0.134979", \ "0.026827,0.030228,0.033663,0.040085,0.052834,0.079410,0.136553", \ "0.033527,0.037280,0.040781,0.046879,0.058901,0.084200,0.139764"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & !D & !SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.093220,0.104120,0.113956,0.129214,0.153381,0.193910,0.267072", \ "0.097858,0.108757,0.118601,0.133857,0.158043,0.198550,0.271730", \ "0.116021,0.126896,0.136735,0.151994,0.176183,0.216702,0.289890", \ "0.153040,0.163871,0.173650,0.188949,0.213192,0.253772,0.326993", \ "0.204508,0.216723,0.227469,0.243795,0.268539,0.309430,0.382675", \ "0.259953,0.274470,0.286978,0.305188,0.332316,0.375106,0.449566", \ "0.317880,0.334863,0.349200,0.370002,0.399818,0.445170,0.521304"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.016219,0.020165,0.024373,0.032037,0.046471,0.075160,0.134723", \ "0.016218,0.020164,0.024373,0.032026,0.046473,0.075168,0.134749", \ "0.016224,0.020187,0.024393,0.032040,0.046482,0.075173,0.134746", \ "0.016424,0.020369,0.024552,0.032163,0.046562,0.075185,0.134746", \ "0.020769,0.024108,0.027731,0.034517,0.047962,0.075916,0.134979", \ "0.026827,0.030228,0.033663,0.040085,0.052834,0.079410,0.136553", \ "0.033527,0.037280,0.040781,0.046879,0.058901,0.084200,0.139764"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & !D & SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.093220,0.104120,0.113956,0.129214,0.153386,0.193910,0.267064", \ "0.097858,0.108757,0.118601,0.133857,0.158043,0.198550,0.271730", \ "0.116021,0.126896,0.136735,0.151994,0.176183,0.216702,0.289890", \ "0.153015,0.163852,0.173650,0.188949,0.213192,0.253772,0.326993", \ "0.204508,0.216723,0.227469,0.243795,0.268539,0.309430,0.382675", \ "0.259953,0.274470,0.286978,0.305188,0.332316,0.375106,0.449566", \ "0.317880,0.334863,0.349200,0.370002,0.399818,0.445170,0.521304"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.016219,0.020165,0.024373,0.032037,0.046468,0.075160,0.134728", \ "0.016218,0.020164,0.024373,0.032026,0.046473,0.075168,0.134749", \ "0.016224,0.020187,0.024393,0.032040,0.046482,0.075173,0.134746", \ "0.016424,0.020362,0.024552,0.032163,0.046562,0.075185,0.134746", \ "0.020769,0.024108,0.027731,0.034517,0.047962,0.075916,0.134979", \ "0.026827,0.030228,0.033663,0.040085,0.052834,0.079410,0.136553", \ "0.033527,0.037280,0.040781,0.046879,0.058901,0.084200,0.139764"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & !D & SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.093220,0.104120,0.113955,0.129214,0.153386,0.193883,0.267072", \ "0.097858,0.108757,0.118599,0.133857,0.158043,0.198550,0.271730", \ "0.116021,0.126896,0.136735,0.151994,0.176183,0.216702,0.289890", \ "0.153040,0.163871,0.173650,0.188949,0.213192,0.253772,0.326993", \ "0.204508,0.216723,0.227469,0.243795,0.268539,0.309429,0.382675", \ "0.259953,0.274470,0.286978,0.305188,0.332317,0.375106,0.449566", \ "0.317880,0.334863,0.349200,0.370002,0.399818,0.445170,0.521304"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.016219,0.020165,0.024374,0.032038,0.046468,0.075183,0.134723", \ "0.016218,0.020164,0.024372,0.032026,0.046473,0.075168,0.134749", \ "0.016224,0.020187,0.024393,0.032040,0.046482,0.075173,0.134746", \ "0.016424,0.020369,0.024552,0.032163,0.046562,0.075185,0.134746", \ "0.020769,0.024108,0.027731,0.034517,0.047962,0.075916,0.134979", \ "0.026827,0.030228,0.033663,0.040085,0.052834,0.079410,0.136553", \ "0.033527,0.037280,0.040781,0.046879,0.058901,0.084200,0.139764"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & D & !SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.093220,0.104120,0.113956,0.129214,0.153382,0.193911,0.267064", \ "0.097858,0.108757,0.118599,0.133857,0.158043,0.198550,0.271730", \ "0.116021,0.126896,0.136735,0.151994,0.176183,0.216702,0.289890", \ "0.153040,0.163871,0.173650,0.188949,0.213192,0.253772,0.326993", \ "0.204508,0.216723,0.227469,0.243795,0.268539,0.309429,0.382675", \ "0.259953,0.274470,0.286978,0.305188,0.332317,0.375106,0.449566", \ "0.317880,0.334863,0.349200,0.370002,0.399818,0.445170,0.521304"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.016219,0.020165,0.024374,0.032037,0.046471,0.075161,0.134728", \ "0.016218,0.020164,0.024372,0.032026,0.046473,0.075168,0.134749", \ "0.016224,0.020187,0.024393,0.032040,0.046482,0.075173,0.134746", \ "0.016424,0.020369,0.024552,0.032163,0.046562,0.075185,0.134746", \ "0.020769,0.024108,0.027731,0.034517,0.047962,0.075916,0.134979", \ "0.026827,0.030228,0.033663,0.040085,0.052834,0.079410,0.136553", \ "0.033527,0.037280,0.040781,0.046879,0.058901,0.084200,0.139764"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & D & !SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.093220,0.104120,0.113956,0.129214,0.153381,0.193883,0.267065", \ "0.097858,0.108757,0.118599,0.133857,0.158043,0.198550,0.271730", \ "0.116021,0.126896,0.136735,0.151994,0.176183,0.216702,0.289890", \ "0.153040,0.163871,0.173650,0.188949,0.213192,0.253772,0.326993", \ "0.204508,0.216723,0.227469,0.243795,0.268539,0.309429,0.382675", \ "0.259953,0.274470,0.286978,0.305188,0.332317,0.375106,0.449566", \ "0.317880,0.334863,0.349200,0.370002,0.399818,0.445170,0.521304"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.016219,0.020165,0.024374,0.032036,0.046471,0.075183,0.134743", \ "0.016218,0.020164,0.024372,0.032026,0.046473,0.075168,0.134749", \ "0.016224,0.020187,0.024393,0.032040,0.046482,0.075173,0.134746", \ "0.016424,0.020369,0.024552,0.032163,0.046562,0.075185,0.134746", \ "0.020769,0.024108,0.027731,0.034517,0.047962,0.075916,0.134979", \ "0.026827,0.030228,0.033663,0.040085,0.052834,0.079410,0.136553", \ "0.033527,0.037280,0.040781,0.046879,0.058901,0.084200,0.139764"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & D & SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.093220,0.104120,0.113956,0.129214,0.153381,0.193883,0.267072", \ "0.097858,0.108757,0.118601,0.133857,0.158043,0.198550,0.271730", \ "0.116021,0.126896,0.136735,0.151994,0.176183,0.216702,0.289890", \ "0.153040,0.163871,0.173650,0.188949,0.213192,0.253772,0.326993", \ "0.204508,0.216723,0.227469,0.243795,0.268539,0.309430,0.382675", \ "0.259953,0.274470,0.286978,0.305188,0.332316,0.375106,0.449566", \ "0.317880,0.334863,0.349200,0.370002,0.399818,0.445170,0.521304"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.016219,0.020165,0.024373,0.032038,0.046471,0.075182,0.134723", \ "0.016218,0.020164,0.024373,0.032026,0.046473,0.075168,0.134749", \ "0.016224,0.020187,0.024393,0.032040,0.046482,0.075173,0.134746", \ "0.016424,0.020369,0.024552,0.032163,0.046562,0.075185,0.134746", \ "0.020769,0.024108,0.027731,0.034517,0.047962,0.075916,0.134979", \ "0.026827,0.030228,0.033663,0.040085,0.052834,0.079410,0.136553", \ "0.033527,0.037280,0.040781,0.046879,0.058901,0.084200,0.139764"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & D & SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.093220,0.104120,0.113955,0.129214,0.153382,0.193883,0.267072", \ "0.097858,0.108757,0.118599,0.133857,0.158043,0.198550,0.271730", \ "0.116021,0.126896,0.136735,0.151994,0.176183,0.216702,0.289890", \ "0.153040,0.163871,0.173650,0.188949,0.213192,0.253772,0.326993", \ "0.204508,0.216723,0.227469,0.243795,0.268539,0.309429,0.382675", \ "0.259953,0.274470,0.286978,0.305188,0.332317,0.375106,0.449566", \ "0.317880,0.334863,0.349200,0.370002,0.399818,0.445170,0.521304"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.016219,0.020165,0.024374,0.032037,0.046471,0.075183,0.134723", \ "0.016218,0.020164,0.024372,0.032026,0.046473,0.075168,0.134749", \ "0.016224,0.020187,0.024393,0.032040,0.046482,0.075173,0.134746", \ "0.016424,0.020369,0.024552,0.032163,0.046562,0.075185,0.134746", \ "0.020769,0.024108,0.027731,0.034517,0.047962,0.075916,0.134979", \ "0.026827,0.030228,0.033663,0.040085,0.052834,0.079410,0.136553", \ "0.033527,0.037280,0.040781,0.046879,0.058901,0.084200,0.139764"); } } internal_power () { related_pin : "CK"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("3.345180,3.419234,3.469724,3.645474,3.705733,3.745162,3.755326", \ "3.335213,3.413742,3.461718,3.636116,3.714838,3.735650,3.746211", \ "3.320740,3.396320,3.444875,3.622898,3.687827,3.717536,3.727792", \ "3.317261,3.394797,3.442273,3.622176,3.689775,3.714149,3.723788", \ "3.341985,3.422149,3.467336,3.640355,3.706270,3.743550,3.757064", \ "3.411721,3.487602,3.532118,3.711583,3.776557,3.806799,3.807511", \ "3.530839,3.604465,3.652879,3.826408,3.892932,3.916004,3.930963"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("3.611311,3.668446,3.705379,3.760052,3.800347,3.831021,3.833084", \ "3.603172,3.664722,3.702584,3.753192,3.802906,3.816938,3.830066", \ "3.586146,3.639150,3.681686,3.719332,3.780311,3.791493,3.808066", \ "3.579919,3.634991,3.671274,3.714857,3.764787,3.793447,3.799869", \ "3.598703,3.658879,3.695029,3.744097,3.791468,3.808383,3.822090", \ "3.665305,3.721333,3.754184,3.804959,3.847033,3.859031,3.888217", \ "3.780874,3.835570,3.870666,3.913698,3.957475,3.989806,4.000952"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & !SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("2.698215,2.765534,2.802606,2.834429,2.865653,2.871673,2.880193", \ "2.689026,2.750793,2.793426,2.837995,2.857590,2.863656,2.872624", \ "2.677639,2.737963,2.775602,2.819886,2.837730,2.844133,2.852834", \ "2.687870,2.741825,2.787865,2.818979,2.827382,2.867741,2.878052", \ "2.738394,2.782439,2.813558,2.840838,2.864091,2.874018,2.887348", \ "2.836012,2.863097,2.885031,2.897179,2.916570,2.929928,2.944880", \ "2.955601,2.979275,2.992577,2.990039,2.999196,3.017558,3.032367"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & !SE & SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("2.698051,2.765356,2.802416,2.834222,2.865384,2.871215,2.879746", \ "2.688862,2.750614,2.793240,2.837793,2.857357,2.863385,2.872313", \ "2.677476,2.737789,2.775418,2.819678,2.837510,2.843877,2.852539", \ "2.687571,2.741592,2.787686,2.818777,2.827168,2.867496,2.877768", \ "2.738241,2.782354,2.813386,2.840655,2.863876,2.873765,2.887044", \ "2.835875,2.862953,2.884878,2.897005,2.916384,2.929704,2.944614", \ "2.955497,2.979163,2.992454,2.989904,2.999042,3.017286,3.032128"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("2.698595,2.765938,2.803033,2.834886,2.866131,2.872175,2.880641", \ "2.689402,2.751195,2.793846,2.838436,2.858075,2.864168,2.873083", \ "2.678009,2.738354,2.776010,2.820324,2.838188,2.844613,2.853259", \ "2.688224,2.742331,2.788253,2.819398,2.827821,2.868188,2.878445", \ "2.738712,2.782828,2.813910,2.841211,2.864503,2.874452,2.887728", \ "2.836271,2.863370,2.885319,2.897495,2.916899,2.930281,2.945196", \ "2.955766,2.979454,2.992773,2.990255,2.999433,3.017823,3.032608"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & SE & SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("2.653357,2.717227,2.754325,2.785738,2.817089,2.822929,2.833358", \ "2.642235,2.703747,2.746058,2.790178,2.809715,2.815979,2.825695", \ "2.631376,2.691418,2.728988,2.772943,2.790386,2.825466,2.840832", \ "2.640984,2.694945,2.740976,2.771841,2.777682,2.820069,2.830616", \ "2.692362,2.736176,2.766944,2.794491,2.816765,2.827107,2.839672", \ "2.789759,2.817958,2.839986,2.851434,2.870714,2.883145,2.898677", \ "2.909536,2.934551,2.950668,2.946452,2.954117,2.970673,2.987707"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D & !SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("2.653507,2.717376,2.754478,2.785900,2.817323,2.823135,2.833630", \ "2.642384,2.703891,2.746224,2.790387,2.809922,2.816195,2.825939", \ "2.631520,2.691572,2.729158,2.773128,2.790617,2.825310,2.841124", \ "2.641176,2.695094,2.741137,2.772009,2.777909,2.820324,2.830894", \ "2.692492,2.736415,2.767090,2.794668,2.816946,2.827296,2.839896", \ "2.789861,2.818071,2.840111,2.851567,2.870899,2.883359,2.898920", \ "2.909614,2.934640,2.950231,2.946565,2.954279,2.970869,2.987941"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D & !SE & SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("2.654109,2.718006,2.755143,2.786611,2.818172,2.824117,2.834690", \ "2.642980,2.704516,2.746896,2.791140,2.810754,2.817149,2.826993", \ "2.632109,2.692200,2.729824,2.773858,2.791455,2.826699,2.842178", \ "2.641702,2.695713,2.741779,2.772713,2.778715,2.821255,2.831928", \ "2.693035,2.736886,2.767699,2.795348,2.817700,2.828176,2.840895", \ "2.790327,2.818570,2.840649,2.852154,2.871600,2.884170,2.899866", \ "2.909968,2.935026,2.950647,2.947062,2.954863,2.971582,2.988807"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D & SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("2.698069,2.765381,2.802441,2.834248,2.865421,2.871376,2.879762", \ "2.688880,2.750640,2.793258,2.837793,2.857363,2.863364,2.872196", \ "2.677494,2.737808,2.775433,2.819695,2.837496,2.843841,2.852398", \ "2.687586,2.741606,2.787697,2.818786,2.827165,2.867433,2.877609", \ "2.738248,2.782283,2.813389,2.840643,2.863862,2.873717,2.886927", \ "2.835873,2.862948,2.884867,2.896991,2.916335,2.929618,2.944425", \ "2.955444,2.979135,2.992423,2.989856,2.998969,3.017251,3.031910"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D & SE & SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("2.653968,2.717868,2.755001,2.786503,2.817922,2.823861,2.834412", \ "2.642842,2.704383,2.746740,2.790937,2.810543,2.816914,2.826743", \ "2.631974,2.692055,2.729663,2.773678,2.791215,2.825988,2.841869", \ "2.641566,2.695569,2.741626,2.772547,2.778489,2.820985,2.831636", \ "2.692905,2.736747,2.767546,2.795166,2.817520,2.827966,2.840637", \ "2.790221,2.818452,2.840514,2.852022,2.871395,2.883942,2.899606", \ "2.909882,2.934929,2.950542,2.946929,2.954688,2.971373,2.988560"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D & !SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("3.914876,4.006059,4.076317,4.138994,4.216983,4.284046,4.323977", \ "3.901030,3.993974,4.062524,4.117951,4.211752,4.278836,4.321370", \ "3.885909,3.983413,4.048030,4.122586,4.187368,4.255027,4.302413", \ "3.897659,3.991761,4.044014,4.125375,4.201986,4.271976,4.281150", \ "3.951653,4.030932,4.086146,4.135261,4.236298,4.272203,4.314640", \ "4.063935,4.129418,4.170827,4.209882,4.301593,4.371543,4.382072", \ "4.215903,4.258300,4.286411,4.325505,4.413096,4.469879,4.478019"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D & !SE & SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("3.914534,4.005709,4.075954,4.138669,4.216622,4.284363,4.327056", \ "3.900689,3.993627,4.062178,4.117605,4.211399,4.278478,4.321010", \ "3.885585,3.983072,4.047691,4.122244,4.187031,4.254684,4.302100", \ "3.899585,3.989745,4.043687,4.125044,4.201663,4.271649,4.280812", \ "3.951358,4.030518,4.085843,4.134957,4.235984,4.271869,4.314295", \ "4.063674,4.129153,4.170559,4.209601,4.301316,4.371252,4.381759", \ "4.215687,4.262988,4.286181,4.325270,4.412853,4.469618,4.477729"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D & SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("3.915655,4.006836,4.077056,4.139739,4.215052,4.284614,4.324188", \ "3.901775,3.994738,4.063271,4.118688,4.212472,4.279496,4.321893", \ "3.886643,3.984145,4.048763,4.123301,4.188052,4.255651,4.303083", \ "3.898339,3.992363,4.044703,4.126048,4.202619,4.272560,4.281621", \ "3.952257,4.033202,4.086751,4.135862,4.236891,4.272770,4.315091", \ "4.064437,4.129914,4.171339,4.234316,4.302081,4.371999,4.382444", \ "4.216273,4.258677,4.286799,4.325892,4.413458,4.470236,4.478317"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D & SE & SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("3.913873,4.012648,4.075779,4.134553,4.215405,4.286357,4.328584", \ "3.900486,4.000693,4.069638,4.118071,4.212917,4.281468,4.325862", \ "3.885187,3.982962,4.047824,4.122943,4.188597,4.257769,4.300273", \ "3.899523,3.995416,4.044237,4.126101,4.203604,4.274951,4.286023", \ "3.959468,4.040430,4.086839,4.163396,4.238312,4.310359,4.319820", \ "4.064931,4.130908,4.172168,4.235598,4.304092,4.375265,4.387540", \ "4.217688,4.259977,4.302060,4.327940,4.415838,4.474695,4.484977"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D & !SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("3.914075,4.012841,4.075984,4.134847,4.218429,4.286919,4.328495", \ "3.900685,4.000892,4.069857,4.118302,4.213171,4.281744,4.326179", \ "3.885376,3.983164,4.048043,4.123174,4.188868,4.258065,4.300603", \ "3.899701,3.995706,4.044436,4.126326,4.203881,4.275249,4.286334", \ "3.959643,4.040613,4.087026,4.163633,4.238539,4.310619,4.320096", \ "4.065073,4.131128,4.172333,4.235787,4.304325,4.375535,4.387820", \ "4.217808,4.260310,4.302206,4.328102,4.416108,4.474943,4.485238"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D & !SE & SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("3.915319,4.014082,4.077214,4.136030,4.219547,4.287915,4.329833", \ "3.901918,4.002108,4.071096,4.119563,4.214414,4.282990,4.327413", \ "3.886567,3.984345,4.049263,4.124393,4.190119,4.259282,4.301840", \ "3.900839,3.996858,4.045562,4.127487,4.205073,4.276461,4.287542", \ "3.960683,4.041666,4.088090,4.164726,4.239640,4.311761,4.321246", \ "4.065979,4.132050,4.173274,4.236750,4.305338,4.376593,4.388924", \ "4.218559,4.261078,4.302995,4.328917,4.416992,4.475892,4.486269"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D & SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("3.914573,4.005757,4.075977,4.134160,4.216551,4.283379,4.328457", \ "3.900729,3.993675,4.062217,4.117630,4.211414,4.278439,4.320851", \ "3.885612,3.983111,4.047722,4.122267,4.187018,4.254622,4.301958", \ "3.899614,3.989838,4.043714,4.125062,4.201636,4.271568,4.280622", \ "3.951371,4.030644,4.085853,4.134951,4.235957,4.271800,4.314104", \ "4.063666,4.129142,4.170541,4.209580,4.301248,4.371129,4.381525", \ "4.215645,4.257824,4.286137,4.325207,4.412743,4.469462,4.477467"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D & SE & SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("3.915153,4.013908,4.077054,4.135896,4.219401,4.287594,4.330083", \ "3.901751,4.001949,4.070917,4.119310,4.214162,4.282699,4.327090", \ "3.886403,3.984197,4.049075,4.124151,4.189838,4.258999,4.301493", \ "3.900688,3.996567,4.045416,4.127254,4.204790,4.276137,4.287219", \ "3.960523,4.032477,4.087890,4.164488,4.239405,4.311490,4.320968", \ "4.065838,4.131800,4.173111,4.212566,4.305095,4.376295,4.388633", \ "4.218439,4.260743,4.302852,4.328757,4.416688,4.475631,4.485998"); } rise_power(scalar) {values ("0.0"); } } } } /****************************************************************************************** Module : SDFFS_X2 Cell Description : Pos.edge D-Flip-Flop with active high scan, and active low set, and drive strength X2 *******************************************************************************************/ cell (SDFFS_X2) { drive_strength : 2; ff ("IQ" , "IQN") { next_state : "((SE * SI) + (D * !SE))"; clocked_on : "CK"; preset : "!SN"; } area : 7.182000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 94.025238; leakage_power () { when : "!CK & !D & !SE & !SI & !SN & Q & !QN"; value : 85.582460; } leakage_power () { when : "!CK & !D & !SE & !SI & SN & !Q & QN"; value : 99.721310; } leakage_power () { when : "!CK & !D & !SE & !SI & SN & Q & !QN"; value : 91.044761; } leakage_power () { when : "!CK & !D & !SE & SI & !SN & Q & !QN"; value : 92.615044; } leakage_power () { when : "!CK & !D & !SE & SI & SN & !Q & QN"; value : 106.753894; } leakage_power () { when : "!CK & !D & !SE & SI & SN & Q & !QN"; value : 98.077344; } leakage_power () { when : "!CK & !D & SE & !SI & !SN & Q & !QN"; value : 83.000749; } leakage_power () { when : "!CK & !D & SE & !SI & SN & !Q & QN"; value : 97.139599; } leakage_power () { when : "!CK & !D & SE & !SI & SN & Q & !QN"; value : 88.463050; } leakage_power () { when : "!CK & !D & SE & SI & !SN & Q & !QN"; value : 81.670246; } leakage_power () { when : "!CK & !D & SE & SI & SN & !Q & QN"; value : 102.121371; } leakage_power () { when : "!CK & !D & SE & SI & SN & Q & !QN"; value : 87.424196; } leakage_power () { when : "!CK & D & !SE & !SI & !SN & Q & !QN"; value : 84.919369; } leakage_power () { when : "!CK & D & !SE & !SI & SN & !Q & QN"; value : 105.370209; } leakage_power () { when : "!CK & D & !SE & !SI & SN & Q & !QN"; value : 90.673225; } leakage_power () { when : "!CK & D & !SE & SI & !SN & Q & !QN"; value : 85.966032; } leakage_power () { when : "!CK & D & !SE & SI & SN & !Q & QN"; value : 106.417157; } leakage_power () { when : "!CK & D & !SE & SI & SN & Q & !QN"; value : 91.719983; } leakage_power () { when : "!CK & D & SE & !SI & !SN & Q & !QN"; value : 92.318805; } leakage_power () { when : "!CK & D & SE & !SI & SN & !Q & QN"; value : 106.457655; } leakage_power () { when : "!CK & D & SE & !SI & SN & Q & !QN"; value : 97.781011; } leakage_power () { when : "!CK & D & SE & SI & !SN & Q & !QN"; value : 83.033429; } leakage_power () { when : "!CK & D & SE & SI & SN & !Q & QN"; value : 103.484554; } leakage_power () { when : "!CK & D & SE & SI & SN & Q & !QN"; value : 88.787380; } leakage_power () { when : "CK & !D & !SE & !SI & !SN & Q & !QN"; value : 88.609502; } leakage_power () { when : "CK & !D & !SE & !SI & SN & !Q & QN"; value : 99.073419; } leakage_power () { when : "CK & !D & !SE & !SI & SN & Q & !QN"; value : 95.213037; } leakage_power () { when : "CK & !D & !SE & SI & !SN & Q & !QN"; value : 95.640566; } leakage_power () { when : "CK & !D & !SE & SI & SN & !Q & QN"; value : 106.104768; } leakage_power () { when : "CK & !D & !SE & SI & SN & Q & !QN"; value : 102.244482; } leakage_power () { when : "CK & !D & SE & !SI & !SN & Q & !QN"; value : 86.027031; } leakage_power () { when : "CK & !D & SE & !SI & SN & !Q & QN"; value : 96.491139; } leakage_power () { when : "CK & !D & SE & !SI & SN & Q & !QN"; value : 92.630567; } leakage_power () { when : "CK & !D & SE & SI & !SN & Q & !QN"; value : 81.548418; } leakage_power () { when : "CK & !D & SE & SI & SN & !Q & QN"; value : 98.000470; } leakage_power () { when : "CK & !D & SE & SI & SN & Q & !QN"; value : 88.153664; } leakage_power () { when : "CK & D & !SE & !SI & !SN & Q & !QN"; value : 84.797731; } leakage_power () { when : "CK & D & !SE & !SI & SN & !Q & QN"; value : 101.249974; } leakage_power () { when : "CK & D & !SE & !SI & SN & Q & !QN"; value : 91.403072; } leakage_power () { when : "CK & D & !SE & SI & !SN & Q & !QN"; value : 85.844679; } leakage_power () { when : "CK & D & !SE & SI & SN & !Q & QN"; value : 102.297016; } leakage_power () { when : "CK & D & !SE & SI & SN & Q & !QN"; value : 92.450020; } leakage_power () { when : "CK & D & SE & !SI & !SN & Q & !QN"; value : 95.342332; } leakage_power () { when : "CK & D & SE & !SI & SN & !Q & QN"; value : 105.807010; } leakage_power () { when : "CK & D & SE & !SI & SN & Q & !QN"; value : 101.945773; } leakage_power () { when : "CK & D & SE & SI & !SN & Q & !QN"; value : 82.912086; } leakage_power () { when : "CK & D & SE & SI & SN & !Q & QN"; value : 99.364424; } leakage_power () { when : "CK & D & SE & SI & SN & Q & !QN"; value : 89.517426; } pin (D) { direction : input; nextstate_type : data; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.085615; fall_capacitance : 1.026631; rise_capacitance : 1.085615; timing () { related_pin : "CK"; timing_type : hold_rising; when : "!SE & SN"; sdf_cond : "NEG_SE_AND_SN === 1'b1"; fall_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.081009,-0.028936,0.024374", \ "-0.125395,-0.072756,-0.016829", \ "0.128188,0.185577,0.247611"); } rise_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.025004,0.009768,0.038236", \ "-0.025360,0.005675,0.012038", \ "0.119171,0.145941,0.117144"); } } timing () { related_pin : "CK"; timing_type : setup_rising; when : "!SE & SN"; sdf_cond : "NEG_SE_AND_SN === 1'b1"; fall_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.243909,0.215728,0.234921", \ "0.301680,0.273156,0.293369", \ "0.457631,0.429213,0.447134"); } rise_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.259250,0.201814,0.139077", \ "0.304278,0.247212,0.184252", \ "0.372588,0.315204,0.253196"); } } internal_power () { when : "!CK & !SE & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.697231,5.680667,5.653639,5.642992,5.650561,5.697352,5.809639"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.800195,3.777989,3.750391,3.727402,3.727165,3.771962,3.889633"); } } internal_power () { when : "!CK & !SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.782051,5.765497,5.739478,5.727971,5.735411,5.784137,5.895014"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.962646,3.941862,3.911050,3.888889,3.888373,3.935107,4.055324"); } } internal_power () { when : "!CK & !SE & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.750667,5.733324,5.707094,5.693986,5.702432,5.749317,5.859923"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.815171,3.792807,3.765253,3.741882,3.739274,3.786546,3.904239"); } } internal_power () { when : "!CK & !SE & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.688907,5.671903,5.645398,5.634587,5.642019,5.688236,5.799361"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.802700,3.780475,3.752635,3.729789,3.729206,3.774461,3.893721"); } } internal_power () { when : "!CK & !SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.773937,5.756459,5.731308,5.719601,5.726694,5.774089,5.885498"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.965249,3.944543,3.915254,3.893629,3.890773,3.932870,4.057220"); } } internal_power () { when : "!CK & !SE & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.742519,5.725209,5.698877,5.685576,5.693716,5.740062,5.850950"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.817663,3.795281,3.766887,3.745057,3.744586,3.788917,3.905859"); } } internal_power () { when : "!CK & SE & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.547289,0.525211,0.515554,0.511830,0.509115,0.509032,0.508582"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.447827,-0.449378,-0.453865,-0.457472,-0.459734,-0.461258,-0.462106"); } } internal_power () { when : "!CK & SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.543999,0.524833,0.515167,0.511447,0.508695,0.508678,0.508178"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.448136,-0.450707,-0.455192,-0.458795,-0.461051,-0.462563,-0.463441"); } } internal_power () { when : "!CK & SE & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.544228,0.526633,0.515393,0.511674,0.508937,0.508901,0.508423"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.447535,-0.450118,-0.454606,-0.458211,-0.460470,-0.461989,-0.462873"); } } internal_power () { when : "!CK & SE & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.480097,0.483377,0.484308,0.484768,0.484698,0.486199,0.484869"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.330041,-0.362012,-0.401173,-0.420709,-0.431155,-0.437563,-0.442072"); } } internal_power () { when : "!CK & SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.480392,0.484129,0.484554,0.485317,0.485144,0.486756,0.485299"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.328551,-0.361289,-0.400174,-0.419746,-0.429642,-0.436770,-0.440494"); } } internal_power () { when : "!CK & SE & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.480012,0.483304,0.484229,0.484160,0.484540,0.486133,0.484767"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.330266,-0.362211,-0.401373,-0.420911,-0.431359,-0.437770,-0.442284"); } } internal_power () { when : "CK & !SE & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.481857,2.464247,2.439290,2.427337,2.437771,2.505739,2.612748"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.160510,1.138602,1.112630,1.093801,1.096350,1.141891,1.261882"); } } internal_power () { when : "CK & !SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.519595,2.502549,2.477759,2.465797,2.475723,2.544080,2.649006"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.174784,1.150550,1.125356,1.107068,1.112122,1.158835,1.279029"); } } internal_power () { when : "CK & !SE & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.481375,2.463489,2.438533,2.426613,2.437058,2.505151,2.608121"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.209059,1.185129,1.158848,1.139710,1.142053,1.186339,1.305131"); } } internal_power () { when : "CK & !SE & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.473100,2.455416,2.430393,2.418267,2.428414,2.494319,2.602540"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.161283,1.138510,1.112554,1.093716,1.096760,1.141965,1.260213"); } } internal_power () { when : "CK & !SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.510657,2.494275,2.469351,2.457242,2.466934,2.535416,2.640597"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.176682,1.152443,1.127506,1.108837,1.111515,1.160824,1.280001"); } } internal_power () { when : "CK & !SE & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.473309,2.455413,2.430343,2.418236,2.428497,2.496088,2.602427"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.211559,1.187632,1.161409,1.142091,1.144467,1.188952,1.307044"); } } internal_power () { when : "CK & SE & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.543519,0.524315,0.514084,0.510938,0.508216,0.508143,0.507692"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.448993,-0.451274,-0.455723,-0.459349,-0.461697,-0.463208,-0.464102"); } } internal_power () { when : "CK & SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.543978,0.524777,0.515112,0.511393,0.508658,0.508620,0.508149"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.449146,-0.450663,-0.455162,-0.458769,-0.461030,-0.462549,-0.463392"); } } internal_power () { when : "CK & SE & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.543848,0.524649,0.514363,0.511265,0.508522,0.508503,0.508022"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.449685,-0.451192,-0.455690,-0.459294,-0.461550,-0.463062,-0.463895"); } } internal_power () { when : "CK & SE & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.479539,0.482771,0.483267,0.484136,0.484061,0.485531,0.484138"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.330738,-0.362741,-0.401885,-0.421406,-0.431862,-0.438260,-0.442762"); } } internal_power () { when : "CK & SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.480652,0.483965,0.484808,0.485272,0.485156,0.486735,0.485301"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.329011,-0.361936,-0.399873,-0.420046,-0.429821,-0.436843,-0.440655"); } } internal_power () { when : "CK & SE & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.479528,0.482804,0.483210,0.484162,0.484051,0.485544,0.484128"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.330680,-0.362608,-0.401758,-0.421288,-0.431736,-0.438149,-0.442668"); } } } pin (SE) { direction : input; nextstate_type : scan_enable; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.860183; fall_capacitance : 1.713145; rise_capacitance : 1.860183; timing () { related_pin : "CK"; timing_type : hold_rising; when : "SN"; sdf_cond : "SN === 1'b1"; fall_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.051334,-0.018615,0.001727", \ "-0.101621,-0.078970,-0.055125", \ "0.133758,0.182769,0.165993"); } rise_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.072204,-0.026873,0.042980", \ "-0.105468,-0.055094,0.015801", \ "-0.012571,0.044416,0.129042"); } } timing () { related_pin : "CK"; timing_type : setup_rising; when : "SN"; sdf_cond : "SN === 1'b1"; fall_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.309645,0.251978,0.197460", \ "0.374172,0.316984,0.254321", \ "0.513356,0.456374,0.407854"); } rise_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.273651,0.245126,0.263221", \ "0.312096,0.283260,0.301646", \ "0.367018,0.318012,0.334819"); } } internal_power () { when : "!CK & !D & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.924688,1.886408,1.871411,1.918819,2.014437,2.177030,2.403114"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.048809,0.027072,0.003885,0.015570,0.096921,0.241433,0.460951"); } } internal_power () { when : "!CK & !D & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.923576,1.886039,1.871024,1.917181,2.014324,2.176676,2.399264"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.043769,0.025743,0.002558,0.018867,0.094409,0.240128,0.462109"); } } internal_power () { when : "!CK & !D & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.923759,1.886257,1.871249,1.917406,2.014565,2.176826,2.401497"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.045127,0.026323,0.003139,0.019442,0.094984,0.238812,0.460332"); } } internal_power () { when : "!CK & !D & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("6.480407,6.415836,6.364903,6.434317,6.607438,6.915352,7.345358"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.434970,3.416683,3.369541,3.373860,3.493228,3.760208,4.197328"); } } internal_power () { when : "!CK & !D & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("6.566425,6.498962,6.451099,6.518597,6.693875,7.001804,7.434137"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.600517,3.577913,3.533294,3.537490,3.657166,3.923463,4.356517"); } } internal_power () { when : "!CK & !D & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("6.534603,6.466874,6.419424,6.485366,6.659239,6.966426,7.401252"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.450474,3.431279,3.384549,3.388144,3.508386,3.775433,4.207638"); } } internal_power () { when : "!CK & D & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.597406,5.565076,5.572506,5.658767,5.803906,6.016262,6.310626"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.200954,5.201865,5.148300,5.124143,5.170624,5.305143,5.518337"); } } internal_power () { when : "!CK & D & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.582123,5.549566,5.557074,5.642403,5.786032,5.997106,6.290549"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.171700,5.177923,5.159376,5.156030,5.210035,5.349723,5.565233"); } } internal_power () { when : "!CK & D & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.607423,5.572260,5.583048,5.667785,5.814016,6.027433,6.319746"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.267678,5.265968,5.214892,5.191069,5.234672,5.367133,5.583463"); } } internal_power () { when : "!CK & D & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.792304,1.756981,1.743367,1.791644,1.890779,2.047634,2.277975"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.112134,0.096025,0.076809,0.089488,0.162544,0.305943,0.531006"); } } internal_power () { when : "!CK & D & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.796573,1.761173,1.747009,1.795902,1.894942,2.051951,2.279856"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.129680,0.113616,0.094159,0.107114,0.179622,0.322453,0.546999"); } } internal_power () { when : "!CK & D & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.792221,1.756908,1.743288,1.791040,1.890623,2.047539,2.275606"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.111909,0.095826,0.076609,0.089282,0.162334,0.305735,0.530804"); } } internal_power () { when : "CK & !D & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.924157,1.887111,1.870378,1.918211,2.013858,2.176399,2.402628"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.046579,0.030392,0.001577,0.017066,0.098349,0.241046,0.465407"); } } internal_power () { when : "CK & !D & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.923807,1.886199,1.871158,1.918562,2.014423,2.176703,2.402888"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.044030,0.026142,0.002830,0.014891,0.094994,0.239294,0.460910"); } } internal_power () { when : "CK & !D & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.923494,1.886607,1.870286,1.918258,2.014574,2.173683,2.399772"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.042778,0.024920,0.001708,0.013765,0.093878,0.237705,0.459664"); } } internal_power () { when : "CK & !D & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.258217,3.192471,3.145076,3.219136,3.404278,3.722261,4.150860"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.771058,0.751279,0.709664,0.720257,0.844300,1.110226,1.539868"); } } internal_power () { when : "CK & !D & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.296755,3.231121,3.183687,3.257814,3.443732,3.771303,4.189437"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.810754,0.791102,0.747611,0.759795,0.882814,1.152460,1.581507"); } } internal_power () { when : "CK & !D & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.257764,3.192365,3.144920,3.219016,3.404399,3.725318,4.150608"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.842273,0.822664,0.779891,0.789618,0.912505,1.176593,1.605970"); } } internal_power () { when : "CK & D & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.974827,2.941943,2.948936,3.031297,3.179072,3.389632,3.677362"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.863000,1.870283,1.849757,1.845189,1.902147,2.045213,2.263841"); } } internal_power () { when : "CK & D & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.939166,2.906007,2.913481,2.997213,3.143100,3.356463,3.644311"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.901443,1.909868,1.888430,1.883286,1.939771,2.082284,2.300277"); } } internal_power () { when : "CK & D & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.967464,2.934491,2.941601,3.027295,3.173649,3.384993,3.674354"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.948968,1.949191,1.896659,1.871526,1.918115,2.058271,2.272220"); } } internal_power () { when : "CK & D & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.795144,1.760109,1.746224,1.795373,1.893654,2.049360,2.279471"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.024914,-0.041144,-0.060390,-0.048656,0.023923,0.165203,0.386822"); } } internal_power () { when : "CK & D & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.796157,1.760447,1.747432,1.795484,1.894334,2.051385,2.281470"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.129353,0.113203,0.093921,0.106521,0.179330,0.322040,0.547615"); } } internal_power () { when : "CK & D & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.791311,1.756368,1.742381,1.790933,1.890198,2.045536,2.276877"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.111527,0.095534,0.076135,0.088663,0.162051,0.305903,0.530355"); } } } pin (SI) { direction : input; nextstate_type : scan_in; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 0.887273; fall_capacitance : 0.851958; rise_capacitance : 0.887273; timing () { related_pin : "CK"; timing_type : hold_rising; when : "SE & SN"; sdf_cond : "SE_AND_SN === 1'b1"; fall_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.093997,-0.042671,0.010516", \ "-0.132009,-0.078667,-0.020408", \ "0.105369,0.162745,0.225335"); } rise_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.026355,0.008733,0.036719", \ "-0.027279,0.003321,0.010204", \ "0.134782,0.163395,0.137401"); } } timing () { related_pin : "CK"; timing_type : setup_rising; when : "SE & SN"; sdf_cond : "SE_AND_SN === 1'b1"; fall_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.280222,0.251170,0.269052", \ "0.337576,0.308690,0.327411", \ "0.503341,0.473859,0.490095"); } rise_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.264604,0.207474,0.144961", \ "0.313302,0.256265,0.193000", \ "0.395389,0.338017,0.275454"); } } internal_power () { when : "!CK & !D & !SE & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.412586,0.398072,0.390553,0.387421,0.384946,0.384909,0.383861"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.341527,-0.343714,-0.347510,-0.349107,-0.350139,-0.350738,-0.351011"); } } internal_power () { when : "!CK & !D & !SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.414446,0.397696,0.390168,0.387040,0.384526,0.384554,0.383458"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.342891,-0.345039,-0.348833,-0.350425,-0.351451,-0.352039,-0.352345"); } } internal_power () { when : "!CK & !D & !SE & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.414672,0.397916,0.390392,0.387264,0.384767,0.384776,0.383702"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.342297,-0.344458,-0.348253,-0.349848,-0.350877,-0.351471,-0.351784"); } } internal_power () { when : "!CK & !D & SE & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.668957,5.657906,5.633395,5.627076,5.632503,5.660720,5.743642"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.455267,3.439829,3.421907,3.404243,3.400357,3.426118,3.516523"); } } internal_power () { when : "!CK & !D & SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.752583,5.741405,5.722979,5.711142,5.718562,5.749688,5.828404"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.617751,3.603655,3.584771,3.566088,3.564858,3.588014,3.669941"); } } internal_power () { when : "!CK & !D & SE & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.720003,5.709170,5.690517,5.679306,5.685509,5.716721,5.793903"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.470328,3.454055,3.435471,3.416874,3.414868,3.442095,3.525052"); } } internal_power () { when : "!CK & D & !SE & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.357179,0.360928,0.361577,0.361393,0.360713,0.361439,0.361001"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.249565,-0.272689,-0.302861,-0.317020,-0.324612,-0.329279,-0.332433"); } } internal_power () { when : "!CK & D & !SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.357853,0.361674,0.361645,0.362105,0.361322,0.362144,0.361566"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.248281,-0.270623,-0.300769,-0.314980,-0.322510,-0.326967,-0.330291"); } } internal_power () { when : "!CK & D & !SE & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.357094,0.360855,0.361499,0.360730,0.360550,0.361373,0.360898"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.249791,-0.272889,-0.303061,-0.317221,-0.324816,-0.329486,-0.332645"); } } internal_power () { when : "!CK & D & SE & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.658063,5.646902,5.622453,5.615104,5.620180,5.647768,5.726752"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.457870,3.441532,3.423877,3.407113,3.402805,3.429074,3.522473"); } } internal_power () { when : "!CK & D & SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.742005,5.730334,5.711097,5.698965,5.704361,5.732397,5.814317"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.622946,3.606544,3.587834,3.570675,3.566986,3.592688,3.676148"); } } internal_power () { when : "!CK & D & SE & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.709187,5.697620,5.680536,5.667356,5.670054,5.700110,5.779923"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.473215,3.456587,3.438718,3.422241,3.414963,3.444104,3.531281"); } } internal_power () { when : "CK & !D & !SE & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.415180,0.397395,0.389263,0.386741,0.384280,0.384248,0.383221"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.343592,-0.345459,-0.349437,-0.351169,-0.352085,-0.352822,-0.353137"); } } internal_power () { when : "CK & !D & !SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.412327,0.397819,0.390289,0.387160,0.384660,0.384666,0.383588"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.342242,-0.344403,-0.348199,-0.349796,-0.350832,-0.351432,-0.351708"); } } internal_power () { when : "CK & !D & !SE & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.412021,0.397516,0.389338,0.386861,0.384358,0.384383,0.383304"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.343387,-0.345542,-0.349336,-0.350928,-0.351955,-0.352542,-0.352800"); } } internal_power () { when : "CK & !D & SE & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.446488,2.435920,2.414738,2.404897,2.413744,2.458111,2.550207"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.865419,0.849076,0.832284,0.819203,0.817139,0.843971,0.926255"); } } internal_power () { when : "CK & !D & SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.484464,2.472492,2.454796,2.442673,2.450958,2.499519,2.587956"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.832424,0.817036,0.799821,0.786681,0.786228,0.817230,0.895641"); } } internal_power () { when : "CK & !D & SE & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.446461,2.434631,2.413777,2.404026,2.412870,2.458590,2.549503"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.865941,0.849400,0.832586,0.819763,0.817532,0.847546,0.921720"); } } internal_power () { when : "CK & D & !SE & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.357792,0.361578,0.361675,0.362008,0.361315,0.361974,0.361508"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.247518,-0.269947,-0.300085,-0.314293,-0.321827,-0.326292,-0.329627"); } } internal_power () { when : "CK & D & !SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.357733,0.361544,0.362168,0.361370,0.361181,0.362006,0.361487"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.248809,-0.271178,-0.301312,-0.315512,-0.323033,-0.327477,-0.330783"); } } internal_power () { when : "CK & D & !SE & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.356594,0.360357,0.360422,0.360785,0.360066,0.360772,0.360260"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.250168,-0.273273,-0.303437,-0.317593,-0.325189,-0.329861,-0.333027"); } } internal_power () { when : "CK & D & SE & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.433447,2.423733,2.403462,2.392680,2.401190,2.445386,2.536471"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.818708,0.802699,0.785987,0.773778,0.772902,0.799651,0.882754"); } } internal_power () { when : "CK & D & SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.473162,2.461376,2.443259,2.430848,2.438756,2.485132,2.574486"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.834996,0.818404,0.802381,0.789254,0.788722,0.818728,0.902542"); } } internal_power () { when : "CK & D & SE & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.434841,2.423705,2.403391,2.392649,2.401113,2.448286,2.536428"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.868513,0.851997,0.835142,0.822174,0.820022,0.849136,0.929013"); } } } pin (SN) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 2.213987; fall_capacitance : 2.179303; rise_capacitance : 2.213987; timing () { related_pin : "CK"; timing_type : recovery_rising; rise_constraint(Recovery_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.235426,-0.291244,-0.365937", \ "-0.236871,-0.292751,-0.366804", \ "-0.191937,-0.252853,-0.323768"); } } timing () { related_pin : "CK"; timing_type : removal_rising; rise_constraint(Removal_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.693455,0.723394,0.777206", \ "0.831232,0.861624,0.914507", \ "1.315792,1.345960,1.401506"); } } timing () { related_pin : "SN"; timing_type : min_pulse_width; fall_constraint(Pulse_width_3) { index_1 ("0.00231025,0.112657,0.500000"); values ("0.719114,0.768813,1.143177"); } } internal_power () { when : "!CK & !D & !SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.927886,0.930605,0.932185,0.934505,0.934694,0.935153,0.933729"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.560395,-0.565303,-0.575112,-0.580523,-0.587621,-0.579238,-0.585889"); } } internal_power () { when : "!CK & !D & !SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.927910,0.930641,0.932215,0.934535,0.934697,0.935192,0.933730"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.560377,-0.565259,-0.575068,-0.580478,-0.587577,-0.579193,-0.585845"); } } internal_power () { when : "!CK & !D & SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.927856,0.930563,0.932152,0.934471,0.934691,0.935108,0.933727"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.560414,-0.565351,-0.575160,-0.580571,-0.587670,-0.579286,-0.585937"); } } internal_power () { when : "!CK & !D & SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.929128,0.932708,0.934688,0.936010,0.935608,0.935518,0.933681"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.635831,-0.632353,-0.637168,-0.638297,-0.642497,-0.640869,-0.639202"); } } internal_power () { when : "!CK & D & !SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.929172,0.932769,0.934738,0.936061,0.935613,0.935580,0.933684"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.635799,-0.632278,-0.637093,-0.638222,-0.642422,-0.640860,-0.639128"); } } internal_power () { when : "!CK & D & !SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.929163,0.932758,0.934728,0.936051,0.935613,0.935571,0.933684"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.635806,-0.632293,-0.637108,-0.638237,-0.642437,-0.640809,-0.639142"); } } internal_power () { when : "!CK & D & SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.927889,0.930611,0.932191,0.934510,0.934694,0.935160,0.933729"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.560390,-0.565293,-0.575102,-0.580512,-0.587611,-0.579228,-0.585879"); } } internal_power () { when : "!CK & D & SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.929116,0.932692,0.934675,0.935996,0.935607,0.935501,0.933680"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.635839,-0.632373,-0.637188,-0.638317,-0.642517,-0.640889,-0.639222"); } } internal_power () { when : "CK & !D & !SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.947249,0.943449,0.941346,0.943418,0.942081,0.941803,0.940109"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.632750,-0.636409,-0.641495,-0.644666,-0.641186,-0.639242,-0.637810"); } } internal_power () { when : "CK & !D & !SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.947039,0.943252,0.941114,0.943222,0.941864,0.941632,0.939914"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.633658,-0.637291,-0.642374,-0.645543,-0.642060,-0.640108,-0.638665"); } } internal_power () { when : "CK & !D & SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.947034,0.943308,0.941328,0.941934,0.942059,0.942067,0.940108"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.632587,-0.636164,-0.641405,-0.644572,-0.640833,-0.639514,-0.637760"); } } internal_power () { when : "CK & !D & SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.946236,0.942402,0.940277,0.940795,0.941005,0.940630,0.938949"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.633736,-0.637538,-0.642593,-0.645759,-0.642417,-0.640229,-0.638876"); } } internal_power () { when : "CK & D & !SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.947394,0.943667,0.941433,0.942304,0.942298,0.942025,0.940397"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.630807,-0.634437,-0.639656,-0.642139,-0.639227,-0.637829,-0.638535"); } } internal_power () { when : "CK & D & !SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.946924,0.943103,0.940926,0.941479,0.941644,0.941306,0.939559"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.631862,-0.635628,-0.640684,-0.643851,-0.640510,-0.638355,-0.636986"); } } internal_power () { when : "CK & D & SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.947084,0.943289,0.941181,0.943265,0.941930,0.941982,0.939988"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.633605,-0.637258,-0.642342,-0.645511,-0.642031,-0.639980,-0.638628"); } } internal_power () { when : "CK & D & SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.946223,0.942385,0.940277,0.940778,0.941004,0.940612,0.938947"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.633761,-0.637564,-0.642627,-0.642846,-0.642327,-0.640066,-0.640618"); } } } pin (CK) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock : true; capacitance : 0.920808; fall_capacitance : 0.830489; rise_capacitance : 0.920808; timing () { related_pin : "CK"; timing_type : min_pulse_width; when : "SN"; sdf_cond : "SN === 1'b1"; fall_constraint(Pulse_width_3) { index_1 ("0.00231025,0.112657,0.500000"); values ("0.287140,0.293694,0.500500"); } rise_constraint(Pulse_width_3) { index_1 ("0.00231025,0.112657,0.500000"); values ("0.348807,0.352036,0.500500"); } } internal_power () { when : "!D & !SE & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.589654,5.563092,5.533764,5.550748,5.632243,5.789439,6.022351"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("12.881570,12.854040,12.610690,12.419600,12.379920,12.498210,12.766120"); } } internal_power () { when : "!D & !SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.890123,2.866060,2.837894,2.853662,2.938097,3.091675,3.311714"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.550063,2.534369,2.498460,2.493351,2.553068,2.678675,2.886939"); } } internal_power () { when : "!D & !SE & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.689039,5.660929,5.631741,5.648594,5.732828,5.891969,6.124357"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "!D & !SE & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.589672,5.563143,5.533771,5.550792,5.632128,5.789479,6.022359"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("12.881610,12.854100,12.610760,12.419660,12.377460,12.498270,12.766180"); } } internal_power () { when : "!D & !SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.890143,2.866099,2.837927,2.853696,2.938104,3.091718,3.311719"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.550063,2.534395,2.498496,2.493390,2.553094,2.678715,2.886963"); } } internal_power () { when : "!D & !SE & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.689077,5.661258,5.631809,5.648677,5.732922,5.891938,6.124428"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "!D & SE & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.589575,5.563008,5.533760,5.550665,5.632133,5.789332,6.022343"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("12.881670,12.854100,12.610730,12.419610,12.379920,12.498190,12.766090"); } } internal_power () { when : "!D & SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.890068,2.865942,2.837762,2.853605,2.938029,3.091595,3.311711"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.550057,2.534301,2.498407,2.493307,2.553053,2.678610,2.886850"); } } internal_power () { when : "!D & SE & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.688983,5.660853,5.631603,5.648448,5.732748,5.891713,6.124288"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "!D & SE & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.000435,2.974431,2.946893,2.965363,3.048450,3.203864,3.426017"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.441103,2.427718,2.391619,2.384626,2.443166,2.565478,2.774756"); } } internal_power () { when : "!D & SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.569313,5.541180,5.517341,5.533907,5.615411,5.764242,5.995246"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "!D & SE & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.001564,2.973138,2.945272,2.964853,3.048303,3.204885,3.431934"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.450981,2.437667,2.402873,2.394854,2.454245,2.582802,2.784693"); } } internal_power () { when : "D & !SE & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.000484,2.974492,2.946941,2.965412,3.048450,3.203927,3.426040"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.440712,2.427746,2.391699,2.384694,2.443246,2.565552,2.774812"); } } internal_power () { when : "D & !SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.537698,5.506621,5.482959,5.499011,5.586664,5.731952,5.961987"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & !SE & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.001609,2.973206,2.945318,2.964851,3.048161,3.204945,3.431951"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.451563,2.437709,2.402906,2.394920,2.454325,2.582860,2.784770"); } } internal_power () { when : "D & !SE & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.000470,2.974476,2.946930,2.965401,3.048448,3.203913,3.426037"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.440707,2.427732,2.391684,2.384679,2.443231,2.565537,2.774798"); } } internal_power () { when : "D & !SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.538541,5.507459,5.483801,5.499855,5.587518,5.732813,5.962861"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & !SE & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.001595,2.973190,2.945307,2.964850,3.048297,3.204930,3.431949"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.451562,2.437694,2.402891,2.394905,2.454311,2.582845,2.784756"); } } internal_power () { when : "D & SE & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.589614,5.563073,5.534128,5.550715,5.632038,5.789396,6.022355"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("12.881730,12.854190,12.610830,12.419710,12.376250,12.498280,12.766160"); } } internal_power () { when : "D & SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.890107,2.866003,2.837815,2.853658,2.938045,3.091660,3.311727"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.550081,2.534377,2.498472,2.493368,2.553126,2.678686,2.886916"); } } internal_power () { when : "D & SE & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.689050,5.660819,5.632193,5.648557,5.732866,5.891818,6.124391"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & SE & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.000419,2.974410,2.946876,2.965346,3.048444,3.203844,3.426011"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.441093,2.427699,2.391599,2.384606,2.443146,2.565459,2.774737"); } } internal_power () { when : "D & SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.569412,5.541283,5.517430,5.533988,5.615502,5.764348,5.995334"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & SE & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.001548,2.973118,2.945255,2.964849,3.048161,3.204864,3.427785"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.450972,2.437648,2.402853,2.394833,2.454226,2.582782,2.784199"); } } } pin (Q) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 119.165300; function : "IQ"; timing () { related_pin : "CK"; timing_type : rising_edge; timing_sense : non_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.307679,0.334805,0.356564,0.389354,0.436908,0.504428,0.602769", \ "0.312967,0.340104,0.361884,0.394618,0.442153,0.509759,0.608044", \ "0.331053,0.358165,0.379977,0.412714,0.460270,0.527879,0.626199", \ "0.354908,0.382003,0.403764,0.436556,0.484129,0.551692,0.650041", \ "0.378083,0.405189,0.426767,0.459190,0.506910,0.574597,0.673001", \ "0.397790,0.424963,0.446729,0.479325,0.527125,0.595213,0.693158", \ "0.413575,0.440832,0.462458,0.495738,0.543382,0.610568,0.709544"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.212795,0.235419,0.255860,0.291975,0.358674,0.487297,0.742075", \ "0.217982,0.240619,0.261060,0.297182,0.363898,0.492509,0.747294", \ "0.235812,0.258435,0.278879,0.315001,0.381708,0.510307,0.765087", \ "0.260382,0.283003,0.303397,0.339484,0.406204,0.534841,0.789624", \ "0.284669,0.307271,0.327490,0.363704,0.430442,0.559115,0.813729", \ "0.306459,0.329084,0.349547,0.385979,0.452760,0.581368,0.835721", \ "0.325089,0.347726,0.368384,0.404416,0.471175,0.599777,0.854557"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.055006,0.063486,0.070989,0.082979,0.101837,0.129394,0.181285", \ "0.055008,0.063487,0.070991,0.082982,0.101839,0.129386,0.181285", \ "0.055020,0.063503,0.070996,0.082988,0.101846,0.129393,0.181289", \ "0.055054,0.063517,0.071018,0.083008,0.101862,0.129395,0.181294", \ "0.055061,0.063532,0.071020,0.083006,0.101847,0.129388,0.181284", \ "0.055108,0.063598,0.071099,0.083093,0.101927,0.129427,0.181299", \ "0.055191,0.063650,0.071159,0.083137,0.101968,0.129463,0.181331"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.024094,0.037103,0.051054,0.079317,0.137411,0.256918,0.499702", \ "0.024099,0.037103,0.051052,0.079314,0.137413,0.256919,0.499700", \ "0.024095,0.037098,0.051050,0.079315,0.137412,0.256917,0.499701", \ "0.024082,0.037093,0.051040,0.079301,0.137409,0.256915,0.499700", \ "0.024077,0.037091,0.051044,0.079304,0.137407,0.256912,0.499701", \ "0.024087,0.037095,0.051044,0.079295,0.137405,0.256918,0.499701", \ "0.024109,0.037111,0.051057,0.079308,0.137409,0.256917,0.499703"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & !D & !SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.311993,0.340301,0.365057,0.406791,0.478663,0.609518,0.863868", \ "0.316499,0.344822,0.369578,0.411314,0.483197,0.614063,0.868407", \ "0.334376,0.362688,0.387455,0.429178,0.501041,0.631908,0.886258", \ "0.371453,0.399771,0.424484,0.466169,0.538013,0.668852,0.923181", \ "0.425604,0.453470,0.477661,0.518726,0.589981,0.720277,0.974374", \ "0.488121,0.515298,0.538896,0.578930,0.648953,0.778332,1.031970", \ "0.555675,0.582620,0.605736,0.644643,0.713306,0.841442,1.094221"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.040278,0.055171,0.069732,0.097110,0.151672,0.266056,0.505531", \ "0.040293,0.055179,0.069731,0.097104,0.151676,0.266089,0.505527", \ "0.040296,0.055175,0.069729,0.097106,0.151673,0.266071,0.505529", \ "0.040291,0.055176,0.069733,0.097112,0.151678,0.266049,0.505391", \ "0.040308,0.055175,0.069739,0.097116,0.151683,0.266053,0.505375", \ "0.040333,0.055231,0.069782,0.097154,0.151713,0.266086,0.505368", \ "0.040475,0.055353,0.069831,0.097215,0.151816,0.266125,0.505426"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & !D & !SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.311992,0.340301,0.365056,0.406790,0.478669,0.609518,0.863868", \ "0.316507,0.344822,0.369578,0.411316,0.483198,0.614063,0.868407", \ "0.334376,0.362688,0.387455,0.429178,0.501042,0.631909,0.886258", \ "0.371452,0.399771,0.424483,0.466169,0.538014,0.668850,0.923181", \ "0.425603,0.453470,0.477660,0.518727,0.589981,0.720276,0.974374", \ "0.488112,0.515301,0.538896,0.578930,0.648954,0.778332,1.031970", \ "0.555675,0.582620,0.605737,0.644643,0.713307,0.841442,1.094221"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.040279,0.055171,0.069732,0.097104,0.151664,0.266052,0.505530", \ "0.040284,0.055179,0.069731,0.097104,0.151676,0.266089,0.505527", \ "0.040296,0.055175,0.069729,0.097106,0.151673,0.266072,0.505529", \ "0.040291,0.055176,0.069733,0.097112,0.151678,0.266049,0.505391", \ "0.040308,0.055175,0.069739,0.097116,0.151683,0.266053,0.505375", \ "0.040336,0.055234,0.069782,0.097154,0.151713,0.266086,0.505368", \ "0.040475,0.055353,0.069831,0.097215,0.151816,0.266125,0.505426"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & !D & SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.311992,0.340301,0.365055,0.406791,0.478663,0.609518,0.863867", \ "0.316498,0.344822,0.369576,0.411315,0.483197,0.614062,0.868406", \ "0.334375,0.362687,0.387454,0.429178,0.501040,0.631908,0.886257", \ "0.371452,0.399770,0.424483,0.466169,0.538013,0.668849,0.923180", \ "0.425603,0.453469,0.477660,0.518726,0.589980,0.720275,0.974373", \ "0.488112,0.515297,0.538896,0.578930,0.648953,0.778331,1.031969", \ "0.555675,0.582620,0.605736,0.644643,0.713306,0.841442,1.094220"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.040279,0.055171,0.069732,0.097103,0.151672,0.266056,0.505531", \ "0.040294,0.055179,0.069731,0.097104,0.151676,0.266089,0.505527", \ "0.040296,0.055175,0.069729,0.097106,0.151673,0.266071,0.505529", \ "0.040291,0.055176,0.069733,0.097112,0.151678,0.266049,0.505391", \ "0.040308,0.055175,0.069738,0.097116,0.151683,0.266053,0.505375", \ "0.040336,0.055231,0.069782,0.097154,0.151713,0.266086,0.505368", \ "0.040475,0.055353,0.069831,0.097216,0.151816,0.266125,0.505426"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & !D & SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.311950,0.340264,0.365026,0.406770,0.478652,0.609526,0.863892", \ "0.316468,0.344791,0.369550,0.411295,0.483193,0.614053,0.868421", \ "0.334331,0.362651,0.387423,0.429162,0.501038,0.631918,0.886280", \ "0.371330,0.399673,0.424438,0.466124,0.537984,0.668836,0.923172", \ "0.425408,0.453331,0.477549,0.518595,0.589841,0.720180,0.974207", \ "0.487932,0.515132,0.538731,0.578720,0.648727,0.778253,1.031912", \ "0.555193,0.582452,0.605552,0.644385,0.713055,0.841201,1.093988"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.040295,0.055178,0.069743,0.097123,0.151706,0.266101,0.505584", \ "0.040286,0.055182,0.069740,0.097123,0.151693,0.266097,0.505582", \ "0.040303,0.055182,0.069739,0.097122,0.151705,0.266097,0.505574", \ "0.040295,0.055183,0.069740,0.097128,0.151708,0.266090,0.505441", \ "0.040310,0.055186,0.069747,0.097131,0.151707,0.266135,0.505422", \ "0.040335,0.055237,0.069790,0.097167,0.151733,0.266122,0.505598", \ "0.040490,0.055358,0.069840,0.097230,0.151835,0.266154,0.505474"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & D & !SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.311950,0.340264,0.365026,0.406770,0.478652,0.609526,0.863892", \ "0.316468,0.344791,0.369550,0.411295,0.483193,0.614053,0.868422", \ "0.334331,0.362651,0.387423,0.429162,0.501037,0.631918,0.886280", \ "0.371330,0.399679,0.424438,0.466124,0.537984,0.668836,0.923172", \ "0.425408,0.453331,0.477550,0.518595,0.589841,0.720180,0.974207", \ "0.487940,0.515132,0.538731,0.578720,0.648728,0.778253,1.031912", \ "0.555193,0.582452,0.605552,0.644385,0.713057,0.841201,1.093989"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.040295,0.055178,0.069743,0.097122,0.151706,0.266101,0.505584", \ "0.040286,0.055182,0.069740,0.097123,0.151693,0.266097,0.505582", \ "0.040303,0.055182,0.069739,0.097122,0.151705,0.266097,0.505574", \ "0.040295,0.055182,0.069740,0.097128,0.151708,0.266090,0.505441", \ "0.040310,0.055186,0.069747,0.097131,0.151707,0.266135,0.505422", \ "0.040334,0.055237,0.069790,0.097167,0.151733,0.266122,0.505598", \ "0.040490,0.055358,0.069840,0.097230,0.151837,0.266154,0.505474"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & D & !SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.311950,0.340264,0.365026,0.406770,0.478652,0.609526,0.863892", \ "0.316456,0.344791,0.369550,0.411295,0.483193,0.614053,0.868421", \ "0.334331,0.362651,0.387423,0.429162,0.501037,0.631918,0.886280", \ "0.371330,0.399673,0.424438,0.466124,0.537984,0.668836,0.923172", \ "0.425408,0.453331,0.477550,0.518595,0.589841,0.720180,0.974207", \ "0.487940,0.515132,0.538731,0.578720,0.648728,0.778253,1.031912", \ "0.555193,0.582452,0.605552,0.644385,0.713057,0.841201,1.093988"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.040295,0.055178,0.069743,0.097123,0.151706,0.266100,0.505584", \ "0.040300,0.055182,0.069740,0.097123,0.151693,0.266097,0.505582", \ "0.040303,0.055182,0.069739,0.097122,0.151705,0.266097,0.505574", \ "0.040295,0.055183,0.069740,0.097128,0.151708,0.266090,0.505441", \ "0.040310,0.055186,0.069747,0.097131,0.151707,0.266135,0.505422", \ "0.040334,0.055237,0.069794,0.097167,0.151733,0.266122,0.505598", \ "0.040490,0.055358,0.069840,0.097230,0.151837,0.266154,0.505474"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & D & SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.311991,0.340300,0.365055,0.406789,0.478664,0.609517,0.863868", \ "0.316505,0.344821,0.369576,0.411314,0.483196,0.614062,0.868406", \ "0.334375,0.362686,0.387453,0.429177,0.501043,0.631908,0.886257", \ "0.371451,0.399769,0.424482,0.466168,0.538012,0.668849,0.923179", \ "0.425602,0.453468,0.477659,0.518726,0.589979,0.720275,0.974373", \ "0.488111,0.515299,0.538895,0.578929,0.648952,0.778331,1.031969", \ "0.555674,0.582619,0.605735,0.644642,0.713306,0.841441,1.094220"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.040279,0.055171,0.069732,0.097103,0.151665,0.266052,0.505531", \ "0.040284,0.055179,0.069730,0.097104,0.151676,0.266089,0.505527", \ "0.040296,0.055175,0.069729,0.097106,0.151677,0.266071,0.505529", \ "0.040291,0.055176,0.069733,0.097112,0.151678,0.266049,0.505391", \ "0.040308,0.055175,0.069739,0.097116,0.151683,0.266053,0.505375", \ "0.040336,0.055234,0.069782,0.097154,0.151713,0.266086,0.505368", \ "0.040475,0.055353,0.069831,0.097216,0.151814,0.266125,0.505426"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & D & SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.311950,0.340264,0.365026,0.406770,0.478652,0.609526,0.863892", \ "0.316456,0.344791,0.369550,0.411295,0.483193,0.614053,0.868422", \ "0.334331,0.362651,0.387423,0.429162,0.501037,0.631918,0.886280", \ "0.371330,0.399674,0.424437,0.466124,0.537984,0.668836,0.923172", \ "0.425408,0.453331,0.477549,0.518595,0.589841,0.720180,0.974207", \ "0.487932,0.515132,0.538731,0.578720,0.648727,0.778253,1.031912", \ "0.555193,0.582452,0.605552,0.644385,0.713057,0.841211,1.093989"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.040295,0.055178,0.069743,0.097122,0.151706,0.266101,0.505584", \ "0.040300,0.055182,0.069740,0.097123,0.151693,0.266097,0.505582", \ "0.040303,0.055182,0.069739,0.097122,0.151705,0.266097,0.505574", \ "0.040295,0.055185,0.069740,0.097128,0.151708,0.266090,0.505441", \ "0.040310,0.055186,0.069747,0.097131,0.151707,0.266135,0.505422", \ "0.040335,0.055237,0.069794,0.097167,0.151733,0.266122,0.505598", \ "0.040490,0.055358,0.069840,0.097230,0.151837,0.266179,0.505474"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & !D & !SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.867412,0.892815,0.914542,0.951265,1.017503,1.145669,1.402000", \ "0.870398,0.896881,0.917725,0.954689,1.020836,1.149689,1.406127", \ "0.890161,0.915585,0.936442,0.973463,1.039470,1.168158,1.424843", \ "0.923411,0.948906,0.969660,1.006661,1.072961,1.201589,1.458186", \ "0.970743,0.995128,1.017842,1.053760,1.120684,1.248801,1.505439", \ "1.033782,1.060286,1.081888,1.117965,1.184568,1.312953,1.569596", \ "1.117948,1.143552,1.165454,1.202198,1.267966,1.396599,1.653432"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.031130,0.043225,0.055929,0.081956,0.137929,0.257132,0.500378", \ "0.031130,0.043225,0.055946,0.081969,0.137950,0.257144,0.500529", \ "0.031124,0.043226,0.055949,0.081989,0.137940,0.257192,0.500522", \ "0.031119,0.043241,0.055931,0.081989,0.137938,0.257141,0.500380", \ "0.031107,0.043253,0.055930,0.081965,0.137937,0.257141,0.500326", \ "0.031143,0.043227,0.055929,0.081958,0.137927,0.257137,0.500529", \ "0.031133,0.043250,0.055941,0.081974,0.137937,0.257186,0.500365"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & !D & !SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.867412,0.892815,0.914542,0.951238,1.017504,1.145670,1.402059", \ "0.870398,0.896881,0.917725,0.954688,1.020836,1.149688,1.406127", \ "0.890161,0.915584,0.936441,0.973463,1.039470,1.168157,1.424843", \ "0.923410,0.948906,0.969613,1.006661,1.073544,1.201588,1.458186", \ "0.970743,0.995128,1.017842,1.053760,1.120684,1.248801,1.505439", \ "1.033739,1.060286,1.081888,1.117965,1.184568,1.312952,1.569596", \ "1.117948,1.143551,1.165301,1.202198,1.267966,1.396599,1.653432"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.031130,0.043225,0.055929,0.081952,0.137930,0.257132,0.500425", \ "0.031130,0.043225,0.055946,0.081969,0.137950,0.257144,0.500529", \ "0.031124,0.043226,0.055949,0.081989,0.137940,0.257192,0.500522", \ "0.031119,0.043241,0.055936,0.081989,0.137949,0.257141,0.500380", \ "0.031107,0.043253,0.055930,0.081965,0.137937,0.257141,0.500326", \ "0.031128,0.043227,0.055929,0.081958,0.137927,0.257137,0.500529", \ "0.031133,0.043250,0.055944,0.081974,0.137937,0.257186,0.500365"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & !D & SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.867412,0.892815,0.914542,0.951266,1.017504,1.145657,1.402059", \ "0.871469,0.896881,0.917724,0.954687,1.020835,1.149688,1.406127", \ "0.890160,0.915584,0.936441,0.973463,1.039469,1.168157,1.424843", \ "0.923410,0.948906,0.969660,1.006661,1.073543,1.201588,1.458185", \ "0.970742,0.995127,1.017841,1.053760,1.120683,1.248801,1.505439", \ "1.033781,1.060236,1.081887,1.117965,1.184567,1.312952,1.569596", \ "1.117948,1.143551,1.165300,1.202198,1.267966,1.396599,1.653432"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.031130,0.043225,0.055929,0.081957,0.137930,0.257143,0.500425", \ "0.031129,0.043225,0.055946,0.081968,0.137950,0.257144,0.500529", \ "0.031124,0.043226,0.055949,0.081989,0.137940,0.257192,0.500522", \ "0.031119,0.043241,0.055931,0.081989,0.137949,0.257141,0.500380", \ "0.031107,0.043253,0.055930,0.081965,0.137937,0.257141,0.500326", \ "0.031143,0.043224,0.055929,0.081958,0.137927,0.257137,0.500529", \ "0.031133,0.043250,0.055944,0.081974,0.137937,0.257186,0.500365"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & !D & SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.867826,0.892184,0.914925,0.951578,1.017086,1.145815,1.402074", \ "0.871884,0.897277,0.918114,0.955027,1.021088,1.149828,1.406119", \ "0.890540,0.915974,0.936787,0.973768,1.040496,1.168278,1.424806", \ "0.923748,0.949226,0.969906,1.006922,1.073154,1.201678,1.458138", \ "0.971006,0.995249,1.018074,1.053957,1.120822,1.248830,1.505357", \ "1.033955,1.060448,1.082036,1.118084,1.184636,1.313080,1.569497", \ "1.118023,1.143617,1.165361,1.202229,1.267956,1.396520,1.653325"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.031128,0.043252,0.055937,0.081956,0.137952,0.257134,0.500532", \ "0.031136,0.043234,0.055955,0.081983,0.137954,0.257142,0.500529", \ "0.031134,0.043241,0.055956,0.081992,0.137947,0.257194,0.500431", \ "0.031126,0.043246,0.055942,0.081993,0.137941,0.257142,0.500384", \ "0.031113,0.043252,0.055937,0.081967,0.137939,0.257141,0.500330", \ "0.031149,0.043232,0.055933,0.081962,0.137929,0.257144,0.500551", \ "0.031137,0.043254,0.055947,0.081976,0.137938,0.257187,0.500495"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & D & !SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.867842,0.892199,0.914940,0.951593,1.017097,1.145809,1.402071", \ "0.871901,0.897292,0.918129,0.955038,1.021099,1.149835,1.406124", \ "0.890555,0.915991,0.936801,0.973780,1.040507,1.168285,1.424809", \ "0.923762,0.949240,0.969965,1.006934,1.073163,1.201685,1.458143", \ "0.971018,0.995260,1.018086,1.053968,1.120831,1.248836,1.505361", \ "1.033921,1.060408,1.082045,1.118093,1.184644,1.313085,1.569500", \ "1.118031,1.143625,1.165369,1.202236,1.267962,1.396525,1.653328"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.031129,0.043252,0.055938,0.081956,0.137952,0.257144,0.500509", \ "0.031137,0.043234,0.055956,0.081982,0.137954,0.257142,0.500529", \ "0.031134,0.043242,0.055956,0.081992,0.137947,0.257194,0.500431", \ "0.031126,0.043247,0.055940,0.081993,0.137941,0.257142,0.500384", \ "0.031113,0.043253,0.055937,0.081967,0.137939,0.257141,0.500330", \ "0.031135,0.043230,0.055934,0.081962,0.137929,0.257144,0.500551", \ "0.031137,0.043254,0.055947,0.081976,0.137938,0.257187,0.500495"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & D & !SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.867841,0.892199,0.914939,0.951620,1.017775,1.145812,1.402075", \ "0.871900,0.897291,0.918128,0.955038,1.021099,1.149835,1.406124", \ "0.890555,0.915991,0.936800,0.973780,1.040507,1.168285,1.424810", \ "0.923761,0.949239,0.969964,1.006933,1.073163,1.201684,1.458142", \ "0.971018,0.995260,1.018085,1.053967,1.120831,1.248836,1.505362", \ "1.033920,1.060457,1.082045,1.118092,1.184644,1.313085,1.569500", \ "1.118031,1.143624,1.165516,1.202235,1.267962,1.396525,1.653329"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.031129,0.043252,0.055938,0.081968,0.137932,0.257141,0.500532", \ "0.031137,0.043234,0.055956,0.081982,0.137954,0.257142,0.500529", \ "0.031134,0.043242,0.055956,0.081992,0.137947,0.257194,0.500431", \ "0.031126,0.043247,0.055940,0.081993,0.137941,0.257142,0.500384", \ "0.031113,0.043253,0.055937,0.081967,0.137939,0.257141,0.500330", \ "0.031135,0.043232,0.055934,0.081962,0.137929,0.257144,0.500551", \ "0.031137,0.043254,0.055944,0.081976,0.137938,0.257187,0.500495"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & D & SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.867412,0.892815,0.914541,0.951263,1.016819,1.145670,1.402062", \ "0.871469,0.896881,0.917724,0.954688,1.020835,1.149688,1.406127", \ "0.890160,0.915584,0.936441,0.973463,1.039469,1.168157,1.424843", \ "0.923410,0.948906,0.969660,1.006661,1.073543,1.201588,1.458185", \ "0.970742,0.995127,1.017842,1.053760,1.120683,1.248801,1.505439", \ "1.033738,1.060236,1.081888,1.117965,1.184567,1.312952,1.569595", \ "1.117948,1.143551,1.165454,1.202198,1.267966,1.396599,1.653432"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.031130,0.043225,0.055929,0.081954,0.137951,0.257132,0.500425", \ "0.031129,0.043225,0.055946,0.081969,0.137950,0.257144,0.500529", \ "0.031124,0.043226,0.055949,0.081989,0.137940,0.257192,0.500522", \ "0.031119,0.043241,0.055931,0.081989,0.137949,0.257141,0.500380", \ "0.031107,0.043253,0.055930,0.081965,0.137937,0.257141,0.500326", \ "0.031128,0.043224,0.055929,0.081958,0.137927,0.257137,0.500529", \ "0.031133,0.043250,0.055941,0.081974,0.137937,0.257186,0.500365"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & D & SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.867820,0.892178,0.914919,0.951574,1.017077,1.145811,1.402066", \ "0.871878,0.897271,0.918108,0.955022,1.021084,1.149825,1.406119", \ "0.890535,0.915969,0.936782,0.973762,1.040493,1.168275,1.424804", \ "0.923742,0.949221,0.969948,1.006918,1.073150,1.201675,1.458137", \ "0.971001,0.995244,1.018070,1.053954,1.120819,1.248828,1.505357", \ "1.033907,1.060444,1.082032,1.118081,1.184633,1.313078,1.569497", \ "1.118020,1.143614,1.165351,1.202226,1.267954,1.396519,1.653325"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.031128,0.043252,0.055937,0.081956,0.137953,0.257134,0.500509", \ "0.031136,0.043234,0.055955,0.081983,0.137954,0.257142,0.500529", \ "0.031134,0.043241,0.055956,0.081992,0.137947,0.257194,0.500431", \ "0.031126,0.043246,0.055939,0.081993,0.137941,0.257142,0.500384", \ "0.031113,0.043252,0.055937,0.081967,0.137939,0.257141,0.500330", \ "0.031135,0.043232,0.055933,0.081962,0.137928,0.257144,0.500551", \ "0.031137,0.043254,0.055948,0.081976,0.137938,0.257187,0.500495"); } } internal_power () { related_pin : "CK"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("5.560558,5.545191,5.516010,5.493688,5.601542,5.673952,5.682395", \ "5.559709,5.542571,5.509020,5.511696,5.582749,5.660671,5.666573", \ "5.535284,5.519494,5.493092,5.468129,5.579392,5.630934,5.651794", \ "5.535016,5.511546,5.486233,5.493060,5.570852,5.640617,5.600290", \ "5.557591,5.540688,5.512757,5.520933,5.590999,5.665212,5.668921", \ "5.610587,5.601717,5.571329,5.565199,5.647004,5.723258,5.728898", \ "5.728304,5.713305,5.680929,5.672454,5.752255,5.836640,5.838581"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("5.127795,5.267822,5.354750,5.672003,5.809383,5.884214,5.921910", \ "5.121840,5.260671,5.350036,5.677581,5.822896,5.897816,5.924958", \ "5.104172,5.243198,5.330081,5.655219,5.799377,5.873899,5.907337", \ "5.096115,5.241211,5.329928,5.647907,5.813050,5.878629,5.909694", \ "5.125693,5.267485,5.354384,5.671828,5.848001,5.907881,5.893935", \ "5.195006,5.329792,5.421071,5.747368,5.912315,5.932629,6.000068", \ "5.311959,5.452275,5.541269,5.865247,5.987501,6.062242,6.090037"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & !SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("4.491843,4.592803,4.674651,4.761959,4.813662,4.861985,4.853463", \ "4.462544,4.572968,4.656176,4.745269,4.795484,4.776620,4.836826", \ "4.428928,4.533958,4.617727,4.708626,4.746252,4.796344,4.790181", \ "4.454916,4.541159,4.612776,4.693789,4.722908,4.778137,4.779706", \ "4.582399,4.629244,4.692899,4.756104,4.792994,4.855616,4.861728", \ "4.800500,4.809275,4.861924,4.898227,4.924498,4.994805,5.007449", \ "5.095445,5.089392,5.103564,5.134027,5.191038,5.206219,5.227153"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & !SE & SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("4.491667,4.592608,4.674452,4.738157,4.813480,4.861931,4.853162", \ "4.462295,4.572777,4.655975,4.745039,4.795227,4.776345,4.836529", \ "4.428752,4.533772,4.617530,4.708415,4.746010,4.796052,4.789875", \ "4.454744,4.540978,4.612587,4.693567,4.722670,4.777882,4.779399", \ "4.582236,4.629069,4.692722,4.755910,4.792760,4.855374,4.861444", \ "4.800332,4.809130,4.861755,4.898044,4.924291,4.994558,5.007168", \ "5.095336,5.089273,5.103430,5.133879,5.190876,5.206007,5.226897"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("4.492250,4.593238,4.675106,4.738845,4.814159,4.862502,4.853933", \ "4.462949,4.573395,4.656633,4.745735,4.795989,4.777126,4.837269", \ "4.429323,4.534379,4.618168,4.709074,4.746730,4.796846,4.790637", \ "4.455296,4.541561,4.613191,4.694229,4.723365,4.778638,4.780139", \ "4.582739,4.629602,4.693275,4.756485,4.793433,4.856047,4.862105", \ "4.800742,4.809569,4.862224,4.898552,4.924844,4.995177,5.007790", \ "5.095617,5.089583,5.103765,5.134258,5.191284,5.206509,5.227420"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & SE & SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("4.420021,4.528862,4.610385,4.673917,4.756961,4.796678,4.793070", \ "4.399943,4.510281,4.593266,4.682136,4.731929,4.713882,4.772453", \ "4.367079,4.472097,4.555697,4.646113,4.683364,4.733975,4.726911", \ "4.392646,4.479388,4.551059,4.635825,4.659009,4.714708,4.715823", \ "4.522288,4.569782,4.632138,4.695213,4.730658,4.792263,4.799480", \ "4.742059,4.751245,4.803386,4.838619,4.864797,4.934207,4.942758", \ "5.035574,5.021020,5.045330,5.075099,5.131783,5.146674,5.167336"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D & !SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("4.420169,4.529018,4.610571,4.674109,4.758381,4.796901,4.793297", \ "4.400091,4.510451,4.593439,4.682323,4.732138,4.714119,4.772719", \ "4.367228,4.472263,4.555875,4.646329,4.683602,4.734200,4.727137", \ "4.392790,4.479126,4.551238,4.636025,4.659227,4.714918,4.716044", \ "4.522421,4.569928,4.632296,4.695414,4.730825,4.792491,4.799721", \ "4.742204,4.751361,4.803517,4.838754,4.864974,4.934395,4.942961", \ "5.035667,5.021111,5.045437,5.075209,5.131985,5.146837,5.167502"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D & !SE & SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("4.420815,4.529707,4.611315,4.674907,4.759114,4.797876,4.794366", \ "4.400840,4.511146,4.594172,4.683116,4.733003,4.715099,4.773803", \ "4.367862,4.472949,4.556602,4.647129,4.684474,4.735161,4.728207", \ "4.393408,4.480215,4.551948,4.636791,4.660071,4.715860,4.717082", \ "4.523000,4.570552,4.632956,4.696131,4.731609,4.793394,4.800730", \ "4.742707,4.751899,4.804041,4.839383,4.865694,4.935219,4.943908", \ "5.036054,5.021532,5.045891,5.075726,5.132598,5.147559,5.168404"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D & SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("4.491688,4.592634,4.674472,4.738211,4.813434,4.861945,4.853001", \ "4.462317,4.572795,4.656003,4.745061,4.795243,4.776305,4.836390", \ "4.428771,4.533791,4.617548,4.708420,4.746047,4.796029,4.789751", \ "4.454762,4.540993,4.612596,4.693671,4.722656,4.777844,4.779262", \ "4.582244,4.629076,4.692721,4.755894,4.792755,4.855304,4.861278", \ "4.800326,4.809125,4.861746,4.898023,4.924250,4.994482,5.006992", \ "5.095304,5.089240,5.103394,5.133835,5.190832,5.205904,5.226697"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D & SE & SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("4.420675,4.529562,4.611137,4.674724,4.758930,4.797646,4.789535", \ "4.400698,4.510986,4.594003,4.682935,4.732811,4.714853,4.773510", \ "4.367716,4.472790,4.556431,4.646916,4.684246,4.734927,4.727945", \ "4.393269,4.479849,4.551776,4.636604,4.659850,4.715635,4.716846", \ "4.522868,4.570406,4.632799,4.695944,4.731437,4.793153,4.800471", \ "4.742557,4.751779,4.803908,4.839240,4.865508,4.935026,4.943694", \ "5.035951,5.021433,5.045780,5.075600,5.132416,5.146173,5.168168"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D & !SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("5.766190,5.958026,6.081659,6.170656,6.254856,6.341838,6.393559", \ "5.734368,5.931657,6.042775,6.140638,6.180450,6.311734,6.313945", \ "5.696927,5.883175,6.003669,6.092362,6.188966,6.269685,6.317446", \ "5.730230,5.900738,5.996814,6.110297,6.143275,6.231165,6.282442", \ "5.856368,5.992958,6.070724,6.159139,6.273983,6.299908,6.422993", \ "6.066030,6.171031,6.255849,6.300158,6.410718,6.498525,6.560868", \ "6.386995,6.444144,6.502350,6.549428,6.627647,6.704205,6.756417"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D & !SE & SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("5.765831,5.957662,6.081301,6.170496,6.254419,6.341507,6.391262", \ "5.734011,5.931294,6.042209,6.140278,6.180078,6.311360,6.313579", \ "5.696576,5.882827,6.003316,6.092012,6.188617,6.269321,6.317079", \ "5.729897,5.900407,5.997045,6.109957,6.205024,6.230806,6.282075", \ "5.856059,5.992647,6.070406,6.158826,6.273652,6.299576,6.422657", \ "6.065974,6.170754,6.255566,6.299863,6.410428,6.498211,6.560536", \ "6.386766,6.443907,6.503855,6.549175,6.627397,6.703918,6.756107"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D & SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("5.766985,5.958825,6.082443,6.171390,6.255501,6.342484,6.392140", \ "5.740112,5.932440,6.042583,6.141410,6.181198,6.312344,6.314465", \ "5.697690,5.883937,6.004424,6.093089,6.189664,6.270332,6.317965", \ "5.730948,5.901448,5.997521,6.110978,6.206013,6.231791,6.282942", \ "5.857007,5.993597,6.071362,6.159748,6.274595,6.300467,6.423419", \ "6.066562,6.172009,6.256384,6.300687,6.411222,6.499012,6.561260", \ "6.387386,6.444546,6.504496,6.549834,6.628035,6.704594,6.756735"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D & SE & SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("5.764901,5.952760,6.078945,6.168785,6.260644,6.343587,6.397863", \ "5.736795,5.928758,6.054090,6.138603,6.248601,6.320395,6.318728", \ "5.706224,5.893687,6.001439,6.090776,6.179890,6.271736,6.330527", \ "5.728834,5.898918,5.995858,6.109423,6.212394,6.233718,6.287688", \ "5.855741,5.992407,6.095655,6.159141,6.275221,6.303462,6.428827", \ "6.066473,6.184472,6.256461,6.301328,6.412968,6.500495,6.494136", \ "6.388674,6.445892,6.506029,6.551904,6.631073,6.709355,6.764750"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D & !SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("5.765057,5.952871,6.079072,6.168951,6.260841,6.353322,6.401049", \ "5.736944,5.928882,6.050360,6.138782,6.248772,6.320992,6.318993", \ "5.706370,5.893802,6.001568,6.090947,6.180096,6.271954,6.330766", \ "5.728970,5.899037,5.995320,6.109586,6.212607,6.233905,6.287935", \ "5.855869,5.992524,6.095795,6.159298,6.275375,6.303672,6.429095", \ "6.066828,6.185002,6.256566,6.301438,6.413146,6.500684,6.494321", \ "6.388775,6.445976,6.506132,6.552019,6.631241,6.709523,6.764949"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D & !SE & SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("5.766485,5.954170,6.080381,6.170037,6.255769,6.348243,6.399329", \ "5.738220,5.930174,6.051621,6.140063,6.250041,6.322213,6.320253", \ "5.707462,5.895075,6.002837,6.092228,6.181373,6.273211,6.332004", \ "5.730158,5.900235,5.996530,6.110810,6.213842,6.235102,6.289146", \ "5.856958,5.993633,6.096926,6.160444,6.276522,6.304834,6.430279", \ "6.067794,6.185556,6.257565,6.302452,6.414202,6.501760,6.495437", \ "6.389584,6.446804,6.505275,6.552886,6.632167,6.710496,6.765996"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D & SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("5.765876,5.957704,6.081329,6.170312,6.260941,6.341433,6.391082", \ "5.739011,5.931335,6.041341,6.140304,6.180097,6.311261,6.313403", \ "5.696616,5.882858,6.003340,6.092015,6.188600,6.269265,6.316903", \ "5.729924,5.900427,5.996493,6.109956,6.204991,6.230743,6.281894", \ "5.856072,5.992655,6.070405,6.158801,6.273620,6.299481,6.422433", \ "6.065960,6.171173,6.255540,6.299829,6.410352,6.498095,6.560309", \ "6.386713,6.443852,6.502044,6.549100,6.627281,6.703771,6.755848"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D & SE & SI"; rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("5.766038,5.954115,6.080316,6.170139,6.262061,6.344846,6.400668", \ "5.738143,5.930113,6.054798,6.139945,6.249901,6.321415,6.319977", \ "5.707385,5.894944,6.002758,6.092077,6.181188,6.272978,6.331746", \ "5.730070,5.900178,5.996430,6.110674,6.213644,6.234912,6.288899", \ "5.856870,5.993549,6.096824,6.160296,6.276377,6.304624,6.429999", \ "6.067699,6.185479,6.257489,6.302346,6.414008,6.501571,6.495247", \ "6.389494,6.446732,6.506935,6.552777,6.631998,6.710327,6.765787"); } fall_power(scalar) {values ("0.0"); } } } pin (QN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 120.850000; function : "IQN"; timing () { related_pin : "CK"; timing_type : rising_edge; timing_sense : non_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.303191,0.312504,0.319948,0.332607,0.354499,0.393217,0.465032", \ "0.308375,0.317708,0.325148,0.337802,0.359726,0.398432,0.470257", \ "0.326201,0.335519,0.342959,0.355631,0.377537,0.416233,0.488052", \ "0.350762,0.360081,0.367479,0.380099,0.402032,0.440765,0.512587", \ "0.375037,0.384347,0.391569,0.404333,0.426277,0.465040,0.536698", \ "0.396837,0.406151,0.413610,0.426585,0.448562,0.487254,0.558644", \ "0.415473,0.424799,0.432467,0.445040,0.467003,0.505703,0.577516"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.473912,0.487457,0.497188,0.517720,0.570395,0.690496,0.941286", \ "0.479199,0.492761,0.502530,0.522987,0.575650,0.695838,0.946552", \ "0.497302,0.510821,0.520635,0.541140,0.593773,0.713958,0.964715", \ "0.521196,0.534711,0.544449,0.564941,0.617644,0.737747,0.988550", \ "0.544424,0.557933,0.567474,0.587639,0.640430,0.760615,1.011484", \ "0.564230,0.577746,0.587534,0.607813,0.660778,0.781366,1.031700", \ "0.580077,0.593725,0.603296,0.624270,0.676937,0.797495,1.047977"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.016507,0.021032,0.024958,0.032066,0.045971,0.074355,0.133986", \ "0.016508,0.021035,0.024952,0.032066,0.045961,0.074355,0.134002", \ "0.016507,0.021033,0.024946,0.032065,0.045965,0.074355,0.134001", \ "0.016502,0.021030,0.024948,0.032064,0.045973,0.074350,0.133989", \ "0.016503,0.021032,0.024951,0.032075,0.045972,0.074358,0.134009", \ "0.016502,0.021026,0.024941,0.032043,0.045965,0.074366,0.134010", \ "0.016504,0.021031,0.024954,0.032064,0.045958,0.074342,0.133944"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.022256,0.034487,0.047866,0.074963,0.133609,0.255087,0.499063", \ "0.022259,0.034487,0.047866,0.074965,0.133608,0.255083,0.499063", \ "0.022257,0.034488,0.047866,0.074965,0.133607,0.255082,0.499060", \ "0.022255,0.034489,0.047868,0.074966,0.133609,0.255085,0.499063", \ "0.022256,0.034489,0.047865,0.074961,0.133603,0.255088,0.499062", \ "0.022254,0.034492,0.047867,0.074955,0.133602,0.255088,0.499061", \ "0.022268,0.034498,0.047870,0.074966,0.133605,0.255089,0.499062"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & !D & !SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.077435,0.088834,0.097889,0.112160,0.135258,0.174853,0.247707", \ "0.081946,0.093349,0.102404,0.116683,0.139791,0.179397,0.252254", \ "0.099818,0.111171,0.120224,0.134516,0.157631,0.197263,0.270125", \ "0.136530,0.147919,0.156989,0.171348,0.194557,0.234247,0.307127", \ "0.182613,0.196204,0.206560,0.222345,0.246802,0.287051,0.360039", \ "0.231351,0.247568,0.259728,0.277658,0.304368,0.346838,0.421285", \ "0.283094,0.301865,0.316035,0.336500,0.366058,0.411251,0.487358"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.014049,0.018411,0.022577,0.030246,0.044843,0.074108,0.134415", \ "0.014046,0.018412,0.022582,0.030233,0.044847,0.074104,0.134408", \ "0.014064,0.018446,0.022614,0.030251,0.044851,0.074108,0.134414", \ "0.014927,0.018986,0.023014,0.030529,0.045014,0.074171,0.134422", \ "0.020221,0.023898,0.027433,0.034182,0.047537,0.075391,0.134724", \ "0.026477,0.030326,0.033723,0.040037,0.052741,0.079526,0.136619", \ "0.033319,0.037649,0.041174,0.047307,0.059317,0.084706,0.139524"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & !D & !SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.077435,0.088834,0.097889,0.112159,0.135260,0.174853,0.247708", \ "0.081946,0.093349,0.102404,0.116683,0.139791,0.179397,0.252254", \ "0.099818,0.111171,0.120224,0.134516,0.157631,0.197263,0.270125", \ "0.136530,0.147919,0.156989,0.171348,0.194557,0.234247,0.307127", \ "0.182613,0.196204,0.206559,0.222345,0.246802,0.287050,0.360039", \ "0.231351,0.247568,0.259728,0.277658,0.304368,0.346838,0.421285", \ "0.283094,0.301865,0.316035,0.336500,0.366058,0.411251,0.487358"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.014049,0.018411,0.022577,0.030249,0.044839,0.074109,0.134415", \ "0.014047,0.018412,0.022582,0.030233,0.044847,0.074104,0.134408", \ "0.014064,0.018446,0.022614,0.030251,0.044851,0.074108,0.134414", \ "0.014927,0.018986,0.023014,0.030529,0.045014,0.074171,0.134422", \ "0.020221,0.023898,0.027433,0.034182,0.047537,0.075391,0.134724", \ "0.026477,0.030326,0.033723,0.040037,0.052741,0.079526,0.136619", \ "0.033319,0.037649,0.041174,0.047307,0.059317,0.084706,0.139524"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & !D & SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.077435,0.088834,0.097889,0.112162,0.135258,0.174853,0.247707", \ "0.081946,0.093349,0.102404,0.116683,0.139791,0.179397,0.252254", \ "0.099818,0.111171,0.120224,0.134516,0.157631,0.197263,0.270125", \ "0.136530,0.147919,0.156989,0.171348,0.194557,0.234247,0.307127", \ "0.182613,0.196204,0.206559,0.222345,0.246801,0.287050,0.360039", \ "0.231351,0.247568,0.259728,0.277658,0.304368,0.346838,0.421285", \ "0.283094,0.301865,0.316035,0.336500,0.366057,0.411251,0.487358"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.014049,0.018411,0.022576,0.030240,0.044842,0.074108,0.134415", \ "0.014046,0.018412,0.022582,0.030233,0.044847,0.074104,0.134408", \ "0.014064,0.018446,0.022614,0.030251,0.044851,0.074108,0.134413", \ "0.014927,0.018986,0.023014,0.030529,0.045014,0.074171,0.134422", \ "0.020221,0.023898,0.027433,0.034182,0.047537,0.075391,0.134724", \ "0.026477,0.030326,0.033723,0.040037,0.052741,0.079526,0.136619", \ "0.033319,0.037649,0.041174,0.047307,0.059317,0.084706,0.139524"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & !D & SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.077435,0.088833,0.097888,0.112160,0.135256,0.174852,0.247707", \ "0.081946,0.093351,0.102404,0.116684,0.139790,0.179384,0.252239", \ "0.099813,0.111171,0.120224,0.134520,0.157636,0.197268,0.270126", \ "0.136450,0.147868,0.156984,0.171337,0.194551,0.234239,0.307109", \ "0.182468,0.196134,0.206511,0.222272,0.246709,0.286981,0.359917", \ "0.231247,0.247486,0.259650,0.277521,0.304210,0.346825,0.421278", \ "0.282579,0.301809,0.315957,0.336318,0.365904,0.411111,0.487217"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.014049,0.018411,0.022575,0.030242,0.044847,0.074112,0.134413", \ "0.014046,0.018414,0.022578,0.030235,0.044851,0.074103,0.134408", \ "0.014060,0.018446,0.022614,0.030251,0.044850,0.074114,0.134409", \ "0.014938,0.018989,0.023017,0.030528,0.045014,0.074170,0.134421", \ "0.020242,0.023903,0.027437,0.034190,0.047542,0.075390,0.134727", \ "0.026494,0.030340,0.033731,0.040048,0.052756,0.079526,0.136619", \ "0.033401,0.037656,0.041182,0.047330,0.059338,0.084718,0.139526"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & D & !SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.077435,0.088833,0.097888,0.112160,0.135256,0.174847,0.247707", \ "0.081946,0.093351,0.102404,0.116684,0.139790,0.179384,0.252239", \ "0.099813,0.111171,0.120224,0.134520,0.157636,0.197268,0.270126", \ "0.136450,0.147867,0.156984,0.171337,0.194551,0.234239,0.307109", \ "0.182468,0.196134,0.206511,0.222272,0.246709,0.286981,0.359917", \ "0.231247,0.247486,0.259650,0.277521,0.304210,0.346825,0.421278", \ "0.282579,0.301809,0.315957,0.336318,0.365904,0.411111,0.487217"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.014049,0.018411,0.022575,0.030242,0.044847,0.074108,0.134413", \ "0.014046,0.018414,0.022578,0.030235,0.044851,0.074103,0.134408", \ "0.014060,0.018446,0.022614,0.030251,0.044850,0.074114,0.134409", \ "0.014938,0.018991,0.023017,0.030528,0.045014,0.074170,0.134421", \ "0.020242,0.023903,0.027437,0.034190,0.047542,0.075390,0.134727", \ "0.026494,0.030340,0.033731,0.040048,0.052756,0.079526,0.136619", \ "0.033401,0.037656,0.041182,0.047330,0.059338,0.084718,0.139526"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & D & !SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.077435,0.088833,0.097888,0.112160,0.135256,0.174852,0.247707", \ "0.081946,0.093351,0.102404,0.116684,0.139790,0.179384,0.252239", \ "0.099813,0.111171,0.120224,0.134520,0.157636,0.197268,0.270126", \ "0.136450,0.147868,0.156984,0.171337,0.194551,0.234239,0.307109", \ "0.182468,0.196134,0.206511,0.222272,0.246709,0.286981,0.359917", \ "0.231247,0.247486,0.259651,0.277521,0.304210,0.346825,0.421278", \ "0.282579,0.301809,0.315957,0.336318,0.365904,0.411111,0.487217"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.014049,0.018411,0.022575,0.030242,0.044847,0.074112,0.134413", \ "0.014047,0.018414,0.022578,0.030235,0.044851,0.074103,0.134408", \ "0.014060,0.018446,0.022614,0.030251,0.044850,0.074114,0.134409", \ "0.014938,0.018992,0.023017,0.030528,0.045014,0.074170,0.134421", \ "0.020242,0.023903,0.027437,0.034190,0.047542,0.075390,0.134727", \ "0.026494,0.030340,0.033731,0.040048,0.052756,0.079526,0.136619", \ "0.033401,0.037656,0.041182,0.047330,0.059338,0.084718,0.139526"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & D & SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.077435,0.088834,0.097889,0.112162,0.135260,0.174853,0.247707", \ "0.081946,0.093349,0.102404,0.116683,0.139791,0.179397,0.252254", \ "0.099818,0.111171,0.120224,0.134516,0.157634,0.197263,0.270125", \ "0.136530,0.147919,0.156989,0.171348,0.194557,0.234247,0.307127", \ "0.182613,0.196204,0.206559,0.222345,0.246801,0.287050,0.360039", \ "0.231350,0.247568,0.259728,0.277658,0.304367,0.346838,0.421285", \ "0.283094,0.301865,0.316035,0.336500,0.366057,0.411250,0.487358"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.014049,0.018411,0.022576,0.030241,0.044839,0.074109,0.134415", \ "0.014046,0.018412,0.022582,0.030233,0.044847,0.074104,0.134408", \ "0.014064,0.018446,0.022614,0.030251,0.044851,0.074108,0.134413", \ "0.014927,0.018986,0.023014,0.030529,0.045014,0.074171,0.134422", \ "0.020221,0.023898,0.027433,0.034182,0.047537,0.075391,0.134724", \ "0.026477,0.030326,0.033723,0.040037,0.052741,0.079526,0.136619", \ "0.033319,0.037649,0.041174,0.047307,0.059317,0.084706,0.139524"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & D & SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.077435,0.088833,0.097888,0.112160,0.135256,0.174852,0.247707", \ "0.081946,0.093351,0.102404,0.116684,0.139790,0.179384,0.252239", \ "0.099813,0.111171,0.120224,0.134520,0.157636,0.197268,0.270126", \ "0.136450,0.147868,0.156984,0.171337,0.194551,0.234239,0.307109", \ "0.182468,0.196134,0.206511,0.222272,0.246709,0.286981,0.359917", \ "0.231247,0.247486,0.259650,0.277521,0.304210,0.346825,0.421278", \ "0.282579,0.301809,0.315957,0.336318,0.365904,0.411111,0.487217"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.014049,0.018411,0.022575,0.030242,0.044847,0.074112,0.134413", \ "0.014046,0.018414,0.022578,0.030235,0.044851,0.074103,0.134408", \ "0.014060,0.018446,0.022614,0.030251,0.044850,0.074114,0.134409", \ "0.014938,0.018992,0.023017,0.030528,0.045014,0.074170,0.134421", \ "0.020242,0.023903,0.027437,0.034190,0.047542,0.075390,0.134727", \ "0.026494,0.030340,0.033731,0.040048,0.052756,0.079526,0.136619", \ "0.033401,0.037656,0.041182,0.047330,0.059338,0.084718,0.139526"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & !D & !SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.077378,0.088704,0.097695,0.111856,0.134723,0.173828,0.245813", \ "0.081890,0.093229,0.102213,0.116389,0.139253,0.178362,0.250346", \ "0.099749,0.111044,0.120037,0.134218,0.157095,0.196220,0.268220", \ "0.136427,0.147792,0.156804,0.171042,0.193998,0.233193,0.305208", \ "0.182431,0.195973,0.206259,0.221919,0.246049,0.285890,0.357956", \ "0.231268,0.247379,0.259342,0.277156,0.303555,0.345436,0.419002", \ "0.283013,0.301592,0.315638,0.335849,0.364968,0.409386,0.484653"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.013965,0.018294,0.022410,0.029967,0.044333,0.073144,0.133185", \ "0.013967,0.018298,0.022409,0.029946,0.044334,0.073144,0.133188", \ "0.013980,0.018328,0.022437,0.029971,0.044337,0.073151,0.133184", \ "0.014854,0.018871,0.022843,0.030255,0.044493,0.073241,0.133204", \ "0.020129,0.023756,0.027226,0.033855,0.047004,0.074448,0.133576", \ "0.026339,0.030113,0.033443,0.039599,0.052017,0.078377,0.135573", \ "0.033123,0.037373,0.040793,0.046738,0.058364,0.083263,0.138693"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & !D & !SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.077378,0.088704,0.097695,0.111856,0.134724,0.173828,0.245813", \ "0.081890,0.093229,0.102213,0.116389,0.139253,0.178362,0.250346", \ "0.099749,0.111044,0.120037,0.134218,0.157095,0.196220,0.268220", \ "0.136427,0.147792,0.156804,0.171042,0.193998,0.233193,0.305208", \ "0.182431,0.195973,0.206259,0.221919,0.246049,0.285890,0.357956", \ "0.231268,0.247379,0.259342,0.277156,0.303555,0.345436,0.419002", \ "0.283013,0.301592,0.315638,0.335849,0.364968,0.409386,0.484653"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.013965,0.018294,0.022410,0.029966,0.044333,0.073144,0.133184", \ "0.013967,0.018298,0.022409,0.029946,0.044334,0.073144,0.133188", \ "0.013980,0.018328,0.022437,0.029971,0.044337,0.073151,0.133184", \ "0.014854,0.018871,0.022843,0.030255,0.044493,0.073241,0.133204", \ "0.020129,0.023756,0.027226,0.033855,0.047004,0.074448,0.133576", \ "0.026339,0.030113,0.033443,0.039599,0.052017,0.078377,0.135573", \ "0.033123,0.037373,0.040793,0.046738,0.058364,0.083263,0.138693"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & !D & SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.077378,0.088704,0.097695,0.111855,0.134724,0.173827,0.245813", \ "0.081890,0.093229,0.102213,0.116389,0.139253,0.178362,0.250346", \ "0.099749,0.111044,0.120037,0.134218,0.157095,0.196220,0.268220", \ "0.136427,0.147792,0.156804,0.171042,0.193998,0.233193,0.305208", \ "0.182431,0.195973,0.206259,0.221919,0.246049,0.285890,0.357956", \ "0.231268,0.247379,0.259342,0.277156,0.303555,0.345436,0.419002", \ "0.283013,0.301592,0.315638,0.335849,0.364968,0.409386,0.484653"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.013965,0.018294,0.022410,0.029968,0.044333,0.073145,0.133184", \ "0.013967,0.018298,0.022409,0.029946,0.044334,0.073144,0.133188", \ "0.013980,0.018328,0.022437,0.029971,0.044337,0.073151,0.133184", \ "0.014854,0.018871,0.022843,0.030255,0.044493,0.073241,0.133204", \ "0.020129,0.023756,0.027226,0.033855,0.047004,0.074448,0.133576", \ "0.026339,0.030113,0.033443,0.039599,0.052017,0.078377,0.135573", \ "0.033123,0.037373,0.040793,0.046738,0.058364,0.083263,0.138693"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & !D & SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.077378,0.088704,0.097695,0.111856,0.134722,0.173827,0.245813", \ "0.081890,0.093229,0.102213,0.116389,0.139253,0.178362,0.250346", \ "0.099749,0.111044,0.120037,0.134218,0.157095,0.196220,0.268220", \ "0.136427,0.147792,0.156804,0.171042,0.194008,0.233193,0.305208", \ "0.182431,0.195973,0.206259,0.221919,0.246049,0.285907,0.357956", \ "0.231268,0.247379,0.259342,0.277156,0.303555,0.345469,0.418977", \ "0.283013,0.301592,0.315638,0.335849,0.364968,0.409386,0.484653"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.013965,0.018294,0.022410,0.029966,0.044334,0.073145,0.133184", \ "0.013967,0.018298,0.022409,0.029946,0.044334,0.073144,0.133188", \ "0.013980,0.018328,0.022437,0.029971,0.044337,0.073151,0.133184", \ "0.014854,0.018871,0.022843,0.030255,0.044481,0.073241,0.133204", \ "0.020129,0.023756,0.027226,0.033855,0.047004,0.074446,0.133576", \ "0.026339,0.030113,0.033443,0.039599,0.052017,0.078368,0.135582", \ "0.033123,0.037373,0.040793,0.046738,0.058364,0.083263,0.138693"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & D & !SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.077378,0.088704,0.097695,0.111856,0.134722,0.173827,0.245813", \ "0.081890,0.093229,0.102213,0.116389,0.139253,0.178362,0.250346", \ "0.099749,0.111044,0.120037,0.134218,0.157095,0.196220,0.268220", \ "0.136427,0.147792,0.156804,0.171042,0.194008,0.233193,0.305208", \ "0.182431,0.195973,0.206259,0.221919,0.246049,0.285907,0.357956", \ "0.231268,0.247379,0.259342,0.277156,0.303555,0.345469,0.418977", \ "0.283013,0.301592,0.315638,0.335849,0.364968,0.409386,0.484653"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.013965,0.018294,0.022410,0.029966,0.044334,0.073145,0.133185", \ "0.013967,0.018298,0.022409,0.029946,0.044334,0.073144,0.133188", \ "0.013980,0.018328,0.022437,0.029971,0.044337,0.073151,0.133184", \ "0.014854,0.018871,0.022843,0.030255,0.044481,0.073241,0.133204", \ "0.020129,0.023756,0.027226,0.033855,0.047004,0.074446,0.133576", \ "0.026339,0.030113,0.033443,0.039599,0.052017,0.078368,0.135582", \ "0.033123,0.037373,0.040793,0.046738,0.058364,0.083263,0.138693"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & D & !SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.077378,0.088704,0.097695,0.111856,0.134724,0.173828,0.245813", \ "0.081890,0.093229,0.102213,0.116389,0.139253,0.178362,0.250346", \ "0.099749,0.111044,0.120037,0.134218,0.157095,0.196220,0.268220", \ "0.136427,0.147792,0.156804,0.171042,0.193998,0.233193,0.305208", \ "0.182431,0.195973,0.206259,0.221919,0.246049,0.285907,0.357956", \ "0.231268,0.247379,0.259342,0.277156,0.303555,0.345469,0.418977", \ "0.283013,0.301592,0.315638,0.335849,0.364968,0.409386,0.484653"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.013965,0.018294,0.022410,0.029967,0.044333,0.073144,0.133184", \ "0.013967,0.018298,0.022409,0.029946,0.044334,0.073144,0.133188", \ "0.013980,0.018328,0.022437,0.029971,0.044337,0.073151,0.133184", \ "0.014854,0.018871,0.022843,0.030255,0.044493,0.073241,0.133204", \ "0.020129,0.023756,0.027226,0.033855,0.047004,0.074446,0.133576", \ "0.026339,0.030113,0.033443,0.039599,0.052017,0.078368,0.135582", \ "0.033123,0.037373,0.040793,0.046738,0.058364,0.083263,0.138693"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & D & SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.077378,0.088704,0.097695,0.111856,0.134722,0.173828,0.245813", \ "0.081891,0.093229,0.102213,0.116389,0.139253,0.178362,0.250346", \ "0.099749,0.111044,0.120037,0.134218,0.157095,0.196220,0.268220", \ "0.136427,0.147792,0.156804,0.171042,0.193998,0.233193,0.305208", \ "0.182431,0.195973,0.206259,0.221919,0.246049,0.285890,0.357956", \ "0.231268,0.247379,0.259342,0.277156,0.303555,0.345436,0.419002", \ "0.283013,0.301592,0.315638,0.335849,0.364968,0.409386,0.484653"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.013965,0.018294,0.022410,0.029967,0.044334,0.073144,0.133184", \ "0.013965,0.018298,0.022409,0.029946,0.044334,0.073144,0.133188", \ "0.013980,0.018328,0.022437,0.029971,0.044337,0.073151,0.133184", \ "0.014854,0.018871,0.022843,0.030255,0.044493,0.073241,0.133204", \ "0.020129,0.023756,0.027226,0.033855,0.047004,0.074448,0.133576", \ "0.026339,0.030113,0.033443,0.039599,0.052017,0.078377,0.135573", \ "0.033123,0.037373,0.040793,0.046738,0.058364,0.083263,0.138693"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & D & SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.077378,0.088704,0.097695,0.111856,0.134722,0.173828,0.245813", \ "0.081890,0.093229,0.102213,0.116389,0.139253,0.178362,0.250346", \ "0.099749,0.111044,0.120037,0.134218,0.157095,0.196220,0.268220", \ "0.136427,0.147792,0.156804,0.171042,0.194008,0.233193,0.305208", \ "0.182431,0.195973,0.206259,0.221919,0.246049,0.285907,0.357956", \ "0.231268,0.247379,0.259342,0.277156,0.303555,0.345469,0.418977", \ "0.283013,0.301592,0.315638,0.335849,0.364968,0.409386,0.484653"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.013965,0.018294,0.022410,0.029966,0.044334,0.073144,0.133185", \ "0.013967,0.018298,0.022409,0.029946,0.044334,0.073144,0.133188", \ "0.013980,0.018328,0.022437,0.029971,0.044337,0.073151,0.133184", \ "0.014854,0.018871,0.022843,0.030255,0.044481,0.073241,0.133204", \ "0.020129,0.023756,0.027226,0.033855,0.047004,0.074446,0.133576", \ "0.026339,0.030113,0.033443,0.039599,0.052017,0.078368,0.135582", \ "0.033123,0.037373,0.040793,0.046738,0.058364,0.083263,0.138693"); } } internal_power () { related_pin : "CK"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.127789,5.267508,5.353672,5.684652,5.798737,5.862821,5.941537", \ "5.123000,5.262028,5.350006,5.672950,5.812415,5.890349,5.930353", \ "5.104136,5.244443,5.329268,5.659941,5.801782,5.852541,5.907413", \ "5.097756,5.240966,5.329044,5.644149,5.802643,5.869664,5.866723", \ "5.125689,5.269757,5.353588,5.667690,5.841218,5.902081,5.919013", \ "5.195271,5.329448,5.420372,5.747186,5.901955,5.969146,5.999193", \ "5.313588,5.451977,5.538916,5.862000,5.976910,6.083794,6.115156"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.561618,5.546491,5.513785,5.525413,5.591327,5.673342,5.679266", \ "5.560764,5.541347,5.516945,5.507178,5.592286,5.652826,5.640518", \ "5.535617,5.522479,5.490947,5.501432,5.569199,5.629641,5.616658", \ "5.530296,5.516183,5.489679,5.495215,5.560679,5.636385,5.625452", \ "5.559654,5.541137,5.510541,5.517080,5.580561,5.644102,5.643741", \ "5.611555,5.600461,5.568978,5.560833,5.654073,5.702135,5.731558", \ "5.728634,5.712071,5.679762,5.689960,5.736699,5.826780,5.812089"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & !SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.484623,4.602246,4.672450,4.762785,4.803131,4.840714,4.815005", \ "4.463076,4.571972,4.654009,4.740823,4.785169,4.823265,4.795898", \ "4.429455,4.532394,4.615592,4.704217,4.735885,4.774435,4.817073", \ "4.455464,4.540126,4.610587,4.699838,4.712348,4.756682,4.802660", \ "4.582944,4.638736,4.690688,4.751618,4.782523,4.834416,4.886910", \ "4.801037,4.818606,4.866495,4.893794,4.913698,4.972944,4.961830", \ "5.096021,5.088313,5.101284,5.129647,5.180925,5.184475,5.184634"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & !SE & SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.484446,4.602052,4.672251,4.733493,4.803100,4.840667,4.814702", \ "4.462803,4.571781,4.653808,4.740593,4.784910,4.822996,4.795594", \ "4.429278,4.532207,4.615395,4.704005,4.735642,4.774177,4.816770", \ "4.455292,4.539944,4.610396,4.699616,4.712107,4.756424,4.802357", \ "4.582781,4.638562,4.690511,4.751423,4.782287,4.834171,4.886629", \ "4.800868,4.818454,4.866285,4.893610,4.913491,4.972693,4.960855", \ "5.095912,5.088194,5.101149,5.129498,5.180756,5.184259,5.184372"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.485031,4.602679,4.672906,4.734194,4.803628,4.841236,4.815488", \ "4.463481,4.572399,4.654466,4.741290,4.785678,4.823763,4.796352", \ "4.429849,4.532813,4.616033,4.704666,4.736365,4.774930,4.817525", \ "4.455843,4.540528,4.611003,4.700277,4.712808,4.757188,4.803087", \ "4.583284,4.639092,4.691066,4.752000,4.782964,4.834854,4.887282", \ "4.801307,4.818894,4.866786,4.894120,4.914046,4.973320,4.961492", \ "5.096193,5.088505,5.101486,5.129879,5.181169,5.184771,5.184912"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & SE & SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.420598,4.527868,4.608192,4.669289,4.770635,4.775461,4.818028", \ "4.400577,4.509282,4.591088,4.677696,4.721608,4.759860,4.731512", \ "4.367617,4.470544,4.553539,4.641695,4.672937,4.712852,4.755273", \ "4.393194,4.477795,4.548876,4.645697,4.648423,4.693246,4.738769", \ "4.522824,4.568199,4.629925,4.690747,4.720172,4.771634,4.823839", \ "4.742619,4.750103,4.801141,4.834199,4.854170,4.912369,4.900690", \ "5.036145,5.019839,5.043053,5.070752,5.121897,5.125678,5.124708"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D & !SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.420746,4.528023,4.608377,4.669479,4.738569,4.775924,4.818261", \ "4.400725,4.509452,4.591261,4.677882,4.721815,4.760121,4.734448", \ "4.367766,4.470709,4.553716,4.641910,4.673172,4.713071,4.755506", \ "4.393338,4.478356,4.549054,4.645909,4.648637,4.693455,4.738998", \ "4.522957,4.568345,4.630083,4.690941,4.720335,4.771855,4.824087", \ "4.742712,4.750219,4.801277,4.834333,4.854344,4.912552,4.900881", \ "5.036238,5.019930,5.043159,5.070861,5.122063,5.125834,5.124862"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D & !SE & SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.421392,4.528712,4.609121,4.670277,4.772516,4.776651,4.819332", \ "4.401244,4.510148,4.591994,4.678674,4.722679,4.761097,4.732849", \ "4.368399,4.471395,4.554443,4.642709,4.674043,4.714031,4.756579", \ "4.393956,4.478763,4.549764,4.646676,4.649482,4.694391,4.740038", \ "4.523536,4.568968,4.630745,4.691668,4.721122,4.772757,4.825094", \ "4.743241,4.750752,4.801843,4.834962,4.855064,4.913376,4.901827", \ "5.036625,5.020351,5.043613,5.071377,5.122676,5.126563,5.125731"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D & SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.484469,4.602075,4.672272,4.733575,4.803136,4.840587,4.814537", \ "4.462922,4.571800,4.653834,4.740615,4.784929,4.822948,4.795462", \ "4.429298,4.532802,4.615413,4.704010,4.735666,4.774120,4.816779", \ "4.455309,4.539960,4.610409,4.699722,4.712097,4.756389,4.802215", \ "4.582789,4.638566,4.690511,4.751408,4.782284,4.834106,4.886459", \ "4.800890,4.818443,4.866365,4.893590,4.913450,4.972621,4.960687", \ "5.095881,5.088161,5.101114,5.129455,5.180684,5.184161,5.184178"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D & SE & SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.421252,4.528568,4.608944,4.670095,4.739234,4.776428,4.819115", \ "4.401229,4.509988,4.591829,4.678495,4.722490,4.760835,4.732570", \ "4.368253,4.471237,4.554272,4.642498,4.673819,4.713804,4.756309", \ "4.393817,4.478603,4.549592,4.646488,4.649264,4.694177,4.739798", \ "4.523403,4.568823,4.630583,4.691473,4.720950,4.772523,4.824831", \ "4.743091,4.750637,4.801711,4.834821,4.854881,4.913189,4.901625", \ "5.036521,5.020252,5.043503,5.071253,5.122497,5.126393,5.125570"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D & !SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.766970,5.959057,6.063648,6.166134,6.244396,6.320491,6.350073", \ "5.723789,5.929857,6.050776,6.136009,6.238780,6.308119,6.339405", \ "5.697804,5.892298,6.001453,6.088014,6.169565,6.251045,6.306624", \ "5.719678,5.899735,5.994787,6.105858,6.202268,6.278017,6.308142", \ "5.857153,5.991345,6.093862,6.155476,6.266227,6.346866,6.383551", \ "6.067034,6.166732,6.253516,6.295539,6.397066,6.491725,6.517437", \ "6.387782,6.442819,6.499958,6.544903,6.617188,6.684604,6.715903"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D & !SE & SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.766611,5.958690,6.063287,6.173521,6.243980,6.320174,6.349636", \ "5.723431,5.929391,6.050415,6.135639,6.238416,6.307760,6.339042", \ "5.697353,5.891949,6.001100,6.087664,6.169209,6.250673,6.306253", \ "5.719342,5.899400,5.994450,6.105517,6.201933,6.277665,6.307778", \ "5.856844,5.991035,6.093547,6.155161,6.265895,6.346541,6.383209", \ "6.066761,6.166454,6.253233,6.295244,6.396774,6.491377,6.517099", \ "6.387553,6.442580,6.499718,6.544650,6.616936,6.684314,6.715585"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D & SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.767753,5.959834,6.064434,6.166849,6.245034,6.320288,6.350538", \ "5.724577,5.930638,6.051552,6.136759,6.239518,6.308774,6.339921", \ "5.698468,5.893055,6.002208,6.088742,6.170263,6.251683,6.307171", \ "5.720398,5.900446,5.996150,6.106539,6.202920,6.278633,6.308640", \ "5.857792,5.991984,6.094491,6.156086,6.266840,6.347416,6.383986", \ "6.067565,6.167271,6.254051,6.296070,6.397574,6.492172,6.517838", \ "6.388173,6.443221,6.502057,6.545311,6.617578,6.684999,6.716231"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D & SE & SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.764749,5.956009,6.060810,6.171850,6.250502,6.321384,6.353046", \ "5.732659,5.926973,6.048224,6.134127,6.238330,6.309936,6.366325", \ "5.695661,5.889809,5.999121,6.086380,6.169365,6.253178,6.285785", \ "5.729619,5.897881,6.003534,6.104968,6.202346,6.280573,6.317239", \ "5.856531,5.990482,6.093291,6.155452,6.267489,6.350409,6.389129", \ "6.067502,6.182685,6.254116,6.296700,6.399318,6.479304,6.521839", \ "6.389462,6.457639,6.503628,6.547379,6.620616,6.689379,6.721353"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D & !SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.765053,5.956133,6.060941,6.172023,6.250697,6.321579,6.353071", \ "5.732799,5.926929,6.048336,6.134249,6.238499,6.310172,6.366983", \ "5.707135,5.889933,5.999258,6.086545,6.169555,6.253401,6.286009", \ "5.729755,5.897999,6.005087,6.105128,6.202555,6.280777,6.317458", \ "5.856659,5.990594,6.093419,6.155607,6.267644,6.350635,6.389404", \ "6.067378,6.182788,6.254220,6.296811,6.399490,6.479486,6.522035", \ "6.389563,6.457730,6.503759,6.547493,6.620781,6.689863,6.721541"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D & !SE & SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.766481,5.957425,6.062232,6.165523,6.245272,6.323622,6.354483", \ "5.734085,5.928383,6.049645,6.135533,6.239778,6.311459,6.368253", \ "5.708382,5.891205,6.000524,6.087820,6.170831,6.254648,6.287248", \ "5.730943,5.899198,6.006332,6.106351,6.204094,6.281977,6.318675", \ "5.857749,5.991706,6.094550,6.156750,6.268791,6.351800,6.390581", \ "6.068584,6.181468,6.255220,6.297825,6.400545,6.480572,6.523155", \ "6.390372,6.458559,6.504611,6.548360,6.621707,6.690835,6.722589"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D & SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.766656,5.958734,6.063319,6.165803,6.250813,6.347762,6.349445", \ "5.739781,5.929536,6.050444,6.135659,6.238424,6.307700,6.338863", \ "5.697489,5.891978,6.001126,6.087667,6.169194,6.250607,6.306090", \ "5.719373,5.899423,5.995122,6.105517,6.201898,6.277596,6.307596", \ "5.856857,5.991041,6.093541,6.155137,6.265864,6.346438,6.382991", \ "6.066532,6.166436,6.253205,6.295211,6.396700,6.491262,6.516877", \ "6.387499,6.442527,6.501393,6.544576,6.616818,6.684171,6.715333"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D & SE & SI"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.766035,5.957365,6.062195,6.173207,6.251894,6.353770,6.354075", \ "5.733994,5.928169,6.049576,6.135460,6.239631,6.311221,6.367490", \ "5.696970,5.891220,6.000451,6.087682,6.170654,6.254423,6.287005", \ "5.730854,5.899128,6.004228,6.106219,6.203595,6.281784,6.318456", \ "5.857660,5.991626,6.094449,6.156609,6.268649,6.351573,6.390304", \ "6.068253,6.181387,6.255136,6.297721,6.400358,6.480395,6.522950", \ "6.390282,6.458487,6.504538,6.548254,6.621542,6.690350,6.722392"); } rise_power(scalar) {values ("0.0"); } } } } /****************************************************************************************** Module : SDFF_X1 Cell Description : Pos.edge D-Flip-Flop with active high scan, and drive strength X1 *******************************************************************************************/ cell (SDFF_X1) { drive_strength : 1; ff ("IQ" , "IQN") { next_state : "((SE * SI) + (D * !SE))"; clocked_on : "CK"; } area : 6.118000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 68.237735; leakage_power () { when : "!CK & !D & !SE & !SI & !Q & QN"; value : 64.630685; } leakage_power () { when : "!CK & !D & !SE & !SI & Q & !QN"; value : 67.407155; } leakage_power () { when : "!CK & !D & !SE & SI & !Q & QN"; value : 71.663269; } leakage_power () { when : "!CK & !D & !SE & SI & Q & !QN"; value : 74.439739; } leakage_power () { when : "!CK & !D & SE & !SI & !Q & QN"; value : 62.046495; } leakage_power () { when : "!CK & !D & SE & !SI & Q & !QN"; value : 64.823060; } leakage_power () { when : "!CK & !D & SE & SI & !Q & QN"; value : 67.059892; } leakage_power () { when : "!CK & !D & SE & SI & Q & !QN"; value : 63.835972; } leakage_power () { when : "!CK & D & !SE & !SI & !Q & QN"; value : 70.311409; } leakage_power () { when : "!CK & D & !SE & !SI & Q & !QN"; value : 67.087489; } leakage_power () { when : "!CK & D & !SE & SI & !Q & QN"; value : 71.358081; } leakage_power () { when : "!CK & D & !SE & SI & Q & !QN"; value : 68.134256; } leakage_power () { when : "!CK & D & SE & !SI & !Q & QN"; value : 71.364551; } leakage_power () { when : "!CK & D & SE & !SI & Q & !QN"; value : 74.141021; } leakage_power () { when : "!CK & D & SE & SI & !Q & QN"; value : 68.423095; } leakage_power () { when : "!CK & D & SE & SI & Q & !QN"; value : 65.199174; } leakage_power () { when : "CK & !D & !SE & !SI & !Q & QN"; value : 63.869326; } leakage_power () { when : "CK & !D & !SE & !SI & Q & !QN"; value : 71.636336; } leakage_power () { when : "CK & !D & !SE & SI & !Q & QN"; value : 70.900675; } leakage_power () { when : "CK & !D & !SE & SI & Q & !QN"; value : 78.667305; } leakage_power () { when : "CK & !D & SE & !SI & !Q & QN"; value : 61.284566; } leakage_power () { when : "CK & !D & SE & !SI & Q & !QN"; value : 69.051386; } leakage_power () { when : "CK & !D & SE & SI & !Q & QN"; value : 62.793604; } leakage_power () { when : "CK & !D & SE & SI & Q & !QN"; value : 64.575423; } leakage_power () { when : "CK & D & !SE & !SI & !Q & QN"; value : 66.045501; } leakage_power () { when : "CK & D & !SE & !SI & Q & !QN"; value : 67.827226; } leakage_power () { when : "CK & D & !SE & SI & !Q & QN"; value : 67.092648; } leakage_power () { when : "CK & D & !SE & SI & Q & !QN"; value : 68.874278; } leakage_power () { when : "CK & D & SE & !SI & !Q & QN"; value : 70.600437; } leakage_power () { when : "CK & D & SE & !SI & Q & !QN"; value : 78.366687; } leakage_power () { when : "CK & D & SE & SI & !Q & QN"; value : 64.157566; } leakage_power () { when : "CK & D & SE & SI & Q & !QN"; value : 65.939196; } pin (D) { direction : input; nextstate_type : data; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.097121; fall_capacitance : 1.031509; rise_capacitance : 1.097121; timing () { related_pin : "CK"; timing_type : hold_rising; when : "!SE"; sdf_cond : "NEG_SE === 1'b1"; fall_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.083015,-0.032304,0.017954", \ "-0.125593,-0.072427,-0.020891", \ "0.134450,0.190577,0.248351"); } rise_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.027233,0.005820,0.028498", \ "-0.026526,0.003456,0.005912", \ "0.113146,0.142165,0.118528"); } } timing () { related_pin : "CK"; timing_type : setup_rising; when : "!SE"; sdf_cond : "NEG_SE === 1'b1"; fall_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.242243,0.213667,0.232104", \ "0.300146,0.271465,0.290534", \ "0.457314,0.427612,0.443402"); } rise_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.253904,0.197230,0.138311", \ "0.298554,0.241307,0.183424", \ "0.366309,0.310186,0.252439"); } } internal_power () { when : "!CK & !SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.209248,5.192190,5.167433,5.153739,5.160632,5.210778,5.321269"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.762526,3.739679,3.712623,3.689501,3.685402,3.732897,3.859900"); } } internal_power () { when : "!CK & !SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.178857,5.160611,5.135250,5.121573,5.128293,5.179110,5.290847"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.758402,3.735552,3.705630,3.684824,3.682648,3.729075,3.854764"); } } internal_power () { when : "!CK & !SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.201014,5.184068,5.159563,5.145352,5.151913,5.201628,5.314396"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.764305,3.742148,3.714626,3.691612,3.690704,3.735333,3.864434"); } } internal_power () { when : "!CK & !SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.169629,5.151101,5.126345,5.112468,5.119867,5.168615,5.279604"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.758227,3.735464,3.705036,3.683163,3.684683,3.728090,3.856254"); } } internal_power () { when : "!CK & SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.543613,0.525463,0.514545,0.510940,0.508221,0.507958,0.508004"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.448701,-0.451427,-0.455639,-0.459270,-0.461489,-0.463031,-0.463837"); } } internal_power () { when : "!CK & SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.547392,0.524011,0.515319,0.511720,0.508959,0.508718,0.508713"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.446779,-0.449209,-0.453428,-0.457089,-0.459318,-0.460976,-0.462094"); } } internal_power () { when : "!CK & SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.480182,0.483625,0.484173,0.484979,0.485194,0.486418,0.485271"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.328643,-0.361595,-0.400291,-0.419960,-0.429944,-0.436705,-0.440887"); } } internal_power () { when : "!CK & SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.479826,0.482979,0.483787,0.484383,0.484636,0.485826,0.484743"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.330369,-0.362759,-0.401733,-0.421346,-0.431738,-0.438008,-0.442695"); } } internal_power () { when : "CK & !SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.470262,2.451836,2.426937,2.414753,2.425547,2.491218,2.599707"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.200187,1.177415,1.149194,1.132138,1.135773,1.185069,1.304769"); } } internal_power () { when : "CK & !SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.430863,2.413883,2.389052,2.377137,2.387373,2.453389,2.561286"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.187836,1.164591,1.138759,1.119903,1.125912,1.172015,1.288539"); } } internal_power () { when : "CK & !SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.462266,2.443752,2.418749,2.406372,2.416828,2.482042,2.590120"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.202701,1.179913,1.151692,1.134533,1.138162,1.188367,1.306328"); } } internal_power () { when : "CK & !SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.422121,2.405126,2.380209,2.367693,2.378065,2.443250,2.551035"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.186325,1.163583,1.137314,1.118650,1.122976,1.168701,1.286462"); } } internal_power () { when : "CK & SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.543695,0.523296,0.514608,0.511001,0.508295,0.508012,0.508079"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.448253,-0.450988,-0.455206,-0.458843,-0.461069,-0.462622,-0.463413"); } } internal_power () { when : "CK & SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.546520,0.524367,0.513938,0.510342,0.507994,0.507251,0.507805"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.449801,-0.451867,-0.456640,-0.460315,-0.462567,-0.464095,-0.465212"); } } internal_power () { when : "CK & SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.480210,0.483532,0.484297,0.484893,0.485153,0.486322,0.485253"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.329142,-0.362034,-0.400359,-0.420377,-0.430352,-0.437083,-0.441247"); } } internal_power () { when : "CK & SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.480351,0.483494,0.484297,0.484886,0.485141,0.486312,0.485214"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.328811,-0.361218,-0.400163,-0.419769,-0.430160,-0.436437,-0.441099"); } } } pin (SE) { direction : input; nextstate_type : scan_enable; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.839495; fall_capacitance : 1.694916; rise_capacitance : 1.839495; timing () { related_pin : "CK"; timing_type : hold_rising; fall_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.050242,-0.018214,-0.001320", \ "-0.100006,-0.076599,-0.057326", \ "0.139476,0.195765,0.180650"); } rise_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.075090,-0.028988,0.033427", \ "-0.101082,-0.050552,0.015862", \ "0.007290,0.063832,0.126044"); } } timing () { related_pin : "CK"; timing_type : setup_rising; fall_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.297239,0.240437,0.194749", \ "0.361125,0.304767,0.248463", \ "0.493476,0.436940,0.404631"); } rise_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.269430,0.240510,0.257261", \ "0.304726,0.275805,0.293084", \ "0.361283,0.304998,0.320143"); } } internal_power () { when : "!CK & !D & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.781545,1.744344,1.733050,1.781359,1.880375,2.042893,2.276751"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.033882,-0.055217,-0.078627,-0.058376,0.025660,0.178362,0.408883"); } } internal_power () { when : "!CK & !D & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.782484,1.745359,1.733532,1.782502,1.881145,2.043764,2.278743"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.028751,-0.049242,-0.073277,-0.051480,0.032896,0.184131,0.411840"); } } internal_power () { when : "!CK & !D & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.847403,5.778648,5.734156,5.805868,5.987508,6.298723,6.742053"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.319937,3.297990,3.249539,3.259179,3.388780,3.665828,4.120065"); } } internal_power () { when : "!CK & !D & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.815467,5.746449,5.701280,5.773496,5.955880,6.263418,6.709737"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.289914,3.267975,3.219315,3.231934,3.361950,3.635670,4.083876"); } } internal_power () { when : "!CK & D & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.371879,5.336815,5.349399,5.435617,5.583211,5.796654,6.101438"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.509982,4.516338,4.495750,4.499369,4.561224,4.703086,4.936336"); } } internal_power () { when : "!CK & D & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.393676,5.359228,5.372206,5.460002,5.609658,5.824759,6.131828"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.609470,4.606676,4.554447,4.535777,4.587885,4.723941,4.954390"); } } internal_power () { when : "!CK & D & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.653018,1.616969,1.607032,1.658692,1.759644,1.925096,2.157898"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.050795,0.032872,0.012737,0.030530,0.110592,0.262093,0.494313"); } } internal_power () { when : "!CK & D & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.648943,1.612683,1.603233,1.654404,1.755382,1.920580,2.155169"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.032920,0.015009,-0.005262,0.012756,0.093226,0.244808,0.477628"); } } internal_power () { when : "CK & !D & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.781265,1.744330,1.731816,1.781492,1.880356,2.042875,2.278417"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.035154,-0.057443,-0.078361,-0.061790,0.022423,0.178118,0.406737"); } } internal_power () { when : "CK & !D & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.781058,1.745103,1.733070,1.781494,1.880106,2.043483,2.277693"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.031417,-0.052161,-0.074706,-0.053296,0.030940,0.183422,0.411137"); } } internal_power () { when : "CK & !D & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.099334,3.031841,2.989792,3.067855,3.253197,3.578479,4.018788"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.754376,0.732443,0.689819,0.709169,0.842958,1.119599,1.561790"); } } internal_power () { when : "CK & !D & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.058617,2.991739,2.950115,3.026770,3.216482,3.540181,3.986200"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.718687,0.696381,0.654097,0.672518,0.804546,1.081841,1.521688"); } } internal_power () { when : "CK & D & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.806604,2.770435,2.783342,2.870174,3.017923,3.234907,3.528166"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.764508,1.770830,1.749035,1.751205,1.816010,1.968637,2.195690"); } } internal_power () { when : "CK & D & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.833965,2.796978,2.812139,2.898369,3.048424,3.263279,3.560012"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.828360,1.825498,1.772652,1.753132,1.807387,1.950990,2.176995"); } } internal_power () { when : "CK & D & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.653156,1.615942,1.607349,1.657730,1.760895,1.923718,2.157266"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.053501,0.032547,0.015448,0.030062,0.110404,0.261298,0.494219"); } } internal_power () { when : "CK & D & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.649723,1.612254,1.603747,1.654875,1.756615,1.921116,2.153244"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.034580,0.016595,-0.003633,0.014161,0.094886,0.246734,0.479355"); } } } pin (SI) { direction : input; nextstate_type : scan_in; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 0.895705; fall_capacitance : 0.858558; rise_capacitance : 0.895705; timing () { related_pin : "CK"; timing_type : hold_rising; when : "SE"; sdf_cond : "SE === 1'b1"; fall_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.095406,-0.043546,0.004902", \ "-0.130807,-0.076715,-0.023929", \ "0.112335,0.166683,0.226365"); } rise_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.028201,0.005118,0.027306", \ "-0.028234,0.001823,0.005061", \ "0.132522,0.161995,0.135990"); } } timing () { related_pin : "CK"; timing_type : setup_rising; when : "SE"; sdf_cond : "SE === 1'b1"; fall_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.277513,0.248699,0.265647", \ "0.335766,0.306187,0.323681", \ "0.501050,0.470475,0.485067"); } rise_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.259046,0.201778,0.143647", \ "0.307711,0.250528,0.192771", \ "0.388425,0.334082,0.274426"); } } internal_power () { when : "!CK & !D & !SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.414104,0.397013,0.390076,0.386950,0.384685,0.384320,0.383245"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.344095,-0.346051,-0.349184,-0.350808,-0.351672,-0.352355,-0.352822"); } } internal_power () { when : "!CK & !D & !SE & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.412561,0.397807,0.390374,0.387734,0.385434,0.385094,0.383985"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.341945,-0.343858,-0.346973,-0.348608,-0.349531,-0.350276,-0.350527"); } } internal_power () { when : "!CK & !D & SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.179477,5.168314,5.150255,5.137950,5.143594,5.174043,5.251099"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.418178,3.401658,3.383750,3.365290,3.360821,3.386443,3.472020"); } } internal_power () { when : "!CK & !D & SE & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.148409,5.137060,5.119241,5.107803,5.112052,5.143176,5.218718"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.411267,3.395551,3.378909,3.359204,3.355201,3.385626,3.475274"); } } internal_power () { when : "!CK & D & !SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.357943,0.361228,0.361523,0.362082,0.361629,0.361910,0.361759"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.248549,-0.270185,-0.299871,-0.315465,-0.322813,-0.327236,-0.330385"); } } internal_power () { when : "!CK & D & !SE & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.357179,0.360474,0.361259,0.361327,0.360902,0.361177,0.361060"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.250896,-0.273838,-0.303098,-0.317795,-0.325198,-0.329813,-0.333035"); } } internal_power () { when : "!CK & D & SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.168553,5.157339,5.139130,5.126543,5.132814,5.162241,5.236525"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.420499,3.404355,3.385809,3.367992,3.363641,3.390872,3.475845"); } } internal_power () { when : "!CK & D & SE & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.136416,5.125023,5.106424,5.095176,5.099233,5.129161,5.203227"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.425459,3.408902,3.391528,3.374364,3.369068,3.396374,3.486458"); } } internal_power () { when : "CK & !D & !SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.411804,0.397074,0.390137,0.387012,0.384761,0.384379,0.383323"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.343646,-0.345619,-0.348755,-0.350384,-0.351255,-0.351949,-0.352398"); } } internal_power () { when : "CK & !D & !SE & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.414017,0.398505,0.389939,0.386817,0.384520,0.384215,0.383107"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.344662,-0.347124,-0.349657,-0.351778,-0.352266,-0.353577,-0.353223"); } } internal_power () { when : "CK & !D & SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.435154,2.423019,2.406024,2.394659,2.400578,2.450550,2.532895"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.856859,0.840327,0.823474,0.810876,0.809580,0.842652,0.920750"); } } internal_power () { when : "CK & !D & SE & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.387496,2.375763,2.357184,2.345268,2.354336,2.399718,2.492142"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.846626,0.830500,0.812829,0.800930,0.798759,0.830362,0.905522"); } } internal_power () { when : "CK & D & !SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.357867,0.361124,0.361890,0.361581,0.361544,0.361813,0.361716"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.248998,-0.270658,-0.300334,-0.315926,-0.323267,-0.327675,-0.330808"); } } internal_power () { when : "CK & D & !SE & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.357702,0.360977,0.361773,0.361831,0.361407,0.361649,0.361521"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.249320,-0.272266,-0.301531,-0.316212,-0.323614,-0.328237,-0.331432"); } } internal_power () { when : "CK & D & SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.423835,2.411697,2.394506,2.382849,2.388391,2.438054,2.523123"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.859442,0.842876,0.826018,0.813384,0.812228,0.845054,0.924187"); } } internal_power () { when : "CK & D & SE & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.385437,2.374452,2.355831,2.343648,2.352463,2.397826,2.487405"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.859555,0.842698,0.825682,0.813790,0.810929,0.842615,0.919499"); } } } pin (CK) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock : true; capacitance : 0.917223; fall_capacitance : 0.826305; rise_capacitance : 0.917223; timing () { related_pin : "CK"; timing_type : min_pulse_width; fall_constraint(Pulse_width_3) { index_1 ("0.00231025,0.112657,0.500000"); values ("0.271266,0.278177,0.500500"); } rise_constraint(Pulse_width_3) { index_1 ("0.00231025,0.112657,0.500000"); values ("0.201661,0.208663,0.500500"); } } internal_power () { when : "!D & !SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.670561,2.642453,2.615063,2.635106,2.720259,2.879315,3.106250"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.091283,2.077082,2.045796,2.042642,2.098382,2.228675,2.448707"); } } internal_power () { when : "!D & !SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.162070,5.131453,5.101379,5.121143,5.211374,5.372772,5.619660"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "!D & !SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.670590,2.642508,2.615100,2.635144,2.720269,2.879363,3.104418"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.091290,2.077114,2.045840,2.042685,2.098409,2.228739,2.448749"); } } internal_power () { when : "!D & !SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.168572,5.138136,5.109843,5.128555,5.217736,5.379198,5.622096"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "!D & SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.670425,2.642356,2.614915,2.634955,2.720208,2.879231,3.104406"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.091316,2.077090,2.045815,2.043373,2.098382,2.228697,2.448650"); } } internal_power () { when : "!D & SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.159449,5.128835,5.099400,5.118321,5.208084,5.371192,5.617544"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "!D & SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.123866,5.091466,5.069235,5.089297,5.174936,5.330829,5.557892"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "!D & SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.792554,2.763008,2.737406,2.758329,2.844896,3.008670,3.236035"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.984150,1.969038,1.934885,1.931921,1.986399,2.116952,2.329532"); } } internal_power () { when : "D & !SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.124007,5.095696,5.069770,5.090496,5.175531,5.331896,5.558508"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & !SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.792845,2.763049,2.737449,2.758378,2.844899,3.008732,3.236460"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.975209,1.969109,1.934955,1.931994,1.986481,2.117028,2.331357"); } } internal_power () { when : "D & !SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.124865,5.096520,5.070622,5.091782,5.176473,5.332765,5.559389"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & !SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.792832,2.763039,2.737438,2.758366,2.844895,3.008717,3.236034"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.975075,1.969094,1.934940,1.931980,1.986466,2.117013,2.329590"); } } internal_power () { when : "D & SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.670469,2.642419,2.614968,2.635009,2.720224,2.879296,3.106290"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.091332,2.077142,2.045864,2.043443,2.098443,2.228766,2.448730"); } } internal_power () { when : "D & SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.152249,5.121090,5.088823,5.110752,5.198265,5.359677,5.608665"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.096591,5.067512,5.040926,5.061951,5.149313,5.304701,5.533344"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.792539,2.762989,2.737389,2.758311,2.844905,3.008649,3.236138"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.984130,1.969018,1.934864,1.931900,1.986379,2.116932,2.329353"); } } } pin (Q) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 60.577400; function : "IQ"; timing () { related_pin : "CK"; timing_type : rising_edge; timing_sense : non_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.204878,0.223811,0.240771,0.266051,0.302979,0.356655,0.441470", \ "0.210148,0.229085,0.246037,0.271297,0.308258,0.361927,0.446744", \ "0.227777,0.246705,0.263679,0.288942,0.325903,0.379607,0.464452", \ "0.251073,0.269997,0.286919,0.312209,0.349177,0.402947,0.487790", \ "0.274118,0.293055,0.309742,0.335037,0.372041,0.425757,0.510634", \ "0.293776,0.313054,0.329838,0.354813,0.392047,0.445883,0.530906", \ "0.310001,0.328983,0.345888,0.370567,0.408649,0.462321,0.547643"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.163417,0.180674,0.199074,0.233097,0.298507,0.427096,0.682993", \ "0.168621,0.185882,0.204280,0.238309,0.303716,0.432306,0.688197", \ "0.186459,0.203713,0.222119,0.256145,0.321545,0.450140,0.706001", \ "0.210812,0.228091,0.246410,0.280446,0.345846,0.474425,0.730301", \ "0.234896,0.252174,0.270571,0.304498,0.369938,0.498579,0.754485", \ "0.256313,0.273661,0.291909,0.326405,0.391856,0.520469,0.775644", \ "0.273525,0.291296,0.309951,0.343831,0.409233,0.537850,0.793768"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.034213,0.040647,0.046809,0.056883,0.072478,0.099122,0.154051", \ "0.034213,0.040650,0.046803,0.056879,0.072467,0.099121,0.154050", \ "0.034249,0.040663,0.046823,0.056890,0.072487,0.099126,0.154071", \ "0.034240,0.040677,0.046839,0.056907,0.072489,0.099142,0.154063", \ "0.034306,0.040707,0.046850,0.056915,0.072486,0.099119,0.154058", \ "0.034323,0.040766,0.046930,0.057006,0.072557,0.099185,0.154089", \ "0.034379,0.040832,0.047005,0.057076,0.072630,0.099228,0.154091"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.019388,0.030566,0.044646,0.073834,0.133845,0.255371,0.499892", \ "0.019389,0.030566,0.044644,0.073840,0.133846,0.255373,0.499894", \ "0.019386,0.030564,0.044641,0.073843,0.133844,0.255373,0.499893", \ "0.019383,0.030560,0.044640,0.073840,0.133842,0.255376,0.499892", \ "0.019380,0.030549,0.044648,0.073840,0.133843,0.255372,0.499891", \ "0.019400,0.030570,0.044646,0.073832,0.133842,0.255369,0.499894", \ "0.019445,0.030595,0.044665,0.073845,0.133841,0.255376,0.499894"); } } internal_power () { related_pin : "CK"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("3.226893,3.245336,3.275746,3.341006,3.400196,3.421972,3.434179", \ "3.217940,3.235053,3.270350,3.331918,3.393837,3.423396,3.431184", \ "3.199798,3.220634,3.250102,3.303933,3.375473,3.401766,3.412796", \ "3.190387,3.215220,3.244689,3.307378,3.351170,3.394678,3.398792", \ "3.217543,3.241682,3.268509,3.322550,3.391977,3.418884,3.430267", \ "3.283135,3.304055,3.330057,3.394109,3.444418,3.481275,3.490106", \ "3.404870,3.422272,3.451321,3.501856,3.565815,3.595565,3.595284"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("3.073687,3.144250,3.191917,3.357490,3.424168,3.444245,3.446429", \ "3.061358,3.134626,3.178317,3.347600,3.416835,3.422796,3.449809", \ "3.051373,3.119426,3.165623,3.326503,3.400277,3.403643,3.429369", \ "3.050148,3.121454,3.164805,3.334273,3.403355,3.405422,3.413377", \ "3.079713,3.150365,3.196881,3.362340,3.418832,3.451375,3.456893", \ "3.152597,3.219562,3.265126,3.422627,3.491295,3.508005,3.514607", \ "3.271466,3.339838,3.387401,3.547777,3.611235,3.613849,3.643508"); } } } pin (QN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 60.412610; function : "IQN"; timing () { related_pin : "CK"; timing_type : rising_edge; timing_sense : non_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.236957,0.245240,0.253167,0.266389,0.288620,0.327386,0.399104", \ "0.242169,0.250447,0.258366,0.271591,0.293839,0.332595,0.404316", \ "0.259998,0.268280,0.276202,0.289424,0.311665,0.350430,0.422113", \ "0.284346,0.292664,0.300510,0.313744,0.335963,0.374716,0.446422", \ "0.308438,0.316724,0.324674,0.337818,0.360048,0.398870,0.470581", \ "0.329850,0.338212,0.346031,0.359183,0.381987,0.420713,0.491749", \ "0.347080,0.355897,0.364057,0.377128,0.399369,0.438143,0.509897"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.305444,0.315530,0.326720,0.352733,0.411984,0.536740,0.789944", \ "0.310716,0.320806,0.331987,0.357971,0.417255,0.542004,0.795217", \ "0.328352,0.338435,0.349631,0.375623,0.434900,0.559687,0.812913", \ "0.351657,0.361731,0.372882,0.398873,0.458194,0.582992,0.836240", \ "0.374745,0.384807,0.395712,0.421721,0.481021,0.605814,0.859083", \ "0.394426,0.404852,0.415827,0.441453,0.501024,0.625889,0.879254", \ "0.410698,0.420812,0.431937,0.457284,0.517589,0.642272,0.895967"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.016566,0.020291,0.024218,0.031478,0.045543,0.074115,0.133982", \ "0.016570,0.020290,0.024209,0.031466,0.045547,0.074115,0.133961", \ "0.016563,0.020293,0.024212,0.031473,0.045547,0.074114,0.133961", \ "0.016570,0.020294,0.024219,0.031468,0.045551,0.074112,0.133967", \ "0.016572,0.020297,0.024220,0.031477,0.045543,0.074118,0.133976", \ "0.016570,0.020296,0.024224,0.031468,0.045535,0.074083,0.134005", \ "0.016572,0.020299,0.024230,0.031467,0.045537,0.074106,0.133965"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.021590,0.031590,0.044772,0.073606,0.134129,0.256026,0.500100", \ "0.021591,0.031588,0.044776,0.073609,0.134124,0.256023,0.500100", \ "0.021594,0.031591,0.044773,0.073600,0.134121,0.256023,0.500100", \ "0.021610,0.031591,0.044777,0.073606,0.134130,0.256019,0.500094", \ "0.021610,0.031596,0.044781,0.073602,0.134129,0.256023,0.500096", \ "0.021606,0.031590,0.044775,0.073604,0.134125,0.256024,0.500090", \ "0.021625,0.031615,0.044796,0.073603,0.134118,0.256024,0.500099"); } } internal_power () { related_pin : "CK"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("3.072498,3.144408,3.192055,3.341811,3.424411,3.450539,3.450951", \ "3.060294,3.134740,3.178233,3.350163,3.419824,3.435805,3.449088", \ "3.051367,3.119468,3.166100,3.329221,3.399879,3.414785,3.432032", \ "3.052140,3.121510,3.164955,3.324512,3.374436,3.416131,3.434913", \ "3.080603,3.150423,3.196293,3.355205,3.423899,3.427932,3.444257", \ "3.152093,3.220165,3.265818,3.427886,3.496525,3.518732,3.527728", \ "3.271468,3.340130,3.388098,3.550332,3.599683,3.624552,3.643767"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("3.226541,3.245835,3.276729,3.339711,3.401175,3.429445,3.428192", \ "3.219532,3.235605,3.271470,3.334374,3.396325,3.421281,3.430941", \ "3.199450,3.221173,3.251061,3.308819,3.374406,3.399671,3.409324", \ "3.190030,3.215758,3.245764,3.307262,3.363492,3.392163,3.405222", \ "3.216793,3.242220,3.269538,3.329085,3.375789,3.408202,3.418008", \ "3.282148,3.303609,3.331165,3.390253,3.449558,3.480935,3.494143", \ "3.404519,3.422835,3.450643,3.506422,3.547529,3.575179,3.599386"); } } } } /****************************************************************************************** Module : SDFF_X2 Cell Description : Pos.edge D-Flip-Flop with active high scan, and drive strength X2 *******************************************************************************************/ cell (SDFF_X2) { drive_strength : 2; ff ("IQ" , "IQN") { next_state : "((SE * SI) + (D * !SE))"; clocked_on : "CK"; } area : 6.384000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 94.533210; leakage_power () { when : "!CK & !D & !SE & !SI & !Q & QN"; value : 90.058765; } leakage_power () { when : "!CK & !D & !SE & !SI & Q & !QN"; value : 94.618005; } leakage_power () { when : "!CK & !D & !SE & SI & !Q & QN"; value : 97.091339; } leakage_power () { when : "!CK & !D & !SE & SI & Q & !QN"; value : 101.650769; } leakage_power () { when : "!CK & !D & SE & !SI & !Q & QN"; value : 87.474680; } leakage_power () { when : "!CK & !D & SE & !SI & Q & !QN"; value : 92.033824; } leakage_power () { when : "!CK & !D & SE & SI & !Q & QN"; value : 92.503846; } leakage_power () { when : "!CK & !D & SE & SI & Q & !QN"; value : 91.041036; } leakage_power () { when : "!CK & D & !SE & !SI & !Q & QN"; value : 95.755354; } leakage_power () { when : "!CK & D & !SE & !SI & Q & !QN"; value : 94.292639; } leakage_power () { when : "!CK & D & !SE & SI & !Q & QN"; value : 96.802121; } leakage_power () { when : "!CK & D & !SE & SI & Q & !QN"; value : 95.339311; } leakage_power () { when : "!CK & D & SE & !SI & !Q & QN"; value : 96.792641; } leakage_power () { when : "!CK & D & SE & !SI & Q & !QN"; value : 101.352165; } leakage_power () { when : "!CK & D & SE & SI & !Q & QN"; value : 93.867049; } leakage_power () { when : "!CK & D & SE & SI & Q & !QN"; value : 92.404334; } leakage_power () { when : "CK & !D & !SE & !SI & !Q & QN"; value : 89.210956; } leakage_power () { when : "CK & !D & !SE & !SI & Q & !QN"; value : 98.832556; } leakage_power () { when : "CK & !D & !SE & SI & !Q & QN"; value : 96.242296; } leakage_power () { when : "CK & !D & !SE & SI & Q & !QN"; value : 105.863326; } leakage_power () { when : "CK & !D & SE & !SI & !Q & QN"; value : 86.626301; } leakage_power () { when : "CK & !D & SE & !SI & Q & !QN"; value : 96.247616; } leakage_power () { when : "CK & !D & SE & SI & !Q & QN"; value : 88.135338; } leakage_power () { when : "CK & !D & SE & SI & Q & !QN"; value : 91.771653; } leakage_power () { when : "CK & D & !SE & !SI & !Q & QN"; value : 91.387226; } leakage_power () { when : "CK & D & !SE & !SI & Q & !QN"; value : 95.023446; } leakage_power () { when : "CK & D & !SE & SI & !Q & QN"; value : 92.434278; } leakage_power () { when : "CK & D & !SE & SI & Q & !QN"; value : 96.070403; } leakage_power () { when : "CK & D & SE & !SI & !Q & QN"; value : 95.942077; } leakage_power () { when : "CK & D & SE & !SI & Q & !QN"; value : 105.562822; } leakage_power () { when : "CK & D & SE & SI & !Q & QN"; value : 89.499215; } leakage_power () { when : "CK & D & SE & SI & Q & !QN"; value : 93.135340; } pin (D) { direction : input; nextstate_type : data; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.106886; fall_capacitance : 1.041287; rise_capacitance : 1.106886; timing () { related_pin : "CK"; timing_type : hold_rising; when : "!SE"; sdf_cond : "NEG_SE === 1'b1"; fall_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.085240,-0.034961,0.016020", \ "-0.128931,-0.076283,-0.023263", \ "0.127892,0.185643,0.244501"); } rise_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.027078,0.005253,0.028904", \ "-0.021957,0.005617,0.008323", \ "0.120831,0.145550,0.122315"); } } timing () { related_pin : "CK"; timing_type : setup_rising; when : "!SE"; sdf_cond : "NEG_SE === 1'b1"; fall_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.238277,0.211440,0.229387", \ "0.295838,0.269075,0.286995", \ "0.453917,0.425863,0.440603"); } rise_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.258565,0.201909,0.142088", \ "0.303697,0.246541,0.187198", \ "0.372881,0.315135,0.256303"); } } internal_power () { when : "!CK & !SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.191544,5.171696,5.148353,5.135919,5.140435,5.186780,5.300856"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.768510,3.746445,3.716683,3.693770,3.692014,3.736449,3.866728"); } } internal_power () { when : "!CK & !SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.156886,5.137645,5.113021,5.101671,5.107128,5.156543,5.266711"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.761442,3.738725,3.713223,3.690411,3.689918,3.733117,3.854084"); } } internal_power () { when : "!CK & !SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.183432,5.163558,5.140108,5.127483,5.132467,5.179348,5.288787"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.771115,3.748902,3.719172,3.696240,3.696759,3.742118,3.865248"); } } internal_power () { when : "!CK & !SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.147838,5.128804,5.104079,5.091865,5.097745,5.146099,5.256817"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.760584,3.738659,3.713303,3.690497,3.689488,3.734658,3.865090"); } } internal_power () { when : "!CK & SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.543706,0.524681,0.514764,0.511132,0.508267,0.508193,0.508024"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.448590,-0.451167,-0.455386,-0.459000,-0.461237,-0.462784,-0.463588"); } } internal_power () { when : "!CK & SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.547523,0.525481,0.515555,0.511908,0.509009,0.508972,0.508751"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.446518,-0.448856,-0.453145,-0.456809,-0.459048,-0.460700,-0.461534"); } } internal_power () { when : "!CK & SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.480643,0.483679,0.484275,0.485154,0.485226,0.486633,0.485274"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.328556,-0.361580,-0.400235,-0.419909,-0.429735,-0.436701,-0.440674"); } } internal_power () { when : "!CK & SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.479979,0.483235,0.484000,0.484579,0.484678,0.486079,0.484754"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.330297,-0.362675,-0.401462,-0.421076,-0.431467,-0.437737,-0.442439"); } } internal_power () { when : "CK & !SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.507841,2.492390,2.467243,2.455226,2.464423,2.531391,2.638760"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.200497,1.177741,1.151587,1.132743,1.136162,1.184988,1.303781"); } } internal_power () { when : "CK & !SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.471010,2.453312,2.427653,2.415886,2.426113,2.491201,2.601156"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.188257,1.166502,1.141208,1.122327,1.126349,1.172304,1.287853"); } } internal_power () { when : "CK & !SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.501469,2.483954,2.458220,2.446841,2.455695,2.522349,2.629590"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.203015,1.180246,1.154082,1.135216,1.138610,1.188334,1.305434"); } } internal_power () { when : "CK & !SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.462279,2.444654,2.418787,2.405324,2.416782,2.481660,2.589110"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.186473,1.163752,1.139050,1.121233,1.123592,1.171116,1.285184"); } } internal_power () { when : "CK & SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.543790,0.524743,0.514830,0.511197,0.508344,0.508249,0.508102"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.448119,-0.450702,-0.454930,-0.458550,-0.460795,-0.462354,-0.463131"); } } internal_power () { when : "CK & SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.546659,0.524449,0.513985,0.510115,0.507899,0.507258,0.507810"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.449803,-0.451950,-0.456642,-0.460329,-0.462573,-0.464106,-0.465292"); } } internal_power () { when : "CK & SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.480389,0.483594,0.484498,0.485076,0.485192,0.486532,0.485270"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.329038,-0.361988,-0.400103,-0.420296,-0.430151,-0.437049,-0.441003"); } } internal_power () { when : "CK & SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.480507,0.483753,0.484513,0.485084,0.485185,0.486554,0.485227"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.328737,-0.361111,-0.399881,-0.419489,-0.429879,-0.436155,-0.440819"); } } } pin (SE) { direction : input; nextstate_type : scan_enable; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.815037; fall_capacitance : 1.667595; rise_capacitance : 1.815037; timing () { related_pin : "CK"; timing_type : hold_rising; fall_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.048442,-0.017192,-0.000414", \ "-0.095809,-0.075626,-0.056889", \ "0.132938,0.188931,0.184083"); } rise_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.083003,-0.031739,0.033649", \ "-0.107852,-0.054930,0.013245", \ "0.003068,0.059601,0.131937"); } } timing () { related_pin : "CK"; timing_type : setup_rising; fall_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.301851,0.244912,0.192101", \ "0.365939,0.308892,0.249321", \ "0.497713,0.441185,0.402043"); } rise_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.265447,0.238069,0.254791", \ "0.305395,0.273573,0.290170", \ "0.367835,0.311847,0.316724"); } } internal_power () { when : "!CK & !D & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.771267,1.733511,1.723272,1.771556,1.870152,2.033338,2.268314"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.033436,-0.057672,-0.077766,-0.057659,0.026247,0.178849,0.408830"); } } internal_power () { when : "!CK & !D & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.772135,1.736097,1.723909,1.772727,1.871213,2.034223,2.269226"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.027140,-0.049285,-0.072127,-0.050722,0.033531,0.184412,0.414762"); } } internal_power () { when : "!CK & !D & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.815744,5.747595,5.706079,5.772119,5.955710,6.265755,6.709002"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.325806,3.303972,3.256789,3.268872,3.393581,3.669694,4.124585"); } } internal_power () { when : "!CK & !D & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.781226,5.714014,5.670140,5.741143,5.924511,6.232805,6.675785"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.293744,3.273260,3.224787,3.238628,3.366614,3.646009,4.087437"); } } internal_power () { when : "!CK & D & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.365919,5.330737,5.343475,5.431460,5.577754,5.790257,6.089212"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.486872,4.493374,4.473339,4.477493,4.541937,4.682051,4.919194"); } } internal_power () { when : "!CK & D & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.387735,5.353441,5.366457,5.454620,5.604060,5.819773,6.123775"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("4.587852,4.584571,4.533770,4.515580,4.567369,4.702036,4.935318"); } } internal_power () { when : "!CK & D & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.643286,1.606639,1.596980,1.648495,1.749640,1.916032,2.150115"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.050799,0.032832,0.012847,0.030825,0.111129,0.263107,0.495700"); } } internal_power () { when : "!CK & D & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.638601,1.602451,1.593316,1.644226,1.745376,1.911283,2.146155"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.033044,0.014991,-0.005058,0.013048,0.093827,0.245876,0.479036"); } } internal_power () { when : "CK & !D & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.772063,1.733766,1.722110,1.771886,1.870195,2.033376,2.269154"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.034025,-0.057855,-0.077472,-0.060803,0.023009,0.178912,0.407009"); } } internal_power () { when : "CK & !D & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.772372,1.733380,1.723539,1.771442,1.870178,2.033260,2.268091"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.031978,-0.053572,-0.074639,-0.054311,0.031526,0.184218,0.412911"); } } internal_power () { when : "CK & !D & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.128415,3.062215,3.018784,3.095347,3.281399,3.607576,4.049155"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.754504,0.732994,0.691178,0.710448,0.843286,1.119350,1.560260"); } } internal_power () { when : "CK & !D & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.087459,3.021069,2.979847,3.055596,3.243705,3.567831,4.014075"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.718685,0.696982,0.655003,0.673414,0.805158,1.081700,1.520258"); } } internal_power () { when : "CK & D & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.793487,2.758397,2.772402,2.857900,3.005955,3.223521,3.518555"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.802128,1.807668,1.787657,1.788833,1.854795,2.008234,2.233400"); } } internal_power () { when : "CK & D & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.819947,2.784672,2.798047,2.887268,3.036403,3.251911,3.547909"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.866420,1.863328,1.812593,1.791684,1.845109,1.991838,2.219694"); } } internal_power () { when : "CK & D & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.642575,1.605571,1.597380,1.647637,1.749192,1.914521,2.148119"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.050511,0.032518,0.012522,0.030400,0.110993,0.262311,0.495628"); } } internal_power () { when : "CK & D & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.639120,1.602093,1.593731,1.644659,1.745629,1.911758,2.145399"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.034611,0.016660,-0.003496,0.014517,0.095499,0.247748,0.480598"); } } } pin (SI) { direction : input; nextstate_type : scan_in; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 0.874821; fall_capacitance : 0.839364; rise_capacitance : 0.874821; timing () { related_pin : "CK"; timing_type : hold_rising; when : "SE"; sdf_cond : "SE === 1'b1"; fall_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.099078,-0.047584,0.003629", \ "-0.134861,-0.081128,-0.026542", \ "0.105582,0.161531,0.222036"); } rise_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.027537,0.004893,0.027803", \ "-0.023393,0.004023,0.006974", \ "0.137563,0.164726,0.138528"); } } timing () { related_pin : "CK"; timing_type : setup_rising; when : "SE"; sdf_cond : "SE === 1'b1"; fall_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.273938,0.246519,0.263396", \ "0.331495,0.304157,0.321010", \ "0.498279,0.469439,0.483718"); } rise_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.264082,0.207029,0.147004", \ "0.313316,0.256134,0.196720", \ "0.395192,0.339249,0.278769"); } } internal_power () { when : "!CK & !D & !SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.414266,0.398821,0.390225,0.387163,0.384731,0.384541,0.383296"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.344292,-0.345626,-0.348969,-0.350548,-0.351423,-0.352045,-0.352559"); } } internal_power () { when : "!CK & !D & !SE & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.412599,0.399595,0.390351,0.387958,0.385481,0.385329,0.384020"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.341902,-0.343753,-0.346747,-0.348338,-0.349257,-0.349963,-0.350482"); } } internal_power () { when : "!CK & !D & SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.159227,5.147396,5.130023,5.114860,5.123221,5.155336,5.227449"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.423680,3.408367,3.389148,3.372920,3.364971,3.392318,3.480163"); } } internal_power () { when : "!CK & !D & SE & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.128433,5.116297,5.098787,5.086613,5.088594,5.119833,5.194396"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.417025,3.401361,3.383712,3.366157,3.362274,3.389065,3.481235"); } } internal_power () { when : "!CK & D & !SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.358399,0.361486,0.361558,0.362258,0.361458,0.362110,0.361749"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.249072,-0.271340,-0.299878,-0.315222,-0.322507,-0.326948,-0.330185"); } } internal_power () { when : "!CK & D & !SE & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.357266,0.360718,0.361441,0.361525,0.360929,0.361407,0.361067"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.251194,-0.272421,-0.302082,-0.317548,-0.324941,-0.329551,-0.332779"); } } internal_power () { when : "!CK & D & SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.148073,5.136415,5.118852,5.106119,5.112031,5.141985,5.213421"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.426616,3.410919,3.391949,3.375104,3.367228,3.394171,3.482411"); } } internal_power () { when : "!CK & D & SE & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.116421,5.104230,5.086583,5.074162,5.075077,5.105788,5.179937"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.434581,3.414875,3.400685,3.381149,3.376196,3.406133,3.492875"); } } internal_power () { when : "CK & !D & !SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.411947,0.398886,0.390290,0.387227,0.384810,0.384602,0.383377"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.343819,-0.345169,-0.348515,-0.350100,-0.350983,-0.351618,-0.352101"); } } internal_power () { when : "CK & !D & !SE & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.414081,0.398672,0.390101,0.387043,0.384569,0.384442,0.383144"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.344591,-0.347249,-0.349425,-0.351703,-0.352004,-0.353521,-0.353185"); } } internal_power () { when : "CK & !D & SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.474597,2.464269,2.445653,2.433159,2.440363,2.489728,2.565578"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.857222,0.840596,0.823578,0.811288,0.809798,0.842661,0.920333"); } } internal_power () { when : "CK & !D & SE & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.426685,2.415048,2.395663,2.385412,2.393538,2.438228,2.520193"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.847359,0.830427,0.813337,0.800819,0.798890,0.829962,0.905076"); } } internal_power () { when : "CK & D & !SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.358323,0.361402,0.362104,0.361592,0.361365,0.362022,0.361722"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.249500,-0.271789,-0.300310,-0.315655,-0.322928,-0.327357,-0.330579"); } } internal_power () { when : "CK & D & !SE & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.357784,0.361233,0.361954,0.362030,0.361437,0.361881,0.361540"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.249619,-0.270832,-0.300484,-0.315956,-0.323347,-0.327966,-0.331151"); } } internal_power () { when : "CK & D & SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.463267,2.452910,2.434147,2.421466,2.428168,2.476908,2.562431"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.859818,0.843147,0.826113,0.813927,0.812457,0.844365,0.923723"); } } internal_power () { when : "CK & D & SE & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.425168,2.413660,2.394440,2.382752,2.391692,2.436827,2.528837"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.859583,0.842593,0.825760,0.813835,0.811607,0.840457,0.919128"); } } } pin (CK) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock : true; capacitance : 0.942001; fall_capacitance : 0.850759; rise_capacitance : 0.942001; timing () { related_pin : "CK"; timing_type : min_pulse_width; fall_constraint(Pulse_width_3) { index_1 ("0.00231025,0.112657,0.500000"); values ("0.277982,0.284384,0.500500"); } rise_constraint(Pulse_width_3) { index_1 ("0.00231025,0.112657,0.500000"); values ("0.310342,0.314796,0.500500"); } } internal_power () { when : "!D & !SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.733461,2.707449,2.678097,2.697573,2.781817,2.940022,3.164804"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.147881,2.135456,2.102355,2.101486,2.154819,2.285190,2.503291"); } } internal_power () { when : "!D & !SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.162308,5.132448,5.104937,5.121183,5.209475,5.371064,5.617895"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "!D & !SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.733489,2.707501,2.678134,2.697611,2.781826,2.940069,3.164813"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.147887,2.135486,2.102389,2.101521,2.154850,2.285237,2.503339"); } } internal_power () { when : "!D & !SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.169505,5.139459,5.111010,5.128422,5.216086,5.378742,5.617964"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "!D & SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.733330,2.707314,2.677971,2.697458,2.781744,2.939932,3.164820"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.147918,2.135465,2.102367,2.101493,2.154824,2.285220,2.503234"); } } internal_power () { when : "!D & SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.161149,5.129687,5.102608,5.118126,5.205906,5.369854,5.615921"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "!D & SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.192557,5.163217,5.132325,5.158094,5.240519,5.389363,5.615523"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "!D & SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.855733,2.828217,2.799067,2.820072,2.906064,3.066871,3.291486"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.037723,2.024951,1.987120,1.987273,2.044182,2.171768,2.383270"); } } internal_power () { when : "D & !SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.193417,5.161421,5.136509,5.157194,5.241189,5.390660,5.618591"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & !SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.855782,2.828277,2.799109,2.820118,2.906066,3.066932,3.294083"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.037741,2.025300,1.987175,1.987341,2.044261,2.171845,2.381334"); } } internal_power () { when : "D & !SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.194265,5.165329,5.137355,5.158046,5.242048,5.391526,5.619469"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & !SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.855771,2.828263,2.799098,2.820106,2.905952,3.066918,3.294080"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.037736,2.025286,1.987160,1.987328,2.044247,2.171830,2.381321"); } } internal_power () { when : "D & SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.733377,2.707377,2.678023,2.697512,2.781760,2.939997,3.164835"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.147938,2.135513,2.102407,2.101548,2.154884,2.285293,2.503312"); } } internal_power () { when : "D & SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.151888,5.121447,5.092855,5.110222,5.200402,5.364571,5.600455"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("5.161953,5.136278,5.107746,5.129035,5.214148,5.367710,5.596715"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.855718,2.828197,2.799051,2.820055,2.905950,3.066850,3.294076"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.037713,2.024931,1.987100,1.987253,2.044162,2.171748,2.381244"); } } } pin (Q) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 120.544000; function : "IQ"; timing () { related_pin : "CK"; timing_type : rising_edge; timing_sense : non_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.297851,0.325959,0.348794,0.383038,0.431209,0.495797,0.591825", \ "0.303108,0.331236,0.354074,0.388353,0.436519,0.501119,0.597151", \ "0.321008,0.349147,0.371981,0.406239,0.454417,0.519041,0.615071", \ "0.344794,0.372900,0.395697,0.429943,0.478155,0.542799,0.638884", \ "0.367943,0.396187,0.418917,0.452995,0.501248,0.565839,0.661981", \ "0.388042,0.416211,0.438893,0.473049,0.521502,0.586224,0.682478", \ "0.404268,0.432415,0.455281,0.488634,0.538204,0.602702,0.699196"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.191870,0.214421,0.234884,0.271126,0.337840,0.466276,0.720994", \ "0.197066,0.219628,0.240097,0.276333,0.343055,0.471484,0.726211", \ "0.214979,0.237523,0.257998,0.294234,0.360950,0.489376,0.744120", \ "0.239672,0.262257,0.282640,0.318904,0.385592,0.514042,0.768753", \ "0.264166,0.286738,0.307211,0.343371,0.410027,0.538561,0.793327", \ "0.286440,0.308860,0.329616,0.365872,0.432499,0.561033,0.815475", \ "0.304523,0.327132,0.347781,0.383901,0.450640,0.579105,0.833776"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.056264,0.065960,0.074078,0.086170,0.100910,0.125203,0.178172", \ "0.056246,0.065958,0.074080,0.086172,0.100911,0.125196,0.178168", \ "0.056285,0.065961,0.074082,0.086177,0.100905,0.125202,0.178164", \ "0.056297,0.065967,0.074083,0.086176,0.100901,0.125200,0.178166", \ "0.056281,0.065971,0.074079,0.086166,0.100913,0.125206,0.178154", \ "0.056315,0.066041,0.074143,0.086236,0.100939,0.125201,0.178166", \ "0.056390,0.066076,0.074196,0.086294,0.101020,0.125221,0.178147"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.023655,0.036865,0.050962,0.079267,0.137132,0.256572,0.499562", \ "0.023661,0.036868,0.050963,0.079266,0.137137,0.256571,0.499563", \ "0.023645,0.036860,0.050958,0.079263,0.137132,0.256575,0.499562", \ "0.023650,0.036857,0.050952,0.079257,0.137130,0.256572,0.499560", \ "0.023651,0.036861,0.050952,0.079260,0.137129,0.256573,0.499561", \ "0.023657,0.036865,0.050955,0.079259,0.137127,0.256574,0.499561", \ "0.023682,0.036882,0.050971,0.079269,0.137132,0.256566,0.499563"); } } internal_power () { related_pin : "CK"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("5.251927,5.176552,5.123962,5.155376,5.239083,5.336719,5.337075", \ "5.248360,5.169725,5.121584,5.133084,5.252468,5.303524,5.338658", \ "5.230341,5.155236,5.105293,5.125327,5.227017,5.319144,5.322034", \ "5.224107,5.149182,5.083526,5.115942,5.200793,5.298722,5.317913", \ "5.241462,5.165572,5.121993,5.125415,5.256689,5.325525,5.329690", \ "5.308957,5.237691,5.185495,5.192989,5.318031,5.368057,5.399244", \ "5.428976,5.347483,5.290249,5.299976,5.412923,5.507947,5.507778"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("4.435918,4.538884,4.652271,4.962352,5.038572,5.039815,5.086472", \ "4.422495,4.530561,4.645735,4.917767,5.025453,5.053399,5.088476", \ "4.403830,4.512907,4.625438,4.902604,5.002189,5.029752,5.071481", \ "4.408047,4.508344,4.623681,4.899826,5.014022,5.042391,5.073074", \ "4.441653,4.544137,4.655824,4.964325,5.042451,5.087612,5.105740", \ "4.508642,4.612492,4.719931,5.029801,5.111682,5.141104,5.150375", \ "4.624824,4.728866,4.832414,5.142931,5.190791,5.260165,5.254659"); } } } pin (QN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 121.155000; function : "IQN"; timing () { related_pin : "CK"; timing_type : rising_edge; timing_sense : non_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.261723,0.269098,0.275726,0.287535,0.308353,0.345832,0.416835", \ "0.266921,0.274307,0.280941,0.292745,0.313556,0.351043,0.422045", \ "0.284833,0.292206,0.298838,0.310648,0.331460,0.368938,0.439962", \ "0.309515,0.316936,0.323474,0.335314,0.356102,0.393602,0.464604", \ "0.334018,0.341407,0.348053,0.359786,0.380535,0.418117,0.489175", \ "0.356280,0.363543,0.370457,0.382289,0.403064,0.440569,0.511302", \ "0.374385,0.381823,0.388625,0.400327,0.421159,0.458663,0.529622"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.419727,0.427687,0.434962,0.455928,0.511047,0.633535,0.886204", \ "0.425013,0.432964,0.440244,0.461271,0.516358,0.638854,0.891523", \ "0.442888,0.450875,0.458145,0.479138,0.534255,0.656774,0.909450", \ "0.466676,0.474628,0.481869,0.502831,0.558001,0.680532,0.933251", \ "0.489877,0.497903,0.505086,0.525810,0.581069,0.703568,0.956346", \ "0.510011,0.517984,0.525089,0.545978,0.601349,0.723943,0.976808", \ "0.526285,0.534197,0.541497,0.561557,0.618027,0.740371,0.993553"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.015370,0.019407,0.022923,0.029762,0.043600,0.072399,0.133135", \ "0.015370,0.019405,0.022923,0.029768,0.043598,0.072394,0.133125", \ "0.015373,0.019406,0.022927,0.029766,0.043605,0.072402,0.133128", \ "0.015367,0.019402,0.022919,0.029765,0.043598,0.072394,0.133110", \ "0.015372,0.019407,0.022925,0.029757,0.043600,0.072412,0.133121", \ "0.015371,0.019406,0.022923,0.029755,0.043564,0.072403,0.133149", \ "0.015378,0.019412,0.022928,0.029757,0.043592,0.072394,0.133129"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.025131,0.036911,0.047248,0.073159,0.132944,0.255026,0.499728", \ "0.025127,0.036913,0.047250,0.073161,0.132939,0.255023,0.499728", \ "0.025131,0.036916,0.047249,0.073161,0.132937,0.255024,0.499728", \ "0.025129,0.036913,0.047249,0.073159,0.132944,0.255026,0.499729", \ "0.025130,0.036917,0.047251,0.073163,0.132939,0.255022,0.499729", \ "0.025133,0.036923,0.047253,0.073167,0.132940,0.255024,0.499730", \ "0.025148,0.036934,0.047273,0.073165,0.132944,0.255027,0.499731"); } } internal_power () { related_pin : "CK"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("4.436955,4.538781,4.649230,4.957260,5.044923,5.064955,5.090990", \ "4.423112,4.525066,4.648275,4.952774,5.004499,5.078468,5.070832", \ "4.406939,4.517466,4.626805,4.933800,4.980935,5.054873,5.080306", \ "4.409105,4.516011,4.619457,4.934459,4.993004,5.035204,5.055290", \ "4.431153,4.536382,4.658656,4.962455,5.025204,5.085252,5.102610", \ "4.511623,4.612145,4.715889,5.026325,5.102117,5.117807,5.153075", \ "4.625881,4.729902,4.845041,5.146512,5.213176,5.243159,5.236411"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("5.252676,5.174230,5.119307,5.145531,5.257675,5.316360,5.319411", \ "5.239755,5.172693,5.123167,5.123045,5.260110,5.329335,5.332969", \ "5.224367,5.154789,5.102883,5.113415,5.241640,5.303456,5.307004", \ "5.224415,5.149538,5.096683,5.105977,5.227676,5.311804,5.315124", \ "5.242221,5.174204,5.122477,5.145931,5.246200,5.333727,5.339340", \ "5.309712,5.239080,5.185588,5.183149,5.297215,5.393831,5.393051", \ "5.425145,5.344915,5.285638,5.289957,5.429893,5.497750,5.490177"); } } } } /****************************************************************************************** Module : TBUF_X1 Cell Description : Combinational tri-state cell (TBUF_X1) with drive strength X1 *******************************************************************************************/ cell (TBUF_X1) { drive_strength : 1; area : 2.128000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 22.761620; leakage_power () { when : "!A & !EN"; value : 34.202945; } leakage_power () { when : "!A & EN"; value : 12.441076; } leakage_power () { when : "A & !EN"; value : 28.666649; } leakage_power () { when : "A & EN"; value : 15.735809; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.816175; fall_capacitance : 1.680849; rise_capacitance : 1.816175; } pin (EN) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.658947; fall_capacitance : 1.529342; rise_capacitance : 1.658947; } pin (Z) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.078683; fall_capacitance : 1.076909; rise_capacitance : 1.078683; max_capacitance : 51.536430; function : "A"; three_state : "EN"; timing () { related_pin : "A"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("1.442525429,2.688619429,4.300329429,7.523749429,13.97060943,26.86430943,52.65160943"); values ("0.116371,0.126967,0.137161,0.153119,0.178485,0.220606,0.295148", \ "0.119932,0.130525,0.140728,0.156688,0.182061,0.224184,0.298727", \ "0.137188,0.147743,0.157956,0.173953,0.199359,0.241498,0.316049", \ "0.167463,0.178007,0.188225,0.204165,0.229617,0.271799,0.346369", \ "0.206488,0.218097,0.229069,0.245762,0.272015,0.314652,0.389421", \ "0.250158,0.263204,0.275350,0.293391,0.321415,0.366018,0.442424", \ "0.299764,0.314591,0.328174,0.348094,0.378238,0.425032,0.503303"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("1.444298857,2.690392857,4.302102857,7.525522857,13.97238286,26.86608286,52.65338286"); values ("0.0546661,0.0685917,0.0853046,0.117659,0.181587,0.308973,0.563298", \ "0.0589557,0.0728397,0.0895572,0.121953,0.185920,0.313339,0.567669", \ "0.0746902,0.0884629,0.105134,0.137569,0.201692,0.329288,0.583753", \ "0.0957356,0.109902,0.126695,0.159095,0.223143,0.350806,0.605386", \ "0.114753,0.129863,0.146777,0.178746,0.242962,0.370510,0.625135", \ "0.128912,0.146034,0.163814,0.196021,0.259808,0.387480,0.642108", \ "0.136615,0.156562,0.176195,0.209406,0.273017,0.400309,0.655073"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("1.442525429,2.688619429,4.300329429,7.523749429,13.97060943,26.86430943,52.65160943"); values ("0.0160242,0.0202583,0.0249629,0.0332852,0.0484159,0.0773744,0.136056", \ "0.0160321,0.0202644,0.0249679,0.0332844,0.0484144,0.0773791,0.136062", \ "0.0160952,0.0203341,0.0250248,0.0333191,0.0484282,0.0773838,0.136055", \ "0.0163690,0.0205631,0.0251941,0.0334249,0.0484804,0.0774035,0.136065", \ "0.0189511,0.0229218,0.0273761,0.0353013,0.0497808,0.0780888,0.136342", \ "0.0223731,0.0262885,0.0307151,0.0386420,0.0531667,0.0812419,0.138319", \ "0.0266999,0.0305410,0.0349392,0.0428291,0.0571937,0.0848269,0.141281"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("1.444298857,2.690392857,4.302102857,7.525522857,13.97238286,26.86608286,52.65338286"); values ("0.0172319,0.0282738,0.0430024,0.0731630,0.134065,0.256139,0.499906", \ "0.0172474,0.0282861,0.0430026,0.0731547,0.134062,0.256088,0.499909", \ "0.0174635,0.0283949,0.0430463,0.0731698,0.134065,0.256087,0.499907", \ "0.0192067,0.0295507,0.0438560,0.0734418,0.134052,0.256118,0.499908", \ "0.0226905,0.0316748,0.0449784,0.0741485,0.134601,0.256106,0.499908", \ "0.0280917,0.0359198,0.0476151,0.0750997,0.134999,0.256583,0.499929", \ "0.0344502,0.0422329,0.0524948,0.0774142,0.135655,0.257054,0.500361"); } } timing () { related_pin : "EN"; timing_type : three_state_disable; timing_sense : positive_unate; fall_transition(scalar) {values ("0.0"); } rise_transition(scalar) {values ("0.0"); } cell_fall(Tristate_disable_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.371483,0.385513,0.431992,0.508610,0.616775,0.760031,0.940206"); } cell_rise(Tristate_disable_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.094539,0.108936,0.154822,0.227060,0.328639,0.462531,0.631096"); } } timing () { related_pin : "EN"; timing_type : three_state_enable; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("1.442525429,2.688619429,4.300329429,7.523749429,13.97060943,26.86430943,52.65160943"); values ("0.099562,0.110250,0.120607,0.136782,0.162371,0.204656,0.279281", \ "0.100263,0.110962,0.121316,0.137492,0.163083,0.205368,0.279993", \ "0.114546,0.125233,0.135587,0.151756,0.177347,0.219633,0.294258", \ "0.148016,0.158892,0.169307,0.185546,0.211181,0.253497,0.328136", \ "0.191551,0.204498,0.216250,0.233860,0.260789,0.303864,0.378818", \ "0.238809,0.254490,0.268102,0.287681,0.316389,0.361364,0.438446", \ "0.290396,0.309129,0.325028,0.347255,0.378531,0.425473,0.503662"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("1.444298857,2.690392857,4.302102857,7.525522857,13.97238286,26.86608286,52.65338286"); values ("0.105906,0.119379,0.135737,0.167713,0.231350,0.358500,0.612739", \ "0.110031,0.123501,0.139864,0.171837,0.235477,0.362625,0.616864", \ "0.128702,0.142181,0.158540,0.190520,0.254159,0.381300,0.635541", \ "0.163145,0.176630,0.192997,0.224977,0.288609,0.415758,0.669995", \ "0.203094,0.216691,0.233106,0.265092,0.328748,0.455887,0.710116", \ "0.247501,0.261250,0.277721,0.309754,0.373385,0.500510,0.754761", \ "0.296246,0.310188,0.326762,0.358827,0.422474,0.549584,0.803817"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("1.442525429,2.688619429,4.300329429,7.523749429,13.97060943,26.86430943,52.65160943"); values ("0.017800,0.021771,0.026212,0.034219,0.049032,0.077731,0.136203", \ "0.017785,0.021771,0.026224,0.034218,0.049030,0.077732,0.136205", \ "0.017797,0.021768,0.026225,0.034222,0.049033,0.077729,0.136201", \ "0.018345,0.022106,0.026453,0.034369,0.049124,0.077781,0.136221", \ "0.023549,0.026430,0.030204,0.037500,0.051399,0.078982,0.136695", \ "0.029824,0.032137,0.035398,0.041925,0.055163,0.082656,0.139536", \ "0.036546,0.038682,0.041807,0.047873,0.060053,0.085951,0.142224"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("1.444298857,2.690392857,4.302102857,7.525522857,13.97238286,26.86608286,52.65338286"); values ("0.017896,0.028686,0.043217,0.073211,0.134077,0.256022,0.499627", \ "0.017891,0.028680,0.043221,0.073213,0.134080,0.256022,0.499627", \ "0.017866,0.028703,0.043224,0.073208,0.134074,0.256024,0.499626", \ "0.017969,0.028728,0.043238,0.073216,0.134076,0.256023,0.499627", \ "0.018229,0.028916,0.043347,0.073263,0.134083,0.256017,0.499626", \ "0.018629,0.029184,0.043523,0.073336,0.134015,0.256017,0.499626", \ "0.019215,0.029554,0.043762,0.073460,0.134141,0.255916,0.499635"); } } internal_power () { related_pin : "A"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("1.442525429,2.688619429,4.300329429,7.523749429,13.97060943,26.86430943,52.65160943"); values ("3.207283,3.357984,3.473392,3.585738,3.716496,3.794371,3.824237", \ "3.151323,3.296906,3.413794,3.530211,3.656275,3.736512,3.766152", \ "3.080438,3.236776,3.347313,3.475729,3.596094,3.678499,3.713204", \ "3.095516,3.231349,3.343943,3.477238,3.607953,3.690312,3.731152", \ "3.092465,3.269246,3.395983,3.540358,3.683198,3.777256,3.819015", \ "3.105238,3.267210,3.401287,3.586968,3.800232,3.958218,4.005573", \ "3.272703,3.436737,3.570265,3.760001,3.965533,4.175513,4.322301"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("1.444298857,2.690392857,4.302102857,7.525522857,13.97238286,26.86608286,52.65338286"); values ("1.560484,1.621275,1.652349,1.672514,1.684698,1.720650,1.735040", \ "1.515250,1.573904,1.613399,1.635832,1.641167,1.634134,1.657162", \ "1.452358,1.496166,1.536474,1.562086,1.573013,1.631648,1.619051", \ "1.447513,1.495186,1.511803,1.549402,1.537308,1.602686,1.637397", \ "1.615527,1.638280,1.632997,1.634445,1.657963,1.668496,1.709755", \ "1.851517,1.910660,1.920065,1.887552,1.861454,1.864794,1.912822", \ "2.181391,2.265685,2.295642,2.287558,2.280101,2.230970,2.270548"); } } internal_power () { related_pin : "EN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("1.442525429,2.688619429,4.300329429,7.523749429,13.97060943,26.86430943,52.65160943"); values ("2.997267,3.098990,3.195299,3.290170,3.414551,3.488605,3.517861", \ "2.914898,3.028849,3.118783,3.212787,3.338040,3.411448,3.441019", \ "2.888968,2.989904,3.078385,3.186282,3.306526,3.382794,3.412054", \ "2.961141,3.070929,3.155965,3.272844,3.379850,3.455823,3.484972", \ "3.026421,3.165841,3.299253,3.427258,3.547797,3.624406,3.655937", \ "3.172817,3.314784,3.434478,3.576608,3.761067,3.902913,3.943580", \ "3.473511,3.611024,3.715205,3.878426,4.057556,4.227218,4.355132"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("1.444298857,2.690392857,4.302102857,7.525522857,13.97238286,26.86608286,52.65338286"); values ("3.191776,3.218390,3.229513,3.238376,3.247366,3.257305,3.260924", \ "3.148573,3.175225,3.191756,3.195356,3.204277,3.214259,3.217913", \ "3.146397,3.173926,3.186189,3.196064,3.205656,3.216086,3.219845", \ "3.154246,3.200097,3.211577,3.206494,3.237548,3.226885,3.230856", \ "3.183875,3.275870,3.295388,3.310235,3.321573,3.333096,3.337620", \ "3.221261,3.298898,3.354939,3.451966,3.460520,3.464363,3.471061", \ "3.370199,3.462131,3.522157,3.589384,3.689324,3.677671,3.688578"); } } } } /****************************************************************************************** Module : TBUF_X2 Cell Description : Combinational tri-state cell (TBUF_X2) with drive strength X2 *******************************************************************************************/ cell (TBUF_X2) { drive_strength : 2; area : 2.394000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 40.669490; leakage_power () { when : "!A & !EN"; value : 62.825115; } leakage_power () { when : "!A & EN"; value : 20.803698; } leakage_power () { when : "A & !EN"; value : 51.586425; } leakage_power () { when : "A & EN"; value : 27.462723; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.205646; fall_capacitance : 2.916463; rise_capacitance : 3.205646; } pin (EN) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 2.637203; fall_capacitance : 2.476396; rise_capacitance : 2.637203; } pin (Z) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.678245; fall_capacitance : 1.674616; rise_capacitance : 1.678245; max_capacitance : 103.551400; function : "A"; three_state : "EN"; timing () { related_pin : "A"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("2.040231571,4.912335571,8.150055571,14.62551557,27.57631557,53.47811557,105.2816156"); values ("0.101318,0.113912,0.123791,0.139158,0.163716,0.204954,0.278919", \ "0.104815,0.117411,0.127294,0.142668,0.167230,0.208471,0.282437", \ "0.122248,0.134773,0.144664,0.160072,0.184659,0.225915,0.299884", \ "0.152275,0.164849,0.174750,0.190181,0.214846,0.256155,0.330178", \ "0.188969,0.203062,0.213930,0.230264,0.256010,0.298023,0.372319", \ "0.229811,0.245821,0.257897,0.275702,0.303174,0.347125,0.423166", \ "0.276311,0.294690,0.308290,0.327917,0.357563,0.403715,0.481525"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("2.043861286,4.915965286,8.153685286,14.62914529,27.57994529,53.48174529,105.2852453"); values ("0.0484289,0.0651099,0.0819860,0.114509,0.178701,0.306631,0.562115", \ "0.0527228,0.0693337,0.0862171,0.118789,0.183040,0.310991,0.566497", \ "0.0683618,0.0848174,0.101654,0.134277,0.198702,0.326839,0.582481", \ "0.0884091,0.105488,0.122452,0.155159,0.219506,0.347715,0.603481", \ "0.105700,0.124327,0.141490,0.173900,0.238454,0.366617,0.622409", \ "0.117662,0.139301,0.157483,0.189946,0.254069,0.382380,0.638169", \ "0.122950,0.148432,0.168728,0.202314,0.266317,0.394242,0.650244"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("2.040231571,4.912335571,8.150055571,14.62551557,27.57631557,53.47811557,105.2816156"); values ("0.0130477,0.0180660,0.0227592,0.0310587,0.0462251,0.0754500,0.134963", \ "0.0130612,0.0180732,0.0227656,0.0310603,0.0462255,0.0754509,0.134964", \ "0.0131097,0.0181449,0.0228199,0.0310874,0.0462364,0.0754526,0.134968", \ "0.0135733,0.0184585,0.0230505,0.0312323,0.0463111,0.0754883,0.134978", \ "0.0162853,0.0210581,0.0255188,0.0334971,0.0480947,0.0764636,0.135341", \ "0.0197041,0.0244490,0.0288913,0.0368049,0.0513584,0.0796356,0.137532", \ "0.0239702,0.0287138,0.0331553,0.0410549,0.0554390,0.0832274,0.140307"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("2.043861286,4.915965286,8.153685286,14.62914529,27.57994529,53.48174529,105.2852453"); values ("0.0133941,0.0261173,0.0408975,0.0711559,0.132293,0.254797,0.499726", \ "0.0134147,0.0261348,0.0409037,0.0711642,0.132277,0.254790,0.499722", \ "0.0137005,0.0262595,0.0409512,0.0711712,0.132275,0.254796,0.499729", \ "0.0157163,0.0274647,0.0418191,0.0715055,0.132299,0.254778,0.499731", \ "0.0196686,0.0297131,0.0429409,0.0721799,0.132925,0.254806,0.499723", \ "0.0251050,0.0341619,0.0456869,0.0731485,0.133278,0.255444,0.499764", \ "0.0311498,0.0405661,0.0507168,0.0755038,0.133952,0.255893,0.500262"); } } timing () { related_pin : "EN"; timing_type : three_state_disable; timing_sense : positive_unate; fall_transition(scalar) {values ("0.0"); } rise_transition(scalar) {values ("0.0"); } cell_fall(Tristate_disable_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.315579,0.329694,0.377899,0.458661,0.572041,0.720693,0.906716"); } cell_rise(Tristate_disable_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.077009,0.091382,0.136735,0.207796,0.308246,0.440139,0.605696"); } } timing () { related_pin : "EN"; timing_type : three_state_enable; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("2.040231571,4.912335571,8.150055571,14.62551557,27.57631557,53.47811557,105.2816156"); values ("0.084555,0.097208,0.107211,0.122773,0.147524,0.188905,0.262936", \ "0.085178,0.097828,0.107832,0.123395,0.148146,0.189527,0.263561", \ "0.099876,0.112527,0.122529,0.138091,0.162841,0.204222,0.278255", \ "0.133040,0.146214,0.156375,0.172055,0.196890,0.238321,0.312374", \ "0.172599,0.188700,0.200352,0.217555,0.243915,0.286527,0.361015", \ "0.215719,0.235422,0.249020,0.268224,0.296201,0.340302,0.416984", \ "0.263426,0.287057,0.302990,0.324862,0.355394,0.401358,0.478848"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("2.043861286,4.915965286,8.153685286,14.62914529,27.57994529,53.48174529,105.2852453"); values ("0.116706,0.132943,0.149504,0.181682,0.245605,0.373342,0.628729", \ "0.120948,0.137186,0.153746,0.185927,0.249850,0.377586,0.632972", \ "0.139327,0.155562,0.172124,0.204300,0.268227,0.395963,0.651347", \ "0.176003,0.192254,0.208824,0.240999,0.304925,0.432657,0.688045", \ "0.222736,0.239183,0.255806,0.288019,0.351941,0.479668,0.735047", \ "0.273859,0.290629,0.307353,0.339603,0.403530,0.531251,0.786626", \ "0.329290,0.346547,0.363421,0.395728,0.459668,0.587365,0.842734"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("2.040231571,4.912335571,8.150055571,14.62551557,27.57631557,53.47811557,105.2816156"); values ("0.014660,0.019434,0.023892,0.031890,0.046768,0.075752,0.135079", \ "0.014663,0.019433,0.023894,0.031892,0.046771,0.075752,0.135079", \ "0.014675,0.019438,0.023895,0.031893,0.046770,0.075753,0.135079", \ "0.016177,0.020125,0.024349,0.032175,0.046938,0.075840,0.135116", \ "0.021356,0.024669,0.028363,0.035605,0.049823,0.077575,0.135737", \ "0.027392,0.030252,0.033523,0.039951,0.053102,0.080819,0.138911", \ "0.033774,0.036549,0.039766,0.045792,0.057889,0.083963,0.141074"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("2.043861286,4.915965286,8.153685286,14.62914529,27.57994529,53.48174529,105.2852453"); values ("0.014405,0.026753,0.041264,0.071281,0.132309,0.254749,0.499575", \ "0.014406,0.026764,0.041269,0.071270,0.132312,0.254750,0.499576", \ "0.014401,0.026754,0.041260,0.071267,0.132308,0.254756,0.499577", \ "0.014433,0.026786,0.041267,0.071281,0.132300,0.254751,0.499576", \ "0.014826,0.027004,0.041423,0.071339,0.132323,0.254751,0.499577", \ "0.015432,0.027398,0.041660,0.071444,0.132341,0.254768,0.499576", \ "0.016328,0.027954,0.041986,0.071597,0.132410,0.254735,0.499578"); } } internal_power () { related_pin : "A"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("2.040231571,4.912335571,8.150055571,14.62551557,27.57631557,53.47811557,105.2816156"); values ("5.708468,6.066082,6.279281,6.511050,6.713612,6.830535,6.884410", \ "5.580879,5.948087,6.165698,6.379157,6.581840,6.716213,6.763836", \ "5.476996,5.827249,6.036657,6.259861,6.477073,6.606211,6.656275", \ "5.469532,5.815061,6.026730,6.273244,6.483957,6.636600,6.691804", \ "5.397321,5.829341,6.116234,6.410962,6.652974,6.812717,6.881539", \ "5.449905,5.855991,6.128869,6.460058,6.865179,7.197551,7.283344", \ "5.817169,6.217943,6.490389,6.844875,7.243762,7.622882,7.938512"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("2.043861286,4.915965286,8.153685286,14.62914529,27.57994529,53.48174529,105.2852453"); values ("2.911377,3.102130,3.188234,3.207959,3.252744,3.316466,3.294643", \ "2.817075,3.022082,3.101908,3.126778,3.213171,3.228147,3.207737", \ "2.695193,2.842456,2.934829,2.967187,3.024993,3.107827,3.098230", \ "2.719940,2.838465,2.888094,2.963115,3.010739,3.073737,3.077365", \ "3.028410,3.163285,3.148572,3.119049,3.171148,3.239274,3.254712", \ "3.484579,3.692429,3.721506,3.710490,3.661475,3.680358,3.703971", \ "4.104184,4.421221,4.503845,4.496034,4.494090,4.454094,4.469739"); } } internal_power () { related_pin : "EN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("2.040231571,4.912335571,8.150055571,14.62551557,27.57631557,53.47811557,105.2816156"); values ("4.244979,4.535293,4.726498,4.913151,5.111123,5.233780,5.276080", \ "4.136232,4.407069,4.603634,4.803799,4.993257,5.118554,5.160363", \ "4.076036,4.370270,4.543472,4.756956,4.951865,5.073028,5.116081", \ "4.139336,4.450089,4.646159,4.857271,5.050148,5.178252,5.222498", \ "4.144169,4.524735,4.766232,5.036535,5.289788,5.424579,5.469014", \ "4.329740,4.680696,4.932062,5.214407,5.549595,5.811523,5.879054", \ "4.710767,5.084273,5.313675,5.617920,5.937521,6.230835,6.465181"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("2.043861286,4.915965286,8.153685286,14.62914529,27.57994529,53.48174529,105.2852453"); values ("4.908173,5.063283,5.084380,5.099001,5.100548,5.153805,5.101764", \ "4.862003,5.028437,5.040587,5.054032,5.055165,5.108939,5.126393", \ "4.820702,4.960063,5.026176,5.007432,5.077592,5.063136,5.080463", \ "4.865270,5.003945,5.022473,5.064648,5.069401,5.053278,5.071700", \ "4.827451,5.018080,5.101602,5.086912,5.098081,5.147971,5.167180", \ "4.824808,5.034412,5.133980,5.219363,5.234877,5.296929,5.317782", \ "4.894056,5.147173,5.261646,5.355485,5.463019,5.485520,5.490375"); } } } } /****************************************************************************************** Module : TBUF_X4 Cell Description : Combinational tri-state cell (TBUF_X4) with drive strength X4 *******************************************************************************************/ cell (TBUF_X4) { drive_strength : 4; area : 2.926000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 51.951593; leakage_power () { when : "!A & !EN"; value : 77.466610; } leakage_power () { when : "!A & EN"; value : 24.171828; } leakage_power () { when : "A & !EN"; value : 73.968710; } leakage_power () { when : "A & EN"; value : 32.199224; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.264968; fall_capacitance : 2.824392; rise_capacitance : 3.264968; } pin (EN) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 2.335222; fall_capacitance : 2.183987; rise_capacitance : 2.335222; } pin (Z) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.302694; fall_capacitance : 3.297811; rise_capacitance : 3.302694; max_capacitance : 206.680600; function : "A"; three_state : "EN"; timing () { related_pin : "A"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("3.663427071,9.763721071,16.22961107,29.16141107,55.02511107,106.7528111,210.2068111"); values ("0.103291,0.119569,0.131133,0.148689,0.175881,0.220064,0.296749", \ "0.104139,0.120347,0.131905,0.149460,0.176654,0.220839,0.297525", \ "0.118418,0.134499,0.146045,0.163613,0.190829,0.234991,0.311660", \ "0.152166,0.168129,0.179581,0.197208,0.224521,0.268781,0.345486", \ "0.197929,0.216138,0.228729,0.247215,0.275503,0.320337,0.397332", \ "0.247311,0.268659,0.283089,0.303646,0.333859,0.380674,0.459473", \ "0.301078,0.325722,0.342386,0.365680,0.398540,0.447420,0.527670"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("3.668309786,9.768603786,16.23449379,29.16629379,55.02999379,106.7576938,210.2116938"); values ("0.0546989,0.0739180,0.0913474,0.124201,0.188466,0.316281,0.571542", \ "0.0590668,0.0782147,0.0956500,0.128556,0.192877,0.320709,0.575980", \ "0.0751744,0.0941160,0.111488,0.144428,0.208933,0.336972,0.592373", \ "0.0986299,0.118422,0.135996,0.168891,0.233293,0.361427,0.616980", \ "0.119819,0.141870,0.159815,0.192596,0.257137,0.385201,0.640762", \ "0.135621,0.161617,0.180987,0.214225,0.278347,0.406389,0.661699", \ "0.144755,0.175421,0.197408,0.232267,0.296326,0.423802,0.679233"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("3.663427071,9.763721071,16.22961107,29.16141107,55.02511107,106.7528111,210.2068111"); values ("0.0177397,0.0236164,0.0285934,0.0372468,0.0527649,0.0820869,0.140819", \ "0.0177580,0.0236360,0.0286050,0.0372537,0.0527672,0.0820885,0.140813", \ "0.0177827,0.0237019,0.0286621,0.0372885,0.0527816,0.0820912,0.140821", \ "0.0182289,0.0240386,0.0289333,0.0374698,0.0528833,0.0821423,0.140837", \ "0.0233813,0.0283337,0.0326545,0.0404181,0.0547512,0.0831260,0.141255", \ "0.0295781,0.0346333,0.0385928,0.0457344,0.0594930,0.0873433,0.143910", \ "0.0361175,0.0417996,0.0458430,0.0525890,0.0652742,0.0914417,0.147542"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("3.668309786,9.768603786,16.23449379,29.16629379,55.02999379,106.7576938,210.2116938"); values ("0.0144341,0.0279043,0.0424166,0.0722585,0.133085,0.255345,0.499990", \ "0.0144544,0.0279241,0.0424254,0.0722623,0.133077,0.255349,0.499986", \ "0.0146602,0.0280460,0.0424862,0.0722726,0.133084,0.255355,0.499991", \ "0.0172219,0.0295021,0.0433882,0.0725396,0.133099,0.255353,0.499993", \ "0.0222852,0.0326234,0.0453229,0.0736944,0.133691,0.255359,0.499999", \ "0.0289810,0.0384261,0.0492669,0.0753598,0.134330,0.256016,0.499986", \ "0.0366981,0.0463746,0.0559631,0.0789341,0.135379,0.256549,0.500539"); } } timing () { related_pin : "EN"; timing_type : three_state_disable; timing_sense : positive_unate; fall_transition(scalar) {values ("0.0"); } rise_transition(scalar) {values ("0.0"); } cell_fall(Tristate_disable_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.329487,0.343534,0.391866,0.472913,0.586441,0.735462,0.922284"); } cell_rise(Tristate_disable_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.081102,0.095441,0.143738,0.221783,0.330852,0.473055,0.650265"); } } timing () { related_pin : "EN"; timing_type : three_state_enable; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("3.663427071,9.763721071,16.22961107,29.16141107,55.02511107,106.7528111,210.2068111"); values ("0.114882,0.131419,0.143104,0.160832,0.188215,0.232553,0.309326", \ "0.118528,0.135069,0.146755,0.164483,0.191870,0.236207,0.312978", \ "0.135827,0.152363,0.164047,0.181779,0.209165,0.253502,0.330277", \ "0.165933,0.182517,0.194219,0.211966,0.239364,0.283711,0.360490", \ "0.204924,0.223232,0.235751,0.254331,0.282325,0.327012,0.403961", \ "0.248579,0.269388,0.283253,0.303459,0.333366,0.380017,0.458423", \ "0.297453,0.321728,0.337288,0.359475,0.391597,0.440517,0.520991"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("3.668309786,9.768603786,16.23449379,29.16629379,55.02999379,106.7576938,210.2116938"); values ("0.125404,0.144126,0.161251,0.193765,0.257726,0.385361,0.640512", \ "0.129660,0.148384,0.165508,0.198021,0.261981,0.389617,0.644769", \ "0.147979,0.166703,0.183827,0.216336,0.280301,0.407935,0.663085", \ "0.184728,0.203466,0.220601,0.253110,0.317076,0.444707,0.699858", \ "0.232196,0.251130,0.268326,0.300866,0.364828,0.492473,0.747616", \ "0.284290,0.303562,0.320879,0.353475,0.417433,0.545044,0.800180", \ "0.340753,0.360554,0.378017,0.410697,0.474669,0.602251,0.857369"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("3.663427071,9.763721071,16.22961107,29.16141107,55.02511107,106.7528111,210.2068111"); values ("0.019475,0.025104,0.029873,0.038232,0.053440,0.082490,0.140996", \ "0.019480,0.025106,0.029874,0.038234,0.053439,0.082488,0.140994", \ "0.019481,0.025108,0.029876,0.038238,0.053440,0.082489,0.140995", \ "0.019648,0.025198,0.029937,0.038276,0.053466,0.082502,0.141005", \ "0.022793,0.027827,0.032262,0.040093,0.054604,0.083106,0.141262", \ "0.027262,0.031852,0.036203,0.044035,0.058515,0.086527,0.143234", \ "0.033221,0.037013,0.041207,0.048885,0.063135,0.090666,0.146792"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("3.668309786,9.768603786,16.23449379,29.16629379,55.02999379,106.7576938,210.2116938"); values ("0.015609,0.028708,0.042927,0.072443,0.133111,0.255355,0.499971", \ "0.015623,0.028715,0.042932,0.072440,0.133112,0.255356,0.499972", \ "0.015622,0.028717,0.042927,0.072442,0.133109,0.255356,0.499971", \ "0.015654,0.028736,0.042930,0.072454,0.133108,0.255342,0.499970", \ "0.016041,0.028985,0.043097,0.072520,0.133129,0.255342,0.499970", \ "0.016684,0.029394,0.043378,0.072653,0.133162,0.255353,0.499970", \ "0.017624,0.029992,0.043778,0.072858,0.133235,0.255358,0.499974"); } } internal_power () { related_pin : "A"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("3.663427071,9.763721071,16.22961107,29.16141107,55.02511107,106.7528111,210.2068111"); values ("6.481120,7.074802,7.430476,7.812031,8.160200,8.419419,8.556500", \ "6.359993,6.945455,7.306638,7.662081,8.030031,8.292565,8.425568", \ "6.262218,6.822429,7.161229,7.533882,7.916435,8.173622,8.306189", \ "6.357155,6.872043,7.180546,7.560102,7.956942,8.245005,8.398990", \ "6.564484,7.100136,7.432494,7.818342,8.215510,8.522569,8.683483", \ "6.887912,7.329949,7.647325,8.087072,8.612082,9.004136,9.188788", \ "7.521130,7.884800,8.185084,8.594782,9.094189,9.607050,9.951930"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("3.668309786,9.768603786,16.23449379,29.16629379,55.02999379,106.7576938,210.2116938"); values ("4.549977,4.988635,5.114318,5.153761,5.287165,5.285795,5.232113", \ "4.526403,4.912726,5.078293,5.121740,5.212066,5.232044,5.178847", \ "4.354350,4.699770,4.808759,4.910792,4.942894,5.140001,5.056050", \ "4.274641,4.620296,4.769450,4.782648,4.869801,5.039401,5.035720", \ "4.498337,4.879336,4.917363,4.993582,4.950353,5.132689,5.151188", \ "4.836169,5.339493,5.453916,5.468146,5.385620,5.514859,5.550504", \ "5.390133,6.000008,6.195959,6.257363,6.251859,6.277082,6.317591"); } } internal_power () { related_pin : "EN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("3.663427071,9.763721071,16.22961107,29.16141107,55.02511107,106.7528111,210.2068111"); values ("6.165266,6.564551,6.790413,7.054437,7.352867,7.574489,7.684141", \ "6.087525,6.480845,6.708264,6.972265,7.269561,7.490245,7.599442", \ "6.044901,6.424273,6.626609,6.910544,7.202032,7.423362,7.531260", \ "6.017375,6.423943,6.637751,6.915381,7.212938,7.434583,7.547043", \ "5.987471,6.469929,6.718005,6.998794,7.294715,7.530524,7.644036", \ "5.968868,6.399290,6.686610,7.020625,7.461550,7.747566,7.877009", \ "6.018975,6.567187,6.859090,7.219396,7.606553,8.023180,8.282071"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("3.668309786,9.768603786,16.23449379,29.16629379,55.02999379,106.7576938,210.2116938"); values ("7.194472,7.449686,7.465319,7.479376,7.520819,7.558672,7.454448", \ "7.088849,7.416312,7.413195,7.424478,7.548571,7.503558,7.538198", \ "7.144678,7.375884,7.481157,7.498402,7.488116,7.442603,7.477092", \ "7.116683,7.404580,7.486180,7.467668,7.456409,7.410215,7.444917", \ "7.161470,7.477976,7.528622,7.547614,7.537750,7.490851,7.525808", \ "7.030065,7.438343,7.571622,7.650607,7.653374,7.734429,7.632296", \ "7.087685,7.461013,7.661070,7.725982,7.847688,7.940583,7.839988"); } } } } /****************************************************************************************** Module : TBUF_X8 Cell Description : Combinational tri-state cell (TBUF_X8) with drive strength X8 *******************************************************************************************/ cell (TBUF_X8) { drive_strength : 8; area : 4.788000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 104.035260; leakage_power () { when : "!A & !EN"; value : 155.095100; } leakage_power () { when : "!A & EN"; value : 48.457505; } leakage_power () { when : "A & !EN"; value : 148.075930; } leakage_power () { when : "A & EN"; value : 64.512505; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 6.489635; fall_capacitance : 5.591254; rise_capacitance : 6.489635; } pin (EN) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 4.807083; fall_capacitance : 4.487464; rise_capacitance : 4.807083; } pin (Z) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 6.907709; fall_capacitance : 6.898096; rise_capacitance : 6.907709; max_capacitance : 412.598000; function : "A"; three_state : "EN"; timing () { related_pin : "A"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("7.263711571,19.79179557,32.68549557,58.47279557,110.0470956,213.1970956,419.4960956"); values ("0.103035,0.119632,0.131079,0.148523,0.175596,0.219633,0.296121", \ "0.103903,0.120429,0.131868,0.149313,0.176389,0.220427,0.296914", \ "0.118199,0.134634,0.146060,0.163509,0.190580,0.234589,0.311058", \ "0.151981,0.168238,0.179635,0.197165,0.224328,0.268402,0.344862", \ "0.197742,0.216237,0.228675,0.247233,0.275365,0.319994,0.396705", \ "0.247165,0.268773,0.283003,0.303365,0.333461,0.380179,0.458718", \ "0.301018,0.325887,0.342295,0.365355,0.398032,0.446731,0.526770"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("7.273324643,19.80140864,32.69510864,58.48240864,110.0567086,213.2067086,419.5057086"); values ("0.0557590,0.0756423,0.0930827,0.125914,0.190064,0.317621,0.572388", \ "0.0601327,0.0799505,0.0973987,0.130281,0.194486,0.322070,0.576840", \ "0.0762704,0.0958682,0.113276,0.146206,0.210581,0.338362,0.593264", \ "0.100077,0.120561,0.138114,0.171040,0.235397,0.363262,0.618304", \ "0.121624,0.144444,0.162431,0.195339,0.259932,0.387674,0.642716", \ "0.137827,0.164720,0.184109,0.217404,0.281499,0.409389,0.664264", \ "0.147349,0.179070,0.201057,0.235980,0.300031,0.427292,0.682268"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("7.263711571,19.79179557,32.68549557,58.47279557,110.0470956,213.1970956,419.4960956"); values ("0.0177365,0.0237368,0.0286732,0.0372845,0.0527492,0.0820041,0.140620", \ "0.0177597,0.0237572,0.0286878,0.0372885,0.0527537,0.0820031,0.140614", \ "0.0177795,0.0238205,0.0287432,0.0373234,0.0527651,0.0820074,0.140621", \ "0.0182084,0.0241507,0.0290072,0.0374995,0.0528670,0.0820562,0.140636", \ "0.0233321,0.0284237,0.0327249,0.0404440,0.0547382,0.0830441,0.141057", \ "0.0294535,0.0346795,0.0386252,0.0457552,0.0594719,0.0872549,0.143725", \ "0.0359739,0.0418012,0.0458413,0.0525750,0.0652337,0.0913394,0.147331"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("7.273324643,19.80140864,32.69510864,58.48240864,110.0567086,213.2067086,419.5057086"); values ("0.0145576,0.0284108,0.0428732,0.0726067,0.133268,0.255250,0.499370", \ "0.0145751,0.0284301,0.0428828,0.0726134,0.133271,0.255242,0.499363", \ "0.0147804,0.0285537,0.0429493,0.0726194,0.133272,0.255249,0.499365", \ "0.0172957,0.0299769,0.0438213,0.0728786,0.133295,0.255253,0.499365", \ "0.0223474,0.0330488,0.0457735,0.0740666,0.133875,0.255267,0.499370", \ "0.0290499,0.0387945,0.0496856,0.0757497,0.134518,0.255925,0.499364", \ "0.0367469,0.0467342,0.0563468,0.0793256,0.135586,0.256451,0.499947"); } } timing () { related_pin : "EN"; timing_type : three_state_disable; timing_sense : positive_unate; fall_transition(scalar) {values ("0.0"); } rise_transition(scalar) {values ("0.0"); } cell_fall(Tristate_disable_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.341214,0.355272,0.403711,0.485088,0.598947,0.748395,0.935634"); } cell_rise(Tristate_disable_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.083841,0.098178,0.146429,0.224345,0.333232,0.475326,0.652472"); } } timing () { related_pin : "EN"; timing_type : three_state_enable; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("7.263711571,19.79179557,32.68549557,58.47279557,110.0470956,213.1970956,419.4960956"); values ("0.114597,0.131487,0.143049,0.160661,0.187921,0.232109,0.308683", \ "0.118254,0.135153,0.146716,0.164328,0.191589,0.235780,0.312352", \ "0.135574,0.152456,0.164020,0.181633,0.208893,0.253083,0.329659", \ "0.165683,0.182615,0.194199,0.211830,0.239103,0.283301,0.359879", \ "0.204649,0.223312,0.235695,0.254153,0.282026,0.326582,0.403350", \ "0.248166,0.269479,0.283196,0.303247,0.333024,0.379524,0.457726", \ "0.297029,0.321774,0.337160,0.359188,0.391169,0.439926,0.520183"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("7.273324643,19.80140864,32.69510864,58.48240864,110.0567086,213.2067086,419.5057086"); values ("0.127635,0.147014,0.164163,0.196663,0.260522,0.387903,0.642571", \ "0.131905,0.151282,0.168431,0.200931,0.264786,0.392170,0.646838", \ "0.150191,0.169568,0.186721,0.219223,0.283079,0.410461,0.665131", \ "0.186965,0.206362,0.223518,0.256021,0.319877,0.447259,0.701928", \ "0.234733,0.254329,0.271551,0.304069,0.367920,0.495300,0.749963", \ "0.287098,0.307039,0.324347,0.356932,0.420790,0.548152,0.802805", \ "0.343868,0.364330,0.381809,0.414483,0.478358,0.605698,0.860337"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("7.263711571,19.79179557,32.68549557,58.47279557,110.0470956,213.1970956,419.4960956"); values ("0.019425,0.025178,0.029919,0.038248,0.053413,0.082396,0.140793", \ "0.019430,0.025177,0.029920,0.038249,0.053415,0.082397,0.140794", \ "0.019422,0.025185,0.029922,0.038252,0.053414,0.082398,0.140793", \ "0.019601,0.025271,0.029984,0.038291,0.053439,0.082410,0.140799", \ "0.022735,0.027883,0.032309,0.040117,0.054585,0.083020,0.141064", \ "0.027202,0.031900,0.036234,0.044047,0.058490,0.086443,0.143041", \ "0.033216,0.037039,0.041230,0.048890,0.063105,0.090573,0.146587"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("7.273324643,19.80140864,32.69510864,58.48240864,110.0567086,213.2067086,419.5057086"); values ("0.015747,0.029230,0.043396,0.072806,0.133293,0.255249,0.499351", \ "0.015751,0.029229,0.043394,0.072806,0.133296,0.255250,0.499351", \ "0.015763,0.029234,0.043399,0.072805,0.133299,0.255251,0.499349", \ "0.015801,0.029254,0.043406,0.072816,0.133297,0.255251,0.499351", \ "0.016178,0.029490,0.043568,0.072878,0.133310,0.255250,0.499351", \ "0.016802,0.029893,0.043839,0.073021,0.133355,0.255252,0.499351", \ "0.017713,0.030473,0.044240,0.073216,0.133421,0.255264,0.499356"); } } internal_power () { related_pin : "A"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("7.263711571,19.79179557,32.68549557,58.47279557,110.0470956,213.1970956,419.4960956"); values ("13.247740,14.501060,15.165070,15.925540,16.674780,17.196750,17.461260", \ "12.984230,14.243060,14.932950,15.691280,16.411190,16.951380,17.221520", \ "12.814160,13.971650,14.662090,15.434120,16.174860,16.712970,16.986240", \ "13.017960,14.078680,14.697610,15.508620,16.285200,16.852800,17.155370", \ "13.418830,14.550140,15.186550,15.970450,16.774810,17.410950,17.731500", \ "14.070260,14.998910,15.630770,16.490570,17.573930,18.374680,18.748950", \ "15.372540,16.105370,16.676340,17.521400,18.548030,19.535770,20.257280"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("7.273324643,19.80140864,32.69510864,58.48240864,110.0567086,213.2067086,419.5057086"); values ("9.182981,10.044190,10.354470,10.539820,10.474040,10.549840,10.556640", \ "9.113486,9.914634,10.235710,10.327500,10.163360,10.444290,10.453170", \ "8.767277,9.551064,9.828322,9.867072,10.276150,10.154800,10.206420", \ "8.671870,9.386389,9.604221,9.806189,10.089680,10.207690,10.130790", \ "9.063335,9.822736,9.959932,10.144700,10.194950,10.152010,10.301070", \ "9.703458,10.774430,10.956420,10.920780,10.883110,11.319420,11.501790", \ "10.774670,12.069650,12.479310,12.650310,12.666240,12.316640,12.520980"); } } internal_power () { related_pin : "EN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("7.263711571,19.79179557,32.68549557,58.47279557,110.0470956,213.1970956,419.4960956"); values ("12.649940,13.353740,13.824940,14.373540,14.962900,15.412610,15.641170", \ "12.484010,13.209370,13.619420,14.201860,14.780860,15.244860,15.466120", \ "12.274000,13.062750,13.490250,14.066000,14.629070,15.091360,15.328790", \ "12.340560,13.054070,13.491240,14.078910,14.668440,15.130130,15.350750", \ "12.161640,13.158210,13.641630,14.203090,14.858300,15.312250,15.554790", \ "11.957970,13.029290,13.577650,14.282130,15.172210,15.751750,16.015600", \ "12.418170,13.351580,13.941220,14.625420,15.490890,16.298730,16.839600"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("7.273324643,19.80140864,32.69510864,58.48240864,110.0567086,213.2067086,419.5057086"); values ("14.744570,15.283910,15.378940,15.479540,15.471130,15.562650,15.468900", \ "14.681880,15.270080,15.427430,15.475920,15.484330,15.451810,15.357610", \ "14.625910,15.216900,15.311930,15.358500,15.421540,15.331700,15.237010", \ "14.649440,15.151330,15.330630,15.311150,15.397370,15.371020,15.219010", \ "14.719930,15.331960,15.380630,15.570120,15.575030,15.403190,15.308810", \ "14.444620,15.277100,15.587800,15.682570,15.858280,15.868790,15.777870", \ "14.435870,15.423600,15.743500,16.021880,16.284640,16.257530,16.167400"); } } } } /****************************************************************************************** Module : TBUF_X16 Cell Description : Combinational tri-state cell (TBUF_X16) with drive strength X16 *******************************************************************************************/ cell (TBUF_X16) { drive_strength : 16; area : 6.916000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 149.175199; leakage_power () { when : "!A & !EN"; value : 213.621750; } leakage_power () { when : "!A & EN"; value : 67.435180; } leakage_power () { when : "A & !EN"; value : 232.147035; } leakage_power () { when : "A & EN"; value : 83.496830; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 6.251126; fall_capacitance : 5.487122; rise_capacitance : 6.251126; } pin (EN) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 4.760983; fall_capacitance : 4.458787; rise_capacitance : 4.760983; } pin (Z) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 13.396614; fall_capacitance : 13.384244; rise_capacitance : 13.396614; max_capacitance : 820.073700; function : "A"; three_state : "EN"; timing () { related_pin : "A"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("13.74985957,39.01904357,64.65374357,115.9232436,218.4622436,423.5402436,833.6962436"); values ("0.140000,0.162105,0.176815,0.198612,0.231091,0.281402,0.364314", \ "0.141513,0.163471,0.178157,0.199947,0.232423,0.282735,0.365645", \ "0.155324,0.177130,0.191778,0.213514,0.245940,0.296204,0.379105", \ "0.188603,0.209994,0.224516,0.246289,0.278754,0.329036,0.411866", \ "0.241521,0.263241,0.277861,0.299677,0.332369,0.382803,0.465690", \ "0.301700,0.325997,0.342425,0.366151,0.400753,0.452667,0.536410", \ "0.366312,0.393253,0.411786,0.438293,0.475732,0.530351,0.616606"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("13.76223029,39.03141429,64.66611429,115.9356143,218.4746143,423.5526143,833.7086143"); values ("0.0732067,0.0967358,0.115837,0.150157,0.214973,0.342418,0.596443", \ "0.0776889,0.101199,0.120295,0.154637,0.219480,0.346940,0.600964", \ "0.0941008,0.117396,0.136435,0.170770,0.235707,0.363315,0.617452", \ "0.123223,0.147036,0.166008,0.200374,0.265327,0.393008,0.647268", \ "0.153185,0.179654,0.199399,0.234019,0.299075,0.426568,0.680787", \ "0.178641,0.209593,0.231056,0.266498,0.331517,0.458931,0.712831", \ "0.197767,0.234062,0.258441,0.296115,0.361567,0.488347,0.742014"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("13.74985957,39.01904357,64.65374357,115.9232436,218.4622436,423.5402436,833.6962436"); values ("0.0280851,0.0353564,0.0409042,0.0502983,0.0666180,0.0963954,0.154115", \ "0.0280477,0.0353589,0.0409099,0.0503022,0.0666227,0.0963922,0.154116", \ "0.0280262,0.0353698,0.0409339,0.0503266,0.0666339,0.0963974,0.154117", \ "0.0279761,0.0354562,0.0410413,0.0504141,0.0666871,0.0964231,0.154127", \ "0.0309614,0.0373406,0.0424115,0.0513558,0.0672866,0.0967692,0.154299", \ "0.0387844,0.0451341,0.0497731,0.0577192,0.0721884,0.0998259,0.155759", \ "0.0472649,0.0540232,0.0587718,0.0662937,0.0797359,0.106232,0.160714"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("13.76223029,39.03141429,64.66611429,115.9356143,218.4746143,423.5526143,833.7086143"); values ("0.0189231,0.0331781,0.0473119,0.0760680,0.135470,0.256551,0.499706", \ "0.0189352,0.0331928,0.0473209,0.0760682,0.135469,0.256557,0.499711", \ "0.0190128,0.0332919,0.0473993,0.0761117,0.135477,0.256558,0.499690", \ "0.0210470,0.0342771,0.0479731,0.0763521,0.135524,0.256562,0.499706", \ "0.0270569,0.0383969,0.0509321,0.0780563,0.136062,0.256589,0.499689", \ "0.0350714,0.0454733,0.0562522,0.0810589,0.137477,0.257115,0.499695", \ "0.0442386,0.0550532,0.0646882,0.0864360,0.139552,0.257979,0.500141"); } } timing () { related_pin : "EN"; timing_type : three_state_disable; timing_sense : positive_unate; fall_transition(scalar) {values ("0.0"); } rise_transition(scalar) {values ("0.0"); } cell_fall(Tristate_disable_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.369475,0.383468,0.431637,0.512460,0.625397,0.774056,0.960217"); } cell_rise(Tristate_disable_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.089200,0.103481,0.153551,0.237129,0.352908,0.503025,0.689176"); } } timing () { related_pin : "EN"; timing_type : three_state_enable; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("13.74985957,39.01904357,64.65374357,115.9232436,218.4622436,423.5402436,833.6962436"); values ("0.150174,0.173421,0.188377,0.210391,0.243083,0.293582,0.376622", \ "0.154185,0.177450,0.192408,0.214423,0.247117,0.297613,0.380658", \ "0.171323,0.194588,0.209550,0.231568,0.264261,0.314761,0.397805", \ "0.201314,0.224562,0.239537,0.261572,0.294257,0.344760,0.427806", \ "0.244120,0.268373,0.283589,0.305807,0.338656,0.389251,0.472350", \ "0.293793,0.320911,0.337622,0.361510,0.395980,0.447848,0.531585", \ "0.349567,0.380227,0.398681,0.424606,0.461342,0.515655,0.601779"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("13.76223029,39.03141429,64.66611429,115.9356143,218.4746143,423.5526143,833.7086143"); values ("0.144053,0.167054,0.185853,0.219807,0.284249,0.411411,0.665268", \ "0.148307,0.171312,0.190110,0.224064,0.288504,0.415668,0.669525", \ "0.166643,0.189646,0.208444,0.242401,0.306843,0.434004,0.687860", \ "0.203331,0.226350,0.245155,0.279112,0.343557,0.470716,0.724572", \ "0.250787,0.273978,0.292828,0.326818,0.391257,0.518417,0.772264", \ "0.303040,0.326442,0.345416,0.379494,0.443962,0.571105,0.824941", \ "0.360048,0.383918,0.403039,0.437218,0.501712,0.628832,0.882666"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("13.74985957,39.01904357,64.65374357,115.9232436,218.4622436,423.5402436,833.6962436"); values ("0.030120,0.037023,0.042383,0.051505,0.067502,0.096963,0.154422", \ "0.030128,0.037025,0.042386,0.051507,0.067507,0.096967,0.154423", \ "0.030145,0.037033,0.042389,0.051510,0.067508,0.096967,0.154424", \ "0.030178,0.037066,0.042414,0.051526,0.067518,0.096972,0.154425", \ "0.032569,0.038375,0.043296,0.052085,0.067855,0.097169,0.154526", \ "0.038034,0.043384,0.048101,0.056435,0.071431,0.099503,0.155661", \ "0.044952,0.049415,0.053914,0.062031,0.076797,0.104617,0.159741"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("13.76223029,39.03141429,64.66611429,115.9356143,218.4746143,423.5526143,833.7086143"); values ("0.020112,0.034078,0.047986,0.076419,0.135553,0.256553,0.499682", \ "0.020122,0.034079,0.047984,0.076418,0.135553,0.256556,0.499684", \ "0.020111,0.034082,0.047987,0.076418,0.135552,0.256558,0.499683", \ "0.020146,0.034098,0.047994,0.076428,0.135551,0.256557,0.499682", \ "0.020439,0.034309,0.048142,0.076500,0.135569,0.256559,0.499683", \ "0.021028,0.034685,0.048426,0.076672,0.135638,0.256571,0.499682", \ "0.021857,0.035231,0.048842,0.076908,0.135723,0.256577,0.499686"); } } internal_power () { related_pin : "A"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("13.74985957,39.01904357,64.65374357,115.9232436,218.4622436,423.5402436,833.6962436"); values ("19.971920,21.716190,22.833230,24.209350,25.582490,26.806550,27.598180", \ "19.875170,21.581360,22.676490,24.045760,25.399370,26.650020,27.407340", \ "19.793550,21.408680,22.469710,23.786230,25.187160,26.398090,27.183190", \ "20.076390,21.496920,22.439550,23.728850,25.159610,26.411420,27.207990", \ "21.289090,22.244480,23.054770,24.172050,25.553010,26.819570,27.672470", \ "22.731990,23.294060,24.034180,25.084070,26.407020,27.662470,28.556060", \ "24.926700,24.802310,25.233160,26.130540,27.453990,28.971330,29.917650"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("13.76223029,39.03141429,64.66611429,115.9356143,218.4746143,423.5526143,833.7086143"); values ("16.177330,18.236020,18.934100,19.434240,19.937580,19.858560,19.419580", \ "16.178310,18.159980,18.907640,19.245980,19.788560,19.718050,20.205600", \ "15.829230,17.723470,18.347000,18.935870,19.278130,19.304540,19.866180", \ "15.661370,17.463860,18.093490,18.607180,19.063840,19.016320,19.676460", \ "15.714810,17.547910,18.129800,18.519000,18.956460,18.898830,19.633550", \ "16.174700,18.216330,18.738530,19.175040,18.951890,20.027980,19.922120", \ "17.240190,19.255660,20.212000,20.767340,20.795390,20.980800,20.967810"); } } internal_power () { related_pin : "EN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("13.74985957,39.01904357,64.65374357,115.9232436,218.4622436,423.5402436,833.6962436"); values ("17.562110,18.686500,19.300480,20.136750,21.103500,22.065780,22.725280", \ "17.383840,18.528830,19.141690,19.970560,20.960920,21.919210,22.570560", \ "17.296430,18.380510,19.001540,19.822480,20.806460,21.758160,22.429450", \ "17.311100,18.348970,19.007050,19.819480,20.788790,21.782570,22.433650", \ "17.529690,18.562360,19.145650,19.976010,20.977130,21.943670,22.613780", \ "17.562390,18.647040,19.345470,20.323520,21.333080,22.355180,23.009110", \ "17.722490,18.948660,19.636360,20.570050,21.738460,22.979540,23.751660"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("13.76223029,39.03141429,64.66611429,115.9356143,218.4746143,423.5526143,833.7086143"); values ("21.296630,22.655810,23.122790,23.437570,23.429020,23.595290,23.584440", \ "21.165820,22.585820,23.071540,23.136300,23.277500,23.442810,23.131440", \ "21.013410,22.593910,22.800690,23.198440,23.094120,23.258860,22.945450", \ "20.911960,22.540470,23.058610,23.354980,22.962110,23.125660,23.365980", \ "21.138580,22.651730,23.156230,23.357420,23.154010,23.318720,23.560300", \ "21.223880,22.790970,23.457560,23.733510,23.809630,23.541150,23.680130", \ "21.148500,22.871450,23.543880,23.746460,24.094740,24.271410,23.970670"); } } } } /****************************************************************************************** Module : TINV_X1 Cell Description : Combinational tri-state cell (TINV_X1) with drive strength X1 *******************************************************************************************/ cell (TINV_X1) { drive_strength : 1; area : 1.064000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 11.023330; leakage_power () { when : "!EN & !I"; value : 12.684210; } leakage_power () { when : "!EN & I"; value : 16.616089; } leakage_power () { when : "EN & !I"; value : 5.738912; } leakage_power () { when : "EN & I"; value : 9.054108; } pin (EN) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.721261; fall_capacitance : 1.587730; rise_capacitance : 1.721261; } pin (I) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.402087; fall_capacitance : 1.316108; rise_capacitance : 1.402087; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 0.817838; fall_capacitance : 0.814849; rise_capacitance : 0.817838; max_capacitance : 22.500210; function : "!I"; three_state : "EN"; timing () { related_pin : "EN"; timing_type : three_state_disable; timing_sense : positive_unate; fall_transition(scalar) {values ("0.0"); } rise_transition(scalar) {values ("0.0"); } cell_fall(Tristate_disable_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.448708,0.489415,0.550248,0.623347,0.739824,0.910029,1.141132"); } cell_rise(Tristate_disable_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.140522,0.154690,0.197789,0.264964,0.368757,0.508093,0.681669"); } } timing () { related_pin : "EN"; timing_type : three_state_enable; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("1.180465164,1.541970164,2.260919164,3.690729164,6.534269164,12.18934916,23.43604916"); values ("0.047194,0.050553,0.056484,0.066724,0.084687,0.117613,0.181004", \ "0.051261,0.054641,0.060587,0.070836,0.088812,0.121748,0.185142", \ "0.070182,0.073554,0.079507,0.089775,0.107769,0.140729,0.204138", \ "0.100074,0.104097,0.110923,0.121973,0.140607,0.173736,0.237088", \ "0.132170,0.137190,0.145379,0.158046,0.178076,0.212318,0.276322", \ "0.166961,0.173167,0.183013,0.197788,0.219965,0.255650,0.320258", \ "0.204458,0.211932,0.223675,0.240973,0.265990,0.304060,0.369821"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("1.183453921,1.544958921,2.263907921,3.693717921,6.537257921,12.19233792,23.43903792"); values ("0.035926,0.045033,0.063484,0.097104,0.162318,0.291222,0.546633", \ "0.039700,0.048778,0.067248,0.100892,0.166091,0.295001,0.550411", \ "0.055666,0.064074,0.082396,0.116293,0.181409,0.310271,0.565667", \ "0.076071,0.088990,0.112030,0.147278,0.211942,0.340565,0.595804", \ "0.089271,0.107764,0.140135,0.190358,0.260768,0.388565,0.643323", \ "0.092582,0.117536,0.160436,0.228578,0.321036,0.457287,0.710892", \ "0.081727,0.114346,0.168662,0.256524,0.376092,0.543725,0.801025"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("1.180465164,1.541970164,2.260919164,3.690729164,6.534269164,12.18934916,23.43604916"); values ("0.017650,0.018876,0.021576,0.027459,0.039915,0.066047,0.120097", \ "0.017627,0.018849,0.021560,0.027458,0.039913,0.066051,0.120098", \ "0.017906,0.019006,0.021660,0.027491,0.039938,0.066053,0.120101", \ "0.020320,0.021269,0.023635,0.029082,0.040936,0.066417,0.120148", \ "0.024935,0.025734,0.027514,0.032092,0.042903,0.067665,0.120635", \ "0.031022,0.031587,0.033131,0.037112,0.046691,0.069547,0.121339", \ "0.037928,0.038343,0.039805,0.043546,0.052264,0.073274,0.122852"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("1.183453921,1.544958921,2.263907921,3.693717921,6.537257921,12.19233792,23.43903792"); values ("0.032101,0.041444,0.058101,0.088504,0.149773,0.267358,0.499187", \ "0.031976,0.041385,0.058103,0.088491,0.149758,0.267360,0.499187", \ "0.032934,0.041234,0.057693,0.088255,0.149654,0.267360,0.499186", \ "0.048955,0.056858,0.068032,0.090555,0.149246,0.267355,0.499186", \ "0.070773,0.080548,0.095281,0.115211,0.157048,0.267248,0.499187", \ "0.097684,0.109195,0.128319,0.153115,0.190465,0.276280,0.499187", \ "0.131113,0.143274,0.166925,0.198807,0.237863,0.314089,0.502022"); } } timing () { related_pin : "I"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("1.180465164,1.541970164,2.260919164,3.690729164,6.534269164,12.18934916,23.43604916"); values ("0.0211175,0.0237563,0.0287015,0.0378841,0.0550164,0.0876035,0.151059", \ "0.0258161,0.0284491,0.0333877,0.0425576,0.0596922,0.0922723,0.155726", \ "0.0384320,0.0414003,0.0468029,0.0563347,0.0735745,0.106282,0.169819", \ "0.0542488,0.0584036,0.0657008,0.0781860,0.0989264,0.133832,0.197855", \ "0.0674647,0.0736438,0.0841786,0.101634,0.129067,0.171929,0.241763", \ "0.0748376,0.0834030,0.0978728,0.121981,0.159031,0.213568,0.296141", \ "0.0750591,0.0861423,0.105160,0.136584,0.185118,0.254931,0.354815"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("1.183453921,1.544958921,2.263907921,3.693717921,6.537257921,12.19233792,23.43903792"); values ("0.0777477,0.0863183,0.103107,0.136135,0.201385,0.329998,0.585178", \ "0.0817018,0.0903762,0.107360,0.140656,0.205991,0.334913,0.590215", \ "0.0992906,0.107921,0.124898,0.158218,0.223768,0.352961,0.608605", \ "0.129647,0.138421,0.155051,0.188178,0.253607,0.382771,0.638565", \ "0.164923,0.175749,0.196104,0.232681,0.298606,0.427670,0.683303", \ "0.204491,0.217561,0.241624,0.284616,0.359642,0.490968,0.746431", \ "0.249557,0.265115,0.293317,0.343061,0.428249,0.573120,0.829912"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("1.180465164,1.541970164,2.260919164,3.690729164,6.534269164,12.18934916,23.43604916"); values ("0.0117741,0.0136576,0.0172824,0.0243121,0.0380465,0.0653554,0.120023", \ "0.0116400,0.0135474,0.0172010,0.0242550,0.0380230,0.0653417,0.120018", \ "0.0130938,0.0148771,0.0182127,0.0246588,0.0380009,0.0653483,0.120014", \ "0.0187193,0.0205810,0.0240932,0.0306256,0.0428381,0.0670096,0.120075", \ "0.0290146,0.0313080,0.0352922,0.0420911,0.0543274,0.0776453,0.124291", \ "0.0429495,0.0459002,0.0511229,0.0592147,0.0721428,0.0951896,0.140165", \ "0.0596203,0.0635210,0.0702321,0.0806780,0.0958256,0.119828,0.163935"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("1.183453921,1.544958921,2.263907921,3.693717921,6.537257921,12.19233792,23.43903792"); values ("0.0391627,0.0467474,0.0617180,0.0914860,0.150806,0.267707,0.499607", \ "0.0391531,0.0467355,0.0617547,0.0915009,0.150610,0.267702,0.499613", \ "0.0391124,0.0467002,0.0617096,0.0914494,0.150620,0.267667,0.499605", \ "0.0417747,0.0484501,0.0622552,0.0913783,0.150602,0.267667,0.499601", \ "0.0522212,0.0593044,0.0730802,0.0982332,0.151656,0.267692,0.499601", \ "0.0657067,0.0733694,0.0880734,0.115233,0.164898,0.269850,0.499604", \ "0.0821787,0.0906014,0.106509,0.135380,0.187777,0.285293,0.500392"); } } internal_power () { related_pin : "EN"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("1.180465164,1.541970164,2.260919164,3.690729164,6.534269164,12.18934916,23.43604916"); values ("1.823073,1.863163,1.904781,1.962567,2.009642,2.047545,2.072081", \ "1.777049,1.817883,1.873474,1.926087,1.973249,2.006889,2.031912", \ "1.766755,1.813827,1.861497,1.917813,1.960692,1.998290,2.026048", \ "1.739467,1.805798,1.888600,1.950127,2.004538,2.041655,2.070882", \ "1.653268,1.706322,1.798544,1.904124,2.051069,2.138065,2.170792", \ "1.686248,1.745725,1.830379,1.946971,2.073441,2.214628,2.334770", \ "1.814398,1.854712,1.939459,2.059275,2.213456,2.340233,2.487395"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("1.183453921,1.544958921,2.263907921,3.693717921,6.537257921,12.19233792,23.43903792"); values ("2.291349,2.301501,2.303570,2.324228,2.335110,2.343072,2.352067", \ "2.248425,2.259093,2.262097,2.283669,2.294220,2.303354,2.313145", \ "2.230515,2.248784,2.264671,2.272698,2.283380,2.294372,2.303246", \ "2.283435,2.301447,2.307792,2.319175,2.339325,2.341047,2.348184", \ "2.212480,2.311305,2.420359,2.430400,2.434475,2.445346,2.448726", \ "2.175277,2.328085,2.442356,2.591728,2.607780,2.617388,2.611408", \ "2.135611,2.389507,2.568925,2.700706,2.873390,2.855459,2.863610"); } } internal_power () { related_pin : "I"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("1.180465164,1.541970164,2.260919164,3.690729164,6.534269164,12.18934916,23.43604916"); values ("0.281942,0.304069,0.329036,0.368404,0.405209,0.444220,0.470473", \ "0.251933,0.274565,0.304880,0.339030,0.385748,0.415579,0.445465", \ "0.213497,0.234147,0.266696,0.308492,0.357781,0.392914,0.422308", \ "0.271993,0.284980,0.291954,0.306200,0.336714,0.373786,0.411702", \ "0.351700,0.354410,0.357249,0.372333,0.374212,0.372959,0.411127", \ "0.468356,0.468265,0.464418,0.459538,0.458324,0.444539,0.429737", \ "0.645751,0.641282,0.629964,0.608944,0.583230,0.550391,0.503804"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("1.183453921,1.544958921,2.263907921,3.693717921,6.537257921,12.19233792,23.43903792"); values ("1.877555,1.883069,1.883031,1.885561,1.905353,1.905413,1.920694", \ "1.843408,1.847188,1.851961,1.866602,1.878746,1.892821,1.893175", \ "1.806280,1.813282,1.814498,1.819672,1.838504,1.875481,1.890461", \ "1.799946,1.802010,1.801673,1.802326,1.818619,1.855302,1.872666", \ "1.795184,1.822504,1.827170,1.816763,1.812700,1.844648,1.856591", \ "1.766069,1.790169,1.828652,1.888784,1.873158,1.861395,1.893115", \ "1.868811,1.880014,1.898574,1.943741,1.981055,1.940443,1.948897"); } } } } /****************************************************************************************** Module : TLAT_X1 Cell Description : High enable Latch with drive strength X1 *******************************************************************************************/ cell (TLAT_X1) { drive_strength : 1; latch ("IQ" , "IQN") { data_in : "D"; enable : "G"; } area : 3.458000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 30.698495; leakage_power () { when : "!D & !G & !OE"; value : 25.622355; } leakage_power () { when : "!D & !G & OE & !Q"; value : 30.157303; } leakage_power () { when : "!D & !G & OE & Q"; value : 28.246122; } leakage_power () { when : "!D & G & !OE"; value : 28.376186; } leakage_power () { when : "!D & G & OE & !Q"; value : 32.258010; } leakage_power () { when : "D & !G & !OE"; value : 30.947157; } leakage_power () { when : "D & !G & OE & !Q"; value : 39.463769; } leakage_power () { when : "D & !G & OE & Q"; value : 29.601325; } leakage_power () { when : "D & G & !OE"; value : 29.527415; } leakage_power () { when : "D & G & OE & Q"; value : 32.785307; } pin (D) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.102746; fall_capacitance : 1.030279; rise_capacitance : 1.102746; timing () { related_pin : "G"; timing_type : hold_falling; fall_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.053733,0.116790,0.247177", \ "0.092716,0.155575,0.285172", \ "0.387485,0.457417,0.605184"); } rise_constraint(Hold_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.048583,0.105904,0.212030", \ "0.063059,0.104980,0.193962", \ "0.210585,0.245317,0.292494"); } } timing () { related_pin : "G"; timing_type : setup_falling; fall_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("0.134199,0.101903,0.104482", \ "0.189774,0.154072,0.138809", \ "0.338545,0.296641,0.243129"); } rise_constraint(Setup_3_3) { index_1 ("0.00231025,0.112657,0.500000"); index_2 ("0.00231025,0.112657,0.500000"); values ("-0.007773,-0.070194,-0.195180", \ "0.036161,-0.027855,-0.161553", \ "0.113365,0.043436,-0.104321"); } } internal_power () { when : "!G & !OE"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.556863,0.536105,0.526531,0.521407,0.518661,0.517312,0.516565"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.380414,-0.381319,-0.384425,-0.389556,-0.396808,-0.406546,-0.419114"); } } internal_power () { when : "!G & OE & !Q"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.557826,0.535323,0.525683,0.520631,0.517769,0.516509,0.515755"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.346270,-0.347149,-0.350084,-0.354827,-0.361456,-0.370324,-0.381726"); } } internal_power () { when : "!G & OE & Q"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.481386,0.484498,0.485099,0.485378,0.485126,0.485397,0.484526"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("-0.308621,-0.309069,-0.310298,-0.313217,-0.318356,-0.326017,-0.336371"); } } internal_power () { when : "G & !OE"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.822837,2.805352,2.781294,2.768714,2.779831,2.833316,2.948968"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.839398,1.815236,1.791141,1.769630,1.778520,1.827065,1.941232"); } } } pin (G) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock : true; capacitance : 0.972544; fall_capacitance : 0.877472; rise_capacitance : 0.972544; timing () { related_pin : "G"; timing_type : min_pulse_width; rise_constraint(Pulse_width_3) { index_1 ("0.00231025,0.112657,0.500000"); values ("0.107635,0.133562,0.500500"); } } internal_power () { when : "!D & !OE"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.097761,2.069960,2.045501,2.073035,2.170795,2.332679,2.567998"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.767078,1.751668,1.719800,1.724009,1.792714,1.937948,2.172474"); } } internal_power () { when : "!D & OE & !Q"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.102428,2.074360,2.049318,2.080396,2.173318,2.333087,2.567828"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.741857,1.726755,1.694829,1.699912,1.766625,1.910105,2.141091"); } } internal_power () { when : "D & !OE"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.208166,2.178216,2.152768,2.182650,2.277854,2.443652,2.681498"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("3.494362,3.475846,3.448195,3.455258,3.527937,3.684716,3.920932"); } } internal_power () { when : "D & OE & Q"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("2.147404,2.117260,2.091842,2.121956,2.217844,2.379276,2.619332"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.567485,1.550995,1.518772,1.523802,1.591812,1.737434,1.967948"); } } } pin (OE) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.459108; fall_capacitance : 1.382182; rise_capacitance : 1.459108; internal_power () { when : "!D & !G & !Q"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.273210,1.214727,1.198020,1.250515,1.358050,1.533649,1.786385"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.216684,0.195213,0.173301,0.194391,0.278541,0.438059,0.676245"); } } internal_power () { when : "!D & !G & Q"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.269686,1.232059,1.221298,1.264530,1.355484,1.508404,1.733295"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.560570,0.541392,0.518819,0.534025,0.612014,0.758526,0.987072"); } } internal_power () { when : "!D & G & !Q"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.272871,1.214205,1.197353,1.250224,1.357660,1.532523,1.783928"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.665940,0.647077,0.625977,0.645668,0.727040,0.891388,1.136380"); } } internal_power () { when : "D & !G & !Q"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.273562,1.214813,1.198083,1.250751,1.357919,1.533677,1.784793"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.611659,0.592783,0.571318,0.592508,0.678102,0.837181,1.085305"); } } internal_power () { when : "D & !G & Q"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.269335,1.232092,1.221518,1.264576,1.355619,1.508501,1.734285"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.560671,0.541480,0.518908,0.534115,0.612105,0.758616,0.987148"); } } internal_power () { when : "D & G & Q"; fall_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.270561,1.233162,1.222131,1.265117,1.356505,1.510462,1.734315"); } rise_power(Hidden_power_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("1.341122,1.320823,1.297461,1.311176,1.384284,1.535824,1.756350"); } } } pin (Q) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 0.808040; fall_capacitance : 0.807627; rise_capacitance : 0.808040; max_capacitance : 22.583000; function : "IQ"; three_state : "!OE"; timing () { related_pin : "D"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("1.17324315,1.53454415,2.25287715,3.68107715,6.52060715,12.16612715,23.39062715"); values ("0.185566,0.189721,0.197113,0.209749,0.231080,0.267997,0.334885", \ "0.189950,0.194107,0.201489,0.214126,0.235462,0.272378,0.339267", \ "0.207511,0.211673,0.219057,0.231706,0.253033,0.289949,0.356835", \ "0.237507,0.241664,0.249002,0.261603,0.282935,0.319857,0.386747", \ "0.281788,0.285975,0.293418,0.306146,0.327516,0.364490,0.431420", \ "0.335984,0.340472,0.348426,0.361627,0.383844,0.421435,0.488767", \ "0.397193,0.402043,0.410506,0.424289,0.447301,0.485957,0.554270"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("1.173656386,1.534957386,2.253290386,3.681490386,6.521020386,12.16654039,23.39104039"); values ("0.128839,0.137606,0.154719,0.188188,0.253709,0.382638,0.638021", \ "0.133554,0.142321,0.159437,0.192913,0.258437,0.387366,0.642634", \ "0.147490,0.156243,0.173337,0.206791,0.272329,0.401269,0.656610", \ "0.170966,0.179709,0.196780,0.230169,0.295705,0.424707,0.680134", \ "0.197823,0.206571,0.223717,0.257010,0.322548,0.451601,0.707193", \ "0.223670,0.232462,0.249674,0.282899,0.348432,0.477518,0.733235", \ "0.245526,0.254434,0.271470,0.305002,0.370505,0.499649,0.755104"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("1.17324315,1.53454415,2.25287715,3.68107715,6.52060715,12.16612715,23.39062715"); values ("0.0197317,0.0216129,0.0251638,0.0318685,0.0448698,0.0707319,0.122932", \ "0.0197286,0.0216092,0.0251605,0.0318750,0.0448643,0.0707406,0.122929", \ "0.0197305,0.0216092,0.0251654,0.0318692,0.0448627,0.0707408,0.122941", \ "0.0197360,0.0216157,0.0251701,0.0318825,0.0448731,0.0707381,0.122944", \ "0.0200013,0.0218668,0.0253833,0.0320425,0.0449911,0.0707870,0.122957", \ "0.0218812,0.0237377,0.0272218,0.0337237,0.0463542,0.0717266,0.123458", \ "0.0241791,0.0260668,0.0295761,0.0360225,0.0483721,0.0734584,0.124818"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("1.173656386,1.534957386,2.253290386,3.681490386,6.521020386,12.16654039,23.39104039"); values ("0.0385442,0.0462176,0.0613567,0.0913067,0.150422,0.267375,0.499722", \ "0.0385428,0.0462180,0.0613575,0.0913065,0.150425,0.267372,0.499581", \ "0.0385465,0.0462183,0.0613545,0.0913039,0.150422,0.267373,0.499620", \ "0.0386097,0.0462668,0.0613899,0.0913028,0.150439,0.267403,0.499615", \ "0.0388356,0.0464600,0.0615179,0.0913729,0.150491,0.267373,0.499674", \ "0.0392528,0.0468246,0.0617766,0.0915300,0.150473,0.267459,0.499832", \ "0.0400772,0.0475340,0.0622905,0.0918025,0.150652,0.267508,0.499567"); } } timing () { related_pin : "G"; timing_type : rising_edge; timing_sense : non_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("1.17324315,1.53454415,2.25287715,3.68107715,6.52060715,12.16612715,23.39062715"); values ("0.169963,0.174084,0.181412,0.193918,0.215045,0.251655,0.318116", \ "0.175144,0.179267,0.186601,0.199106,0.220229,0.256858,0.323330", \ "0.191336,0.195467,0.202813,0.215355,0.236524,0.273189,0.339748", \ "0.211824,0.215966,0.223319,0.235872,0.257135,0.293820,0.360410", \ "0.231819,0.235967,0.243349,0.255974,0.277192,0.313944,0.380350", \ "0.249501,0.253650,0.261023,0.273082,0.294852,0.331487,0.397885", \ "0.263477,0.267631,0.274974,0.287617,0.308038,0.344815,0.412462"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("1.173656386,1.534957386,2.253290386,3.681490386,6.521020386,12.16654039,23.39104039"); values ("0.182990,0.191701,0.208769,0.242145,0.307573,0.436510,0.691905", \ "0.188197,0.196916,0.213978,0.247367,0.312781,0.441718,0.697129", \ "0.205668,0.214388,0.231457,0.264837,0.330319,0.459185,0.714593", \ "0.228189,0.236910,0.253979,0.287359,0.352818,0.481665,0.737101", \ "0.249747,0.258424,0.275490,0.308894,0.374394,0.503307,0.758669", \ "0.268128,0.276852,0.293919,0.327301,0.392665,0.521678,0.777024", \ "0.281134,0.289855,0.306927,0.340308,0.406346,0.535138,0.789779"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("1.17324315,1.53454415,2.25287715,3.68107715,6.52060715,12.16612715,23.39062715"); values ("0.0197040,0.0215848,0.0251320,0.0318363,0.0448455,0.0707312,0.122948", \ "0.0197057,0.0215845,0.0251337,0.0318393,0.0448475,0.0707276,0.122950", \ "0.0197000,0.0215790,0.0251311,0.0318355,0.0448509,0.0707329,0.122940", \ "0.0196920,0.0215721,0.0251282,0.0318319,0.0448469,0.0707437,0.122940", \ "0.0197268,0.0216045,0.0251495,0.0318582,0.0448726,0.0707530,0.122941", \ "0.0197687,0.0216492,0.0251974,0.0318967,0.0448973,0.0707747,0.122924", \ "0.0198767,0.0217521,0.0252939,0.0319761,0.0449607,0.0708125,0.122958"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("1.173656386,1.534957386,2.253290386,3.681490386,6.521020386,12.16654039,23.39104039"); values ("0.0384952,0.0461774,0.0613411,0.0912820,0.150329,0.267371,0.499643", \ "0.0384946,0.0461827,0.0613412,0.0912830,0.150329,0.267391,0.499657", \ "0.0384956,0.0461778,0.0613410,0.0912882,0.150384,0.267378,0.499655", \ "0.0384941,0.0461803,0.0613408,0.0912825,0.150387,0.267319,0.499709", \ "0.0384856,0.0461748,0.0613459,0.0912993,0.150385,0.267409,0.499602", \ "0.0384950,0.0461830,0.0613387,0.0912671,0.150339,0.267362,0.499563", \ "0.0385003,0.0461787,0.0613415,0.0912608,0.150397,0.267299,0.499494"); } } timing () { related_pin : "OE"; timing_type : three_state_disable; timing_sense : negative_unate; fall_transition(scalar) {values ("0.0"); } rise_transition(scalar) {values ("0.0"); } cell_fall(Tristate_disable_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.588218,0.601091,0.650456,0.746676,0.878141,1.052715,1.269529"); } cell_rise(Tristate_disable_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); values ("0.085407,0.102391,0.138171,0.216155,0.336829,0.504637,0.723464"); } } timing () { related_pin : "OE"; timing_type : three_state_enable; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("1.17324315,1.53454415,2.25287715,3.68107715,6.52060715,12.16612715,23.39062715"); values ("0.008667,0.010416,0.013920,0.021686,0.038151,0.070213,0.133517", \ "0.013510,0.015278,0.018732,0.026392,0.042849,0.074907,0.138205", \ "0.021625,0.025540,0.031982,0.042600,0.059942,0.091754,0.154921", \ "0.022858,0.030100,0.041598,0.059357,0.087090,0.124681,0.187252", \ "0.011528,0.023479,0.041928,0.069179,0.110321,0.164127,0.238601", \ "-0.015648,0.001633,0.028416,0.067822,0.125203,0.198681,0.297198", \ "-0.064397,-0.039789,-0.002334,0.051343,0.127566,0.224916,0.351193"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("1.173656386,1.534957386,2.253290386,3.681490386,6.521020386,12.16654039,23.39104039"); values ("0.053987,0.062497,0.079220,0.112159,0.177154,0.305557,0.560317", \ "0.059167,0.067672,0.084399,0.117335,0.182303,0.310736,0.565503", \ "0.074126,0.082617,0.099362,0.132312,0.197318,0.325711,0.580485", \ "0.089539,0.098156,0.115180,0.148338,0.213345,0.341830,0.596626", \ "0.102875,0.111447,0.128338,0.161619,0.227052,0.355365,0.610169", \ "0.112002,0.121289,0.138334,0.171233,0.236507,0.365307,0.619838", \ "0.114566,0.125523,0.143809,0.176763,0.241683,0.370506,0.625020"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("1.17324315,1.53454415,2.25287715,3.68107715,6.52060715,12.16612715,23.39062715"); values ("0.005415,0.007058,0.010879,0.019403,0.034841,0.063416,0.118688", \ "0.006112,0.007349,0.010751,0.019291,0.034812,0.063392,0.118695", \ "0.013762,0.015111,0.018035,0.024166,0.035833,0.063320,0.118662", \ "0.026506,0.028489,0.032338,0.040746,0.051696,0.069347,0.118550", \ "0.045237,0.047677,0.052606,0.063456,0.077046,0.095617,0.129233", \ "0.069066,0.072710,0.079143,0.092203,0.109213,0.131099,0.164685", \ "0.100063,0.104097,0.111711,0.126947,0.148661,0.173522,0.212001"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("1.173656386,1.534957386,2.253290386,3.681490386,6.521020386,12.16654039,23.39104039"); values ("0.037908,0.045735,0.061044,0.091098,0.150470,0.267330,0.498860", \ "0.037890,0.045720,0.061050,0.091100,0.150414,0.267326,0.498860", \ "0.037869,0.045665,0.061017,0.091097,0.150446,0.267335,0.498860", \ "0.034526,0.042445,0.058654,0.089523,0.150363,0.267332,0.498860", \ "0.035033,0.041842,0.056619,0.086955,0.147465,0.266683,0.498860", \ "0.039616,0.044817,0.057357,0.086257,0.145640,0.263893,0.498298", \ "0.046932,0.051121,0.061232,0.087120,0.145346,0.262320,0.495595"); } } internal_power () { related_pin : "D"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("1.17324315,1.53454415,2.25287715,3.68107715,6.52060715,12.16612715,23.39062715"); values ("3.032256,3.082918,3.163850,3.290346,3.432060,3.582314,3.668261", \ "3.015936,3.065766,3.142208,3.259970,3.414870,3.559695,3.646812", \ "2.988405,3.042973,3.122738,3.238008,3.392288,3.535867,3.623893", \ "2.967508,3.029427,3.110661,3.220159,3.379789,3.520593,3.610277", \ "2.975804,3.026144,3.113209,3.226880,3.383459,3.526318,3.618372", \ "2.956100,3.007716,3.101400,3.243401,3.407682,3.568347,3.664226", \ "2.963212,3.014287,3.104450,3.235258,3.418187,3.621886,3.767263"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("1.173656386,1.534957386,2.253290386,3.681490386,6.521020386,12.16654039,23.39104039"); values ("3.155150,3.185910,3.225827,3.266767,3.294509,3.328391,3.341316", \ "3.133328,3.164070,3.201509,3.235690,3.263433,3.301072,3.341083", \ "3.105135,3.135796,3.177448,3.216215,3.230167,3.254502,3.296042", \ "3.074354,3.111062,3.147502,3.183002,3.205648,3.237568,3.279576", \ "3.072308,3.104599,3.145782,3.183857,3.194515,3.246535,3.291389", \ "3.148804,3.175144,3.204887,3.222388,3.251986,3.287373,3.333589", \ "3.271738,3.303072,3.339138,3.363122,3.367996,3.374139,3.420704"); } } internal_power () { related_pin : "G"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("1.17324315,1.53454415,2.25287715,3.68107715,6.52060715,12.16612715,23.39062715"); values ("3.737296,3.792430,3.883735,3.999244,4.145967,4.284826,4.367258", \ "3.728420,3.782586,3.868605,3.987037,4.127225,4.268793,4.350745", \ "3.684999,3.739033,3.824832,3.936572,4.087716,4.222187,4.308957", \ "3.679567,3.733216,3.810145,3.930062,4.076480,4.218751,4.302641", \ "3.736681,3.790659,3.866887,3.987771,4.133662,4.276210,4.362133", \ "3.902072,3.953355,4.038954,4.149804,4.291253,4.427469,4.506575", \ "4.139941,4.203644,4.280381,4.400319,4.550091,4.686977,4.758580"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("1.173656386,1.534957386,2.253290386,3.681490386,6.521020386,12.16654039,23.39104039"); values ("4.829240,4.862817,4.906123,4.939161,4.965236,4.987254,5.030877", \ "4.812115,4.849775,4.889319,4.928193,4.953646,4.986665,5.017644", \ "4.782255,4.815863,4.859139,4.892356,4.918605,4.937682,4.987951", \ "4.792415,4.825872,4.863593,4.902305,4.931733,4.954555,4.981583", \ "4.859478,4.893037,4.936716,4.975906,4.999492,5.022605,5.046863", \ "5.024874,5.054770,5.091236,5.120359,5.148664,5.180704,5.200090", \ "5.273803,5.306393,5.346656,5.377268,5.385357,5.408366,5.441625"); } } internal_power () { related_pin : "OE"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("1.17324315,1.53454415,2.25287715,3.68107715,6.52060715,12.16612715,23.39062715"); values ("0.403702,0.466118,0.515360,0.551396,0.582002,0.613375,0.645111", \ "0.381621,0.446649,0.502641,0.537697,0.568798,0.598431,0.629119", \ "0.348374,0.422834,0.483123,0.517988,0.545626,0.576056,0.607709", \ "0.347827,0.430200,0.542770,0.564723,0.573570,0.594943,0.624023", \ "0.384293,0.472597,0.641536,0.682135,0.688964,0.684332,0.702302", \ "0.490043,0.576863,0.766451,0.852211,0.866097,0.863268,0.855626", \ "0.594444,0.693153,0.896381,1.069938,1.113244,1.115589,1.098222"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("1.173656386,1.534957386,2.253290386,3.681490386,6.521020386,12.16654039,23.39104039"); values ("1.115345,1.131892,1.147774,1.159893,1.180898,1.197755,1.202306", \ "1.104573,1.106443,1.130312,1.134691,1.155101,1.172476,1.176966", \ "1.078224,1.083920,1.096883,1.109877,1.130852,1.148190,1.169961", \ "1.086452,1.093689,1.116270,1.131801,1.136792,1.172183,1.177159", \ "1.136435,1.152531,1.167254,1.188395,1.219756,1.240071,1.245209", \ "1.271235,1.295010,1.304105,1.333342,1.352875,1.386650,1.394762", \ "1.455238,1.492757,1.513949,1.544969,1.570530,1.608056,1.628803"); } } } } /****************************************************************************************** Module : XNOR2_X1 Cell Description : Combinational cell (XNOR2_X1) with drive strength X1 *******************************************************************************************/ cell (XNOR2_X1) { drive_strength : 1; area : 1.596000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 23.345868; leakage_power () { when : "!A & !B"; value : 31.298130; } leakage_power () { when : "!A & B"; value : 24.478403; } leakage_power () { when : "A & !B"; value : 21.053311; } leakage_power () { when : "A & B"; value : 16.553626; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 2.223625; fall_capacitance : 2.088856; rise_capacitance : 2.223625; } pin (B) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 2.537537; fall_capacitance : 2.301154; rise_capacitance : 2.537537; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 25.959540; function : "!(A ^ B)"; timing () { related_pin : "A"; when : "!B"; sdf_cond : "(B == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); values ("0.017507,0.019637,0.023494,0.031202,0.046646,0.077634,0.139695", \ "0.022258,0.024443,0.028372,0.036196,0.051767,0.082883,0.145010", \ "0.036647,0.039733,0.044797,0.053455,0.068960,0.100118,0.162326", \ "0.048964,0.054052,0.062260,0.076293,0.098650,0.132811,0.194575", \ "0.055469,0.062793,0.074567,0.094891,0.126924,0.175119,0.245745", \ "0.054797,0.064521,0.080190,0.107088,0.149901,0.213994,0.306595", \ "0.045909,0.058111,0.077946,0.111824,0.166024,0.247333,0.364229"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); values ("0.067563,0.076652,0.092927,0.125004,0.188563,0.315005,0.567248", \ "0.068304,0.077596,0.094273,0.126950,0.191277,0.318433,0.571112", \ "0.081876,0.090773,0.106976,0.139332,0.203706,0.331422,0.584904", \ "0.114793,0.124409,0.139866,0.170953,0.233862,0.360545,0.613708", \ "0.153447,0.165940,0.186677,0.223437,0.285941,0.410336,0.661645", \ "0.196286,0.211654,0.237384,0.282715,0.358710,0.484123,0.732520", \ "0.244104,0.262206,0.292613,0.346500,0.437277,0.582857,0.829277"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); values ("0.010618,0.012498,0.015919,0.022777,0.036493,0.063868,0.118194", \ "0.010674,0.012568,0.016012,0.022889,0.036622,0.063958,0.118243", \ "0.016230,0.017569,0.019857,0.024602,0.036706,0.064050,0.118320", \ "0.028953,0.030787,0.033817,0.039129,0.048368,0.067238,0.118237", \ "0.045864,0.048391,0.052727,0.059654,0.071121,0.089919,0.126114", \ "0.066818,0.070156,0.075787,0.084984,0.099426,0.122144,0.158397", \ "0.091680,0.095953,0.103038,0.114734,0.132777,0.160356,0.202499"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); values ("0.047685,0.055626,0.070106,0.098846,0.156313,0.271058,0.499958", \ "0.047663,0.055602,0.070082,0.098841,0.156307,0.271126,0.499953", \ "0.047491,0.055517,0.070006,0.098842,0.156313,0.271091,0.499957", \ "0.056449,0.061827,0.073027,0.098709,0.156267,0.271149,0.499959", \ "0.076240,0.082666,0.093912,0.113122,0.159169,0.271048,0.499964", \ "0.098025,0.105788,0.119188,0.142565,0.182322,0.274920,0.499960", \ "0.122552,0.131654,0.147237,0.174743,0.221084,0.301199,0.500717"); } } timing () { related_pin : "A"; when : "B"; sdf_cond : "(B == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); values ("0.100645,0.103302,0.107933,0.116720,0.133413,0.165414,0.227833", \ "0.105054,0.107723,0.112377,0.121178,0.137887,0.169886,0.232295", \ "0.122873,0.125570,0.130275,0.139145,0.155912,0.187922,0.250300", \ "0.159552,0.162326,0.167095,0.176029,0.192987,0.225112,0.287482", \ "0.208355,0.211398,0.216556,0.225793,0.243182,0.275850,0.338400", \ "0.261024,0.264479,0.270248,0.280457,0.298887,0.332379,0.395468", \ "0.316788,0.320756,0.327315,0.338695,0.358468,0.393222,0.457272"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); values ("0.089123,0.093079,0.100098,0.113820,0.140852,0.194890,0.303716", \ "0.093519,0.097502,0.104563,0.118358,0.145469,0.199561,0.308369", \ "0.108805,0.112819,0.119949,0.133948,0.161436,0.215965,0.325011", \ "0.133854,0.137985,0.145249,0.159348,0.187131,0.242090,0.351559", \ "0.160569,0.164773,0.172078,0.185792,0.213272,0.268152,0.377850", \ "0.184757,0.189153,0.196868,0.211065,0.238589,0.293025,0.401867", \ "0.203943,0.208768,0.216967,0.232163,0.260084,0.314406,0.423077"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); values ("0.019388,0.021210,0.024497,0.030977,0.043844,0.069746,0.122616", \ "0.019369,0.021187,0.024472,0.030959,0.043836,0.069739,0.122609", \ "0.019374,0.021187,0.024461,0.030943,0.043806,0.069734,0.122614", \ "0.019639,0.021417,0.024637,0.031055,0.043861,0.069748,0.122615", \ "0.021111,0.022777,0.025828,0.031992,0.044631,0.070302,0.122810", \ "0.023997,0.025526,0.028347,0.034135,0.046031,0.070904,0.123002", \ "0.028229,0.029695,0.032302,0.037641,0.048838,0.072773,0.123557"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); values ("0.030954,0.034540,0.041061,0.054145,0.080457,0.133321,0.239103", \ "0.030954,0.034538,0.041059,0.054136,0.080450,0.133319,0.239103", \ "0.031100,0.034660,0.041140,0.054175,0.080449,0.133327,0.239105", \ "0.031678,0.035237,0.041714,0.054626,0.080603,0.133336,0.239087", \ "0.032510,0.035744,0.041682,0.053942,0.079680,0.132787,0.239008", \ "0.036265,0.039259,0.044776,0.056199,0.080514,0.131891,0.237809", \ "0.041793,0.044616,0.049722,0.060286,0.082981,0.133037,0.237272"); } } timing () { related_pin : "B"; when : "!A"; sdf_cond : "(A == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); values ("0.021173,0.023545,0.027755,0.035950,0.051928,0.083385,0.145813", \ "0.025711,0.028082,0.032300,0.040514,0.056528,0.088031,0.150476", \ "0.042103,0.044853,0.049468,0.057629,0.073533,0.105007,0.167441", \ "0.059574,0.063968,0.071141,0.083892,0.104751,0.137807,0.199834", \ "0.072374,0.078604,0.088637,0.106808,0.136464,0.182377,0.251166", \ "0.078670,0.086898,0.100349,0.124113,0.163521,0.224246,0.314026", \ "0.077481,0.087761,0.104767,0.134767,0.184371,0.261078,0.374089"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); values ("0.081864,0.090772,0.106868,0.138748,0.202167,0.328505,0.580649", \ "0.085448,0.094533,0.110951,0.143296,0.207249,0.334031,0.586503", \ "0.101975,0.110937,0.127212,0.159547,0.223813,0.351232,0.604389", \ "0.132050,0.141009,0.156911,0.188851,0.252682,0.380013,0.633447", \ "0.167721,0.178818,0.197970,0.233090,0.297307,0.424155,0.677337", \ "0.207607,0.220979,0.243713,0.285043,0.358060,0.487236,0.739927", \ "0.253016,0.268880,0.295490,0.343305,0.426378,0.569053,0.823169"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); values ("0.014815,0.016666,0.020032,0.026767,0.040287,0.067486,0.121836", \ "0.014677,0.016567,0.019992,0.026776,0.040342,0.067540,0.121854", \ "0.018438,0.019711,0.022014,0.027475,0.040301,0.067560,0.121857", \ "0.030900,0.032629,0.035620,0.040815,0.049941,0.069915,0.121775", \ "0.047186,0.049701,0.054009,0.060926,0.072413,0.091274,0.128567", \ "0.067024,0.070411,0.076229,0.085616,0.100316,0.123232,0.159660", \ "0.090005,0.094461,0.101952,0.114105,0.132799,0.160998,0.203574"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); values ("0.047732,0.055639,0.070151,0.098860,0.156368,0.271124,0.499962", \ "0.047732,0.055637,0.070129,0.098901,0.156391,0.271149,0.499958", \ "0.047732,0.055633,0.070104,0.098868,0.156392,0.271161,0.499959", \ "0.050570,0.057511,0.070676,0.098818,0.156296,0.271154,0.499962", \ "0.063011,0.069972,0.082504,0.105899,0.157597,0.271085,0.499958", \ "0.078896,0.086107,0.099407,0.124224,0.171260,0.273532,0.499959", \ "0.098865,0.106397,0.120333,0.146151,0.195396,0.289610,0.500920"); } } timing () { related_pin : "B"; when : "A"; sdf_cond : "(A == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); values ("0.106054,0.109045,0.114132,0.123526,0.140868,0.173404,0.236155", \ "0.110575,0.113578,0.118680,0.128091,0.145444,0.177983,0.240726", \ "0.128619,0.131621,0.136727,0.146167,0.163552,0.196111,0.258857", \ "0.165593,0.168608,0.173696,0.183011,0.200475,0.233115,0.295903", \ "0.217069,0.220319,0.225776,0.235357,0.253165,0.286149,0.349099", \ "0.272979,0.276694,0.282786,0.293349,0.312081,0.345870,0.409290", \ "0.331983,0.336221,0.343156,0.354942,0.375004,0.409956,0.474177"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); values ("0.091728,0.095746,0.102868,0.116785,0.144080,0.198381,0.307288", \ "0.096976,0.101012,0.108180,0.122172,0.149593,0.204027,0.313041", \ "0.111250,0.115288,0.122484,0.136590,0.164255,0.219052,0.328380", \ "0.134166,0.138317,0.145557,0.159749,0.187649,0.242806,0.352561", \ "0.161410,0.165620,0.173001,0.186793,0.214588,0.269771,0.379736", \ "0.188384,0.192800,0.200407,0.214292,0.242141,0.297120,0.406552", \ "0.211735,0.216377,0.224480,0.239061,0.267237,0.322313,0.431729"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); values ("0.016361,0.018104,0.021281,0.027642,0.040413,0.066237,0.118990", \ "0.016357,0.018102,0.021278,0.027635,0.040409,0.066237,0.119004", \ "0.016369,0.018110,0.021287,0.027638,0.040398,0.066240,0.118991", \ "0.016542,0.018268,0.021416,0.027735,0.040463,0.066264,0.119008", \ "0.018644,0.020215,0.023108,0.029079,0.041391,0.066758,0.119159", \ "0.022348,0.023788,0.026450,0.031982,0.043631,0.068231,0.119874", \ "0.027002,0.028366,0.030812,0.035912,0.046797,0.070500,0.121049"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); values ("0.030857,0.034436,0.040930,0.053972,0.080218,0.133044,0.238656", \ "0.030886,0.034478,0.040964,0.054028,0.080300,0.133122,0.238800", \ "0.031004,0.034571,0.041067,0.054107,0.080379,0.133242,0.238930", \ "0.031344,0.034918,0.041430,0.054408,0.080493,0.133286,0.239011", \ "0.031686,0.035069,0.041240,0.053826,0.079810,0.132809,0.238961", \ "0.034021,0.037270,0.043166,0.055267,0.080295,0.132126,0.237948", \ "0.037563,0.040687,0.046346,0.057873,0.081975,0.132925,0.237560"); } } internal_power () { related_pin : "A"; when : "!B"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); values ("-0.000864,-0.000967,-0.001151,0.007066,0.027722,0.046436,0.070775", \ "-0.001321,-0.001425,-0.001609,0.014906,0.041889,0.075143,0.100346", \ "-0.002923,-0.003023,-0.003201,-0.003546,0.030410,0.071695,0.106168", \ "0.068464,0.057252,0.040670,0.016562,0.016378,0.059072,0.098959", \ "0.242347,0.222490,0.198759,0.165978,0.120188,0.078492,0.109688", \ "0.486165,0.461352,0.429290,0.372457,0.301480,0.213378,0.154137", \ "0.802941,0.775525,0.735273,0.664998,0.558311,0.428533,0.286444"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); values ("2.272296,2.282546,2.288602,2.287315,2.282567,2.306205,2.312052", \ "2.193920,2.209435,2.221927,2.254312,2.262822,2.300009,2.313933", \ "2.141940,2.146168,2.152379,2.169525,2.208264,2.227863,2.257061", \ "2.204538,2.201249,2.192849,2.173304,2.194436,2.200430,2.227009", \ "2.361933,2.354433,2.332863,2.304332,2.253696,2.230490,2.233487", \ "2.439826,2.461172,2.511618,2.516345,2.430114,2.377675,2.317144", \ "2.691820,2.698607,2.713089,2.747018,2.753189,2.605283,2.509341"); } } internal_power () { related_pin : "A"; when : "B"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); values ("3.436683,3.446479,3.453596,3.465826,3.460971,3.431393,3.394399", \ "3.421615,3.431216,3.441178,3.441511,3.439152,3.408868,3.370570", \ "3.380429,3.393688,3.411806,3.428365,3.410242,3.391006,3.351605", \ "3.362458,3.381554,3.410177,3.432213,3.447661,3.445014,3.402940", \ "3.338720,3.373132,3.426721,3.478120,3.532749,3.534751,3.504632", \ "3.269370,3.302775,3.361499,3.442404,3.565583,3.671671,3.670154", \ "3.321414,3.358921,3.416423,3.500389,3.630919,3.757446,3.874257"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); values ("2.816368,2.816444,2.818760,2.797992,2.750929,2.729194,2.659655", \ "2.798452,2.798282,2.804104,2.803357,2.754787,2.693528,2.650639", \ "2.694505,2.696749,2.705958,2.700231,2.685048,2.700364,2.620191", \ "2.584160,2.598574,2.607401,2.610088,2.628990,2.643542,2.651073", \ "2.645899,2.640813,2.624457,2.608164,2.600333,2.617844,2.639276", \ "2.851302,2.854564,2.859466,2.843345,2.766130,2.771125,2.763768", \ "3.098799,3.103664,3.109533,3.108536,3.074293,3.002459,2.934560"); } } internal_power () { related_pin : "B"; when : "!A"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); values ("0.238659,0.249411,0.261057,0.281923,0.296972,0.333655,0.365245", \ "0.198200,0.210050,0.219104,0.244762,0.273774,0.299051,0.332292", \ "0.157580,0.168888,0.188632,0.206935,0.237354,0.267933,0.312173", \ "0.289658,0.277205,0.255717,0.222991,0.244677,0.270512,0.302295", \ "0.471260,0.458619,0.431842,0.401983,0.347876,0.308110,0.324599", \ "0.708159,0.693131,0.664673,0.620510,0.547519,0.450421,0.382927", \ "1.025533,1.003632,0.971138,0.911367,0.816078,0.682860,0.525355"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); values ("2.824405,2.829316,2.837377,2.845371,2.839161,2.869180,2.849884", \ "2.754735,2.767505,2.775225,2.801893,2.799551,2.837695,2.854522", \ "2.683875,2.693853,2.704538,2.720502,2.752914,2.771496,2.800103", \ "2.663041,2.669999,2.670366,2.669011,2.677387,2.724436,2.758645", \ "2.693709,2.693490,2.686449,2.671858,2.691041,2.694991,2.722584", \ "2.617054,2.647339,2.710054,2.756755,2.726594,2.740643,2.718867", \ "2.706790,2.717139,2.747316,2.812657,2.885129,2.797827,2.777572"); } } internal_power () { related_pin : "B"; when : "A"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); values ("3.587361,3.619866,3.666073,3.730039,3.810997,3.854292,3.871585", \ "3.554390,3.600372,3.645514,3.710827,3.787815,3.834175,3.849719", \ "3.534915,3.567968,3.622070,3.696648,3.766571,3.807417,3.827114", \ "3.532594,3.569723,3.624917,3.688703,3.761002,3.828517,3.849672", \ "3.535814,3.589317,3.646875,3.725527,3.816900,3.890276,3.919906", \ "3.432719,3.485071,3.559091,3.669261,3.832336,4.005418,4.048620", \ "3.471622,3.513736,3.583291,3.688322,3.852346,4.032390,4.229382"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); values ("2.417012,2.420431,2.424294,2.429692,2.400207,2.379503,2.300328", \ "2.380751,2.395408,2.401551,2.397197,2.400472,2.342685,2.332403", \ "2.271519,2.285983,2.284914,2.299527,2.315798,2.284426,2.285743", \ "2.141029,2.157644,2.164197,2.171608,2.192667,2.218719,2.239338", \ "2.156603,2.158377,2.142747,2.146320,2.141879,2.196776,2.228369", \ "2.314018,2.313468,2.312320,2.277899,2.237802,2.221113,2.250348", \ "2.487781,2.496879,2.508514,2.507847,2.480271,2.387310,2.355236"); } } } } /****************************************************************************************** Module : XNOR2_X2 Cell Description : Combinational cell (XNOR2_X2) with drive strength X2 *******************************************************************************************/ cell (XNOR2_X2) { drive_strength : 2; area : 2.660000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 46.832910; leakage_power () { when : "!A & !B"; value : 62.624665; } leakage_power () { when : "!A & B"; value : 49.162310; } leakage_power () { when : "A & !B"; value : 42.190640; } leakage_power () { when : "A & B"; value : 33.354025; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 4.007526; fall_capacitance : 3.746248; rise_capacitance : 4.007526; } pin (B) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 4.782242; fall_capacitance : 4.310582; rise_capacitance : 4.782242; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 51.916730; function : "!(A ^ B)"; timing () { related_pin : "A"; when : "!B"; sdf_cond : "(B == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); values ("0.016655,0.019666,0.023535,0.031273,0.046776,0.077887,0.140157", \ "0.021389,0.024486,0.028431,0.036280,0.051920,0.083152,0.145485", \ "0.035372,0.039825,0.044895,0.053559,0.069125,0.100398,0.162800", \ "0.046812,0.054129,0.062433,0.076482,0.098853,0.133066,0.195043", \ "0.052325,0.062868,0.074822,0.095111,0.127208,0.175465,0.246192", \ "0.050584,0.064581,0.080359,0.107389,0.150288,0.214472,0.307199", \ "0.040602,0.058151,0.078033,0.112076,0.166408,0.247880,0.364963"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); values ("0.063413,0.076249,0.092535,0.124641,0.188196,0.314712,0.567057", \ "0.064131,0.077250,0.093918,0.126646,0.190957,0.318136,0.570943", \ "0.077976,0.090528,0.106724,0.139079,0.203440,0.331195,0.584797", \ "0.110397,0.124105,0.139720,0.170746,0.233692,0.360373,0.613643", \ "0.147758,0.165642,0.186545,0.223287,0.285845,0.410236,0.661663", \ "0.189348,0.211328,0.237073,0.282556,0.358557,0.483999,0.732440", \ "0.235970,0.261846,0.292275,0.346214,0.437028,0.582639,0.829130"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); values ("0.009853,0.012515,0.015951,0.022841,0.036632,0.064074,0.118532", \ "0.009909,0.012595,0.016053,0.022970,0.036766,0.064171,0.118582", \ "0.015654,0.017547,0.019849,0.024629,0.036811,0.064238,0.118638", \ "0.028122,0.030738,0.033757,0.039099,0.048370,0.067355,0.118573", \ "0.044773,0.048411,0.052610,0.059568,0.071091,0.089931,0.126309", \ "0.065334,0.070178,0.075813,0.084855,0.099346,0.122150,0.158519", \ "0.089763,0.095948,0.103088,0.114718,0.132777,0.160407,0.202650"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); values ("0.044369,0.055529,0.069920,0.098662,0.156069,0.270814,0.500021", \ "0.044337,0.055513,0.069918,0.098644,0.156054,0.270788,0.500020", \ "0.044084,0.055415,0.069868,0.098659,0.156067,0.270786,0.500021", \ "0.054336,0.061728,0.072911,0.098570,0.156034,0.270772,0.500019", \ "0.073321,0.082523,0.093584,0.112905,0.158988,0.270762,0.500020", \ "0.094559,0.105628,0.118910,0.142178,0.182145,0.274751,0.500023", \ "0.118482,0.131479,0.147084,0.174455,0.220860,0.301164,0.500769"); } } timing () { related_pin : "A"; when : "B"; sdf_cond : "(B == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); values ("0.092550,0.096242,0.100780,0.109458,0.126031,0.157954,0.220488", \ "0.096877,0.100586,0.105143,0.113842,0.130429,0.162352,0.224878", \ "0.114843,0.118599,0.123200,0.131963,0.148618,0.180553,0.243024", \ "0.151286,0.155150,0.159812,0.168699,0.185510,0.217552,0.280043", \ "0.197843,0.202092,0.207169,0.216285,0.233609,0.266172,0.328829", \ "0.247993,0.252798,0.258433,0.268420,0.286606,0.319905,0.383037", \ "0.301212,0.306765,0.313151,0.324240,0.343666,0.378085,0.441999"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); values ("0.083735,0.089257,0.096219,0.109875,0.136886,0.190971,0.299913", \ "0.088089,0.093658,0.100667,0.114399,0.141490,0.195617,0.304527", \ "0.103145,0.108772,0.115895,0.129881,0.157379,0.211964,0.321099", \ "0.126640,0.132553,0.139818,0.153952,0.181646,0.236717,0.346162", \ "0.151440,0.157329,0.164563,0.178152,0.205638,0.260543,0.370314", \ "0.173362,0.179583,0.187131,0.201048,0.228544,0.283007,0.391999", \ "0.190219,0.196948,0.205072,0.219849,0.247501,0.301887,0.410724"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); values ("0.018161,0.020747,0.024039,0.030560,0.043523,0.069643,0.122935", \ "0.018147,0.020728,0.024025,0.030548,0.043509,0.069641,0.122928", \ "0.018151,0.020727,0.024012,0.030529,0.043495,0.069620,0.122919", \ "0.018507,0.021010,0.024230,0.030672,0.043570,0.069660,0.122939", \ "0.019944,0.022287,0.025345,0.031528,0.044240,0.070131,0.123129", \ "0.022821,0.024966,0.027768,0.033552,0.045548,0.070618,0.123172", \ "0.027032,0.029050,0.031606,0.036948,0.048206,0.072339,0.123570"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); values ("0.029337,0.034413,0.040992,0.054160,0.080597,0.133553,0.239364", \ "0.029341,0.034415,0.040994,0.054167,0.080603,0.133545,0.239368", \ "0.029483,0.034528,0.041066,0.054198,0.080601,0.133554,0.239360", \ "0.029789,0.034863,0.041405,0.054609,0.080738,0.133580,0.239356", \ "0.030841,0.035365,0.041377,0.053765,0.079520,0.132927,0.239373", \ "0.034574,0.038750,0.044294,0.055831,0.080459,0.132084,0.238156", \ "0.040078,0.043978,0.049073,0.059677,0.082723,0.133221,0.237634"); } } timing () { related_pin : "B"; when : "!A"; sdf_cond : "(A == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); values ("0.020217,0.023607,0.027860,0.036127,0.052231,0.083886,0.146603", \ "0.024799,0.028185,0.032441,0.040724,0.056860,0.088549,0.151288", \ "0.041144,0.045090,0.049693,0.057876,0.073880,0.105520,0.168237", \ "0.058105,0.064367,0.071664,0.084349,0.105213,0.138319,0.200607", \ "0.070294,0.079202,0.089429,0.107559,0.137173,0.183052,0.251947", \ "0.075977,0.087722,0.101145,0.125148,0.164489,0.225195,0.315033", \ "0.074159,0.088818,0.105806,0.135756,0.185409,0.262213,0.375322"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); values ("0.078995,0.091585,0.107670,0.139571,0.202989,0.329358,0.581624", \ "0.082505,0.095348,0.111755,0.144097,0.208051,0.334887,0.587502", \ "0.099193,0.111830,0.128087,0.160432,0.224695,0.352145,0.605449", \ "0.129373,0.142021,0.157952,0.189919,0.253760,0.381105,0.634676", \ "0.164361,0.180120,0.199004,0.234130,0.298358,0.425254,0.678569", \ "0.203232,0.222268,0.244971,0.286090,0.359028,0.488221,0.741120", \ "0.247302,0.269992,0.296608,0.344351,0.427455,0.570170,0.824390"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); values ("0.014240,0.016878,0.020270,0.027053,0.040642,0.067921,0.122412", \ "0.014067,0.016778,0.020223,0.027051,0.040682,0.067964,0.122420", \ "0.017968,0.019780,0.022140,0.027651,0.040613,0.067970,0.122427", \ "0.030206,0.032724,0.035645,0.040858,0.050030,0.070200,0.122350", \ "0.046237,0.049828,0.053981,0.060926,0.072450,0.091361,0.128957", \ "0.065654,0.070541,0.076320,0.085505,0.100269,0.123327,0.159865", \ "0.088159,0.094596,0.102073,0.114177,0.132881,0.161138,0.203814"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); values ("0.044441,0.055552,0.069944,0.098667,0.156089,0.270813,0.500014", \ "0.044436,0.055552,0.069928,0.098656,0.156083,0.270814,0.500014", \ "0.044438,0.055551,0.069916,0.098661,0.156088,0.270798,0.500014", \ "0.047609,0.057328,0.070515,0.098647,0.156056,0.270808,0.500020", \ "0.059799,0.069640,0.082037,0.105561,0.157405,0.270789,0.500024", \ "0.075535,0.085764,0.098774,0.123628,0.170928,0.273287,0.500024", \ "0.095437,0.106116,0.119775,0.145553,0.194960,0.289413,0.500941"); } } timing () { related_pin : "B"; when : "A"; sdf_cond : "(A == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); values ("0.098059,0.102170,0.107103,0.116291,0.133378,0.165692,0.228413", \ "0.102542,0.106669,0.111614,0.120821,0.137918,0.170234,0.232952", \ "0.120629,0.124755,0.129706,0.138942,0.156082,0.188421,0.251132", \ "0.157534,0.161677,0.166620,0.175809,0.193026,0.225451,0.288210", \ "0.207050,0.211586,0.216874,0.226265,0.243830,0.276631,0.339541", \ "0.260510,0.265668,0.271577,0.281867,0.300295,0.333786,0.397101", \ "0.317007,0.322942,0.329647,0.341068,0.360693,0.395226,0.459214"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); values ("0.086334,0.091961,0.099039,0.112904,0.140189,0.194535,0.303537", \ "0.091501,0.097158,0.104288,0.118232,0.145636,0.200088,0.309203", \ "0.105430,0.111109,0.118281,0.132367,0.160060,0.214907,0.324319", \ "0.127499,0.133332,0.140611,0.154846,0.182795,0.237992,0.347780", \ "0.153123,0.159070,0.166332,0.180346,0.208200,0.263323,0.373423", \ "0.177856,0.184023,0.191526,0.205402,0.233254,0.288307,0.397882", \ "0.198836,0.205410,0.213356,0.227708,0.255832,0.310928,0.420450"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); values ("0.014977,0.017445,0.020647,0.027052,0.039906,0.065936,0.119077", \ "0.014971,0.017439,0.020641,0.027045,0.039898,0.065930,0.119071", \ "0.014989,0.017454,0.020645,0.027044,0.039897,0.065932,0.119068", \ "0.015221,0.017646,0.020807,0.027163,0.039965,0.065957,0.119081", \ "0.017480,0.019676,0.022581,0.028570,0.040967,0.066523,0.119242", \ "0.021106,0.023111,0.025771,0.031332,0.043082,0.067866,0.119888", \ "0.025658,0.027526,0.029981,0.035073,0.046055,0.069967,0.120938"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); values ("0.029243,0.034303,0.040863,0.054006,0.080380,0.133267,0.238955", \ "0.029268,0.034337,0.040906,0.054058,0.080468,0.133371,0.239076", \ "0.029388,0.034447,0.040993,0.054137,0.080541,0.133476,0.239230", \ "0.029548,0.034631,0.041189,0.054412,0.080637,0.133520,0.239292", \ "0.030026,0.034791,0.041025,0.053698,0.079773,0.132978,0.239300", \ "0.032362,0.036884,0.042859,0.055072,0.080297,0.132324,0.238324", \ "0.035914,0.040286,0.045973,0.057538,0.081904,0.133132,0.237923"); } } internal_power () { related_pin : "A"; when : "!B"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); values ("-0.001646,-0.001939,-0.002309,0.011742,0.046449,0.084557,0.130421", \ "-0.002562,-0.002856,-0.003225,0.019225,0.071070,0.135900,0.181340", \ "-0.005770,-0.006052,-0.006411,-0.007103,0.046407,0.130886,0.195918", \ "0.142777,0.107162,0.076816,0.025486,0.028636,0.112876,0.194780", \ "0.491292,0.440692,0.394026,0.323026,0.229910,0.147196,0.204428", \ "0.982540,0.917720,0.844691,0.746995,0.592399,0.413713,0.291571", \ "1.620367,1.545385,1.467788,1.329595,1.106999,0.845421,0.560520"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); values ("4.525707,4.543530,4.570385,4.570370,4.601421,4.598656,4.612370", \ "4.356255,4.405221,4.444769,4.462783,4.519639,4.583346,4.616033", \ "4.268396,4.280535,4.297392,4.333024,4.405247,4.439415,4.501461", \ "4.400151,4.389301,4.381622,4.341120,4.378725,4.383645,4.441811", \ "4.687432,4.687634,4.657648,4.607022,4.514796,4.446221,4.456897", \ "4.837501,4.911561,4.991244,5.023809,4.912404,4.741739,4.637571", \ "5.370029,5.386733,5.417774,5.479683,5.469946,5.196352,5.002250"); } } internal_power () { related_pin : "A"; when : "B"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); values ("6.441371,6.459360,6.473630,6.457977,6.430996,6.371867,6.299780", \ "6.379759,6.401059,6.420569,6.424002,6.388307,6.314645,6.246272", \ "6.320978,6.350613,6.368520,6.375024,6.367159,6.305180,6.226281", \ "6.271450,6.321862,6.381137,6.431389,6.444401,6.412002,6.334189", \ "6.204551,6.302965,6.401287,6.506378,6.599702,6.614335,6.548890", \ "6.120859,6.201000,6.296627,6.473729,6.670654,6.898297,6.901667", \ "6.273750,6.363223,6.441415,6.616992,6.851693,7.074781,7.300898"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); values ("5.665356,5.668552,5.666725,5.630447,5.509229,5.493488,5.304549", \ "5.623317,5.651472,5.653046,5.621460,5.580251,5.495608,5.399601", \ "5.394604,5.409036,5.422321,5.418532,5.409329,5.390420,5.362340", \ "5.195597,5.221081,5.242634,5.272053,5.311640,5.354528,5.346933", \ "5.355838,5.341484,5.326656,5.268637,5.308270,5.352946,5.385244", \ "5.785761,5.799198,5.795165,5.753101,5.672090,5.547822,5.590383", \ "6.274283,6.297876,6.320733,6.287799,6.240083,6.099261,6.012454"); } } internal_power () { related_pin : "B"; when : "!A"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); values ("0.466336,0.493476,0.500990,0.538949,0.608347,0.657358,0.724219", \ "0.380616,0.412289,0.440672,0.474133,0.534073,0.601560,0.662554", \ "0.310178,0.321893,0.366794,0.401970,0.477483,0.546389,0.608481", \ "0.572608,0.542710,0.507401,0.442623,0.477188,0.538808,0.595484", \ "0.932585,0.899281,0.858833,0.784866,0.679000,0.595608,0.638161", \ "1.419473,1.366238,1.313662,1.219414,1.073596,0.882798,0.743344", \ "2.046873,1.993022,1.924319,1.801393,1.613056,1.354233,1.037168"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); values ("5.727875,5.750825,5.764921,5.753923,5.759999,5.814152,5.843047", \ "5.594641,5.627511,5.654268,5.690071,5.740219,5.749695,5.788550", \ "5.455279,5.479526,5.493480,5.514889,5.585741,5.615759,5.678232", \ "5.414122,5.428222,5.423872,5.461172,5.492236,5.518206,5.591009", \ "5.468197,5.472713,5.467627,5.445892,5.454705,5.463601,5.514073", \ "5.289098,5.374950,5.492789,5.591308,5.535929,5.545517,5.570294", \ "5.470358,5.511126,5.555160,5.681016,5.831221,5.726887,5.688694"); } } internal_power () { related_pin : "B"; when : "A"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); values ("6.783168,6.891419,6.974218,7.087857,7.183061,7.262136,7.284987", \ "6.741792,6.827651,6.929985,7.035228,7.153827,7.206743,7.241345", \ "6.687487,6.782906,6.858653,6.977241,7.110516,7.180917,7.205034", \ "6.695169,6.779473,6.870530,6.986624,7.122122,7.216610,7.250919", \ "6.632123,6.770846,6.923342,7.079688,7.238227,7.345123,7.399223", \ "6.479974,6.583982,6.718589,6.948627,7.232841,7.573124,7.666122", \ "6.574101,6.679004,6.803538,7.007621,7.292761,7.625043,8.021838"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); values ("4.876112,4.911479,4.907777,4.885824,4.872504,4.770703,4.729838", \ "4.820692,4.838765,4.859375,4.871845,4.869386,4.821283,4.658358", \ "4.556909,4.577223,4.613363,4.604365,4.652106,4.565654,4.558184", \ "4.320432,4.350550,4.381180,4.421714,4.416267,4.463196,4.491303", \ "4.376896,4.367499,4.356703,4.331574,4.391366,4.340356,4.504027", \ "4.676339,4.682983,4.686870,4.618129,4.571221,4.450089,4.589637", \ "5.029015,5.071722,5.080788,5.087199,4.999726,4.883268,4.801190"); } } } } /****************************************************************************************** Module : XOR2_X1 Cell Description : Combinational cell (XOR2_X1) with drive strength X1 *******************************************************************************************/ cell (XOR2_X1) { drive_strength : 1; area : 1.596000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 24.933246; leakage_power () { when : "!A & !B"; value : 19.555180; } leakage_power () { when : "!A & B"; value : 33.332203; } leakage_power () { when : "A & !B"; value : 24.306709; } leakage_power () { when : "A & B"; value : 22.538892; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 2.239722; fall_capacitance : 2.149057; rise_capacitance : 2.239722; } pin (B) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 2.353887; fall_capacitance : 2.353887; rise_capacitance : 2.337168; } pin (Z) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 25.271000; function : "(A ^ B)"; timing () { related_pin : "A"; when : "!B"; sdf_cond : "(B == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.167100,0.169734,0.174333,0.182547,0.196614,0.220041,0.259101", \ "0.167817,0.170488,0.175139,0.183411,0.197547,0.221055,0.260149", \ "0.180961,0.183658,0.188325,0.196660,0.210842,0.234416,0.273567", \ "0.213936,0.216637,0.221303,0.229411,0.243605,0.267166,0.306292", \ "0.266983,0.269758,0.274563,0.282987,0.297251,0.321004,0.360205", \ "0.329880,0.333070,0.338485,0.347906,0.363567,0.388732,0.429319", \ "0.397917,0.401541,0.407649,0.418213,0.435469,0.462528,0.504792"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.121531,0.129425,0.144050,0.173338,0.232475,0.352408,0.595180", \ "0.126887,0.134984,0.149911,0.179712,0.239340,0.359473,0.602011", \ "0.141024,0.149379,0.164808,0.195562,0.256704,0.378480,0.622024", \ "0.160841,0.169321,0.184969,0.215956,0.277706,0.400566,0.645323", \ "0.181831,0.190192,0.205614,0.235855,0.297432,0.420820,0.666471", \ "0.199629,0.208082,0.223667,0.254456,0.315600,0.437523,0.683779", \ "0.211869,0.220460,0.236111,0.266857,0.328348,0.451058,0.695319"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.028916,0.030304,0.032627,0.036857,0.044238,0.057315,0.081633", \ "0.028944,0.030323,0.032647,0.036866,0.044249,0.057305,0.081632", \ "0.028951,0.030324,0.032645,0.036844,0.044219,0.057286,0.081616", \ "0.029105,0.030443,0.032729,0.036893,0.044235,0.057275,0.081621", \ "0.030668,0.031900,0.034010,0.037911,0.044943,0.057732,0.081881", \ "0.034898,0.036176,0.038342,0.042186,0.049022,0.061395,0.084713", \ "0.040750,0.042080,0.044290,0.048106,0.054585,0.066190,0.088669"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.057759,0.065404,0.079571,0.107960,0.164468,0.276871,0.500467", \ "0.057760,0.065405,0.079572,0.107972,0.164468,0.276860,0.500476", \ "0.057767,0.065413,0.079571,0.107959,0.164472,0.276874,0.500475", \ "0.057789,0.065427,0.079592,0.107963,0.164474,0.276900,0.500468", \ "0.053007,0.060546,0.074614,0.104290,0.162711,0.276870,0.500464", \ "0.052871,0.060237,0.073998,0.101896,0.157850,0.272808,0.500462", \ "0.054011,0.061106,0.074525,0.102002,0.157599,0.268970,0.496286"); } } timing () { related_pin : "A"; when : "B"; sdf_cond : "(B == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.018085,0.020148,0.023962,0.031551,0.046655,0.076800,0.137047", \ "0.022630,0.024736,0.028609,0.036270,0.051454,0.081656,0.141936", \ "0.036661,0.039633,0.044624,0.053179,0.068288,0.098477,0.158768", \ "0.048684,0.053558,0.061652,0.075466,0.097505,0.131166,0.191029", \ "0.054919,0.061955,0.073555,0.093522,0.125153,0.172674,0.242350", \ "0.053952,0.063296,0.078725,0.105170,0.147436,0.210708,0.302105", \ "0.044762,0.056488,0.076018,0.109385,0.162859,0.243136,0.358580"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.064226,0.072939,0.088884,0.120210,0.182214,0.305405,0.551099", \ "0.065169,0.074065,0.090381,0.122340,0.185108,0.308977,0.555111", \ "0.079011,0.087503,0.103386,0.134959,0.197763,0.322193,0.569142", \ "0.112235,0.121352,0.136485,0.166674,0.228022,0.351443,0.598024", \ "0.151003,0.162932,0.183190,0.219175,0.280167,0.401322,0.646065", \ "0.193885,0.208555,0.233701,0.278081,0.352608,0.475203,0.717053", \ "0.241668,0.258964,0.288717,0.341508,0.430576,0.573577,0.813938"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.010585,0.012359,0.015645,0.022235,0.035392,0.061696,0.114304", \ "0.010579,0.012354,0.015649,0.022231,0.035388,0.061695,0.114304", \ "0.016082,0.017355,0.019573,0.024042,0.035474,0.061692,0.114310", \ "0.028661,0.030393,0.033383,0.038586,0.047597,0.065519,0.114301", \ "0.045474,0.047921,0.052144,0.058993,0.070255,0.088625,0.123244", \ "0.066310,0.069521,0.075093,0.084176,0.098393,0.120731,0.156253", \ "0.091055,0.095195,0.102182,0.113725,0.131576,0.158742,0.200167"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.047959,0.055521,0.069632,0.097657,0.153651,0.265393,0.488340", \ "0.047921,0.055513,0.069626,0.097651,0.153683,0.265448,0.488342", \ "0.047222,0.055129,0.069520,0.097670,0.153653,0.265407,0.488340", \ "0.056051,0.061217,0.072250,0.097410,0.153551,0.265451,0.488349", \ "0.075563,0.081746,0.092824,0.111872,0.156642,0.265407,0.488352", \ "0.097292,0.104699,0.117801,0.140894,0.180142,0.269730,0.488372", \ "0.121730,0.130437,0.145765,0.172754,0.218359,0.296742,0.489479"); } } timing () { related_pin : "B"; when : "!A"; sdf_cond : "(A == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.181401,0.184056,0.188677,0.196901,0.210960,0.234361,0.273369", \ "0.185093,0.187760,0.192412,0.200680,0.214797,0.238255,0.277284", \ "0.202074,0.204743,0.209426,0.217737,0.231909,0.255421,0.294481", \ "0.232443,0.235128,0.239817,0.247893,0.262023,0.285564,0.324681", \ "0.276607,0.279368,0.284136,0.292556,0.306871,0.330543,0.369656", \ "0.329730,0.332800,0.338049,0.347230,0.362477,0.387364,0.427708", \ "0.390468,0.393828,0.399549,0.409496,0.425947,0.452415,0.494569"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.108001,0.116483,0.132040,0.162847,0.224035,0.346320,0.591085", \ "0.113180,0.121685,0.137321,0.168323,0.229801,0.352204,0.596916", \ "0.130299,0.138776,0.154425,0.185581,0.247511,0.370649,0.615958", \ "0.154352,0.162822,0.178486,0.209532,0.271550,0.394998,0.640815", \ "0.180170,0.188428,0.203674,0.233877,0.295091,0.418669,0.664791", \ "0.202794,0.211118,0.226506,0.256942,0.317595,0.439612,0.685959", \ "0.219767,0.228233,0.243675,0.274191,0.335151,0.457192,0.701767"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.028761,0.030132,0.032446,0.036651,0.044055,0.057171,0.081534", \ "0.028689,0.030061,0.032375,0.036571,0.043982,0.057115,0.081488", \ "0.028650,0.030012,0.032321,0.036511,0.043923,0.057056,0.081463", \ "0.028775,0.030129,0.032409,0.036571,0.043935,0.057039,0.081451", \ "0.029802,0.031092,0.033258,0.037269,0.044417,0.057340,0.081613", \ "0.032318,0.033662,0.035926,0.040016,0.047212,0.059972,0.083726", \ "0.035744,0.037154,0.039503,0.043641,0.050869,0.063519,0.087129"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.048033,0.055540,0.069494,0.097586,0.153605,0.265471,0.488337", \ "0.048030,0.055540,0.069495,0.097590,0.153605,0.265405,0.488333", \ "0.048043,0.055545,0.069493,0.097568,0.153608,0.265411,0.488335", \ "0.048061,0.055570,0.069521,0.097598,0.153610,0.265502,0.488344", \ "0.047144,0.054360,0.067860,0.096137,0.152991,0.265417,0.488341", \ "0.048363,0.055553,0.069015,0.096351,0.151220,0.263896,0.488353", \ "0.050214,0.057101,0.070272,0.097373,0.152360,0.262381,0.486900"); } } timing () { related_pin : "B"; when : "A"; sdf_cond : "(A == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.020816,0.022869,0.026669,0.034242,0.049342,0.079478,0.139726", \ "0.025959,0.028056,0.031910,0.039548,0.054709,0.084905,0.145182", \ "0.039595,0.042149,0.046627,0.054847,0.070168,0.100499,0.160880", \ "0.055296,0.059169,0.065671,0.076972,0.096185,0.129101,0.189788", \ "0.067486,0.073379,0.083106,0.099570,0.125708,0.166773,0.234014", \ "0.073349,0.081713,0.095163,0.118269,0.154217,0.207360,0.287598", \ "0.071519,0.082534,0.100519,0.130593,0.178050,0.246888,0.344933"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.082686,0.091187,0.106961,0.138155,0.200217,0.323460,0.569324", \ "0.084412,0.093084,0.109037,0.140573,0.202989,0.326621,0.572689", \ "0.098313,0.106877,0.122778,0.154232,0.216846,0.340758,0.587231", \ "0.132075,0.140139,0.155210,0.186012,0.247574,0.370823,0.616994", \ "0.177839,0.188390,0.206647,0.239675,0.299604,0.421127,0.665712", \ "0.227414,0.240485,0.263222,0.304124,0.374373,0.495106,0.737093", \ "0.281595,0.297114,0.324168,0.373045,0.457078,0.594747,0.834134"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.010594,0.012358,0.015645,0.022228,0.035384,0.061693,0.114306", \ "0.010592,0.012360,0.015648,0.022227,0.035386,0.061704,0.114309", \ "0.012914,0.014484,0.017315,0.023021,0.035409,0.061698,0.114310", \ "0.020212,0.021779,0.024628,0.030177,0.041267,0.063718,0.114295", \ "0.032644,0.034477,0.037566,0.043188,0.053872,0.075282,0.119211", \ "0.049324,0.051568,0.055473,0.061971,0.073056,0.093803,0.135976", \ "0.069575,0.072422,0.077301,0.085417,0.098215,0.119590,0.160469"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.057752,0.065404,0.079678,0.108067,0.164568,0.276867,0.500472", \ "0.057742,0.065406,0.079678,0.108061,0.164611,0.276920,0.500466", \ "0.057604,0.065316,0.079653,0.108003,0.164640,0.276873,0.500474", \ "0.060490,0.067072,0.079984,0.107742,0.164393,0.276856,0.500477", \ "0.079032,0.085221,0.095902,0.116953,0.165539,0.276803,0.500467", \ "0.100669,0.108170,0.121262,0.144402,0.184842,0.279339,0.500464", \ "0.124418,0.133407,0.148996,0.176362,0.222168,0.302953,0.500916"); } } internal_power () { related_pin : "A"; when : "!B"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("3.428440,3.429656,3.454715,3.464999,3.475171,3.519738,3.563134", \ "3.389436,3.388056,3.413361,3.434620,3.451504,3.484448,3.518537", \ "3.359816,3.372459,3.382715,3.396997,3.430520,3.468267,3.507971", \ "3.357126,3.368364,3.391008,3.427504,3.451523,3.502921,3.538611", \ "3.374449,3.387835,3.411935,3.454539,3.503082,3.576519,3.618796", \ "3.387383,3.416116,3.441008,3.504478,3.603805,3.678581,3.735398", \ "3.480534,3.499971,3.526079,3.578886,3.670211,3.777831,3.892011"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("2.938462,2.926014,2.909274,2.874314,2.807835,2.748629,2.679697", \ "2.936219,2.932348,2.921851,2.896884,2.839821,2.771076,2.729754", \ "2.823518,2.825420,2.828546,2.831915,2.814735,2.790871,2.740495", \ "2.738929,2.748102,2.757068,2.765121,2.759178,2.772891,2.751017", \ "2.724978,2.735019,2.743387,2.754703,2.769175,2.780261,2.789422", \ "2.857597,2.850864,2.834925,2.803561,2.834931,2.839808,2.862213", \ "3.061392,3.061122,3.054660,3.027056,2.983120,2.987471,2.994041"); } } internal_power () { related_pin : "A"; when : "B"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.135957,0.130779,0.126708,0.128414,0.124506,0.127273,0.133617", \ "0.122801,0.122735,0.126881,0.133039,0.138596,0.152699,0.156459", \ "0.093394,0.096970,0.109444,0.117823,0.140960,0.156174,0.168210", \ "0.188709,0.180162,0.164161,0.143494,0.136103,0.150453,0.169887", \ "0.330524,0.315120,0.291544,0.263382,0.220262,0.175386,0.180807", \ "0.546607,0.526953,0.497054,0.446675,0.380688,0.300676,0.233808", \ "0.846147,0.824159,0.788637,0.721723,0.623135,0.498643,0.367228"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("2.133667,2.148364,2.155668,2.178577,2.193801,2.213324,2.198172", \ "2.047926,2.067190,2.090084,2.122635,2.166779,2.198838,2.192300", \ "1.993453,2.006801,2.014706,2.045298,2.079042,2.123033,2.164182", \ "2.058654,2.060118,2.056320,2.061799,2.064867,2.095241,2.133858", \ "2.208286,2.206667,2.192111,2.180900,2.154286,2.126596,2.141632", \ "2.326255,2.340347,2.376682,2.391798,2.331256,2.274869,2.226833", \ "2.605827,2.608778,2.613851,2.635823,2.611584,2.503734,2.388241"); } } internal_power () { related_pin : "B"; when : "!A"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("3.686687,3.696063,3.711823,3.731856,3.760641,3.800385,3.842959", \ "3.648193,3.662423,3.678938,3.706555,3.719428,3.784199,3.826135", \ "3.621168,3.632968,3.656341,3.687849,3.722393,3.751773,3.805133", \ "3.582875,3.593231,3.631482,3.656392,3.702396,3.762051,3.798520", \ "3.520270,3.535505,3.573743,3.613226,3.686330,3.746129,3.792942", \ "3.427024,3.455693,3.501319,3.561747,3.670792,3.743489,3.828736", \ "3.399693,3.427011,3.461218,3.531947,3.628429,3.749884,3.886965"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("2.619860,2.625644,2.635577,2.638060,2.629696,2.626775,2.595088", \ "2.601977,2.609800,2.616038,2.624319,2.621149,2.611969,2.611709", \ "2.542117,2.548005,2.559987,2.573357,2.589128,2.601918,2.580222", \ "2.507721,2.513451,2.525279,2.540211,2.548300,2.579274,2.566909", \ "2.517978,2.529110,2.537060,2.547585,2.553333,2.583044,2.608716", \ "2.695938,2.687242,2.667646,2.614037,2.630265,2.645641,2.676596", \ "2.905602,2.902762,2.891568,2.853997,2.793574,2.761237,2.792077"); } } internal_power () { related_pin : "B"; when : "A"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("-0.000652,-0.000720,-0.000843,-0.001081,-0.001527,-0.002332,-0.003723", \ "-0.000946,-0.001011,-0.001129,-0.001358,-0.001788,-0.002575,-0.003949", \ "-0.001925,-0.001981,-0.002087,-0.002292,-0.002686,-0.003420,-0.004747", \ "-0.003738,-0.003805,-0.003921,-0.004132,-0.004502,-0.005190,-0.006464", \ "-0.006462,-0.006545,-0.006685,-0.006932,-0.007350,-0.008047,-0.009279", \ "0.119987,0.108834,0.088727,0.064131,0.027197,-0.012030,-0.013290", \ "0.326394,0.311545,0.286938,0.242580,0.179781,0.106105,0.016860"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("2.866624,2.871062,2.879013,2.881663,2.897996,2.915386,2.935121", \ "2.804736,2.812376,2.824833,2.833838,2.870259,2.894411,2.918078", \ "2.757778,2.763794,2.777998,2.796554,2.806439,2.836445,2.871457", \ "2.811325,2.810230,2.810176,2.818964,2.832264,2.856274,2.852957", \ "2.955179,2.951886,2.945604,2.922618,2.893702,2.896057,2.905671", \ "3.076172,3.108172,3.162812,3.148801,3.074218,3.050774,3.000237", \ "3.307282,3.318041,3.350024,3.389234,3.383037,3.283010,3.167529"); } } } } /****************************************************************************************** Module : XOR2_X2 Cell Description : Combinational cell (XOR2_X2) with drive strength X2 *******************************************************************************************/ cell (XOR2_X2) { drive_strength : 2; area : 2.394000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 50.033142; leakage_power () { when : "!A & !B"; value : 39.428705; } leakage_power () { when : "!A & B"; value : 66.759920; } leakage_power () { when : "A & !B"; value : 48.732682; } leakage_power () { when : "A & B"; value : 45.211260; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 4.346015; fall_capacitance : 4.164183; rise_capacitance : 4.346015; } pin (B) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 4.380745; fall_capacitance : 4.380745; rise_capacitance : 4.349141; } pin (Z) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 50.421800; function : "(A ^ B)"; timing () { related_pin : "A"; when : "!B"; sdf_cond : "(B == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); values ("0.169849,0.173697,0.178299,0.186536,0.200650,0.224222,0.263473", \ "0.170626,0.174518,0.179160,0.187454,0.201636,0.225284,0.264570", \ "0.183692,0.187605,0.192277,0.200627,0.214893,0.238639,0.277973", \ "0.216573,0.220480,0.225151,0.233309,0.247522,0.271238,0.310515", \ "0.269636,0.273668,0.278469,0.286908,0.301178,0.325069,0.364447", \ "0.333003,0.337587,0.343011,0.352420,0.368099,0.393349,0.434062", \ "0.401450,0.406668,0.412772,0.423336,0.440602,0.467730,0.510201"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); values ("0.121218,0.132531,0.147116,0.176337,0.235259,0.354797,0.596845", \ "0.126557,0.138092,0.152985,0.182680,0.242170,0.361869,0.603676", \ "0.140700,0.152607,0.167990,0.198618,0.259588,0.381008,0.623811", \ "0.160948,0.172988,0.188577,0.219403,0.281011,0.403527,0.647664", \ "0.182374,0.194258,0.209607,0.239735,0.301122,0.424261,0.669318", \ "0.200738,0.212773,0.228283,0.258942,0.319901,0.441547,0.687054", \ "0.213629,0.225773,0.241320,0.271989,0.333323,0.455700,0.699244"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); values ("0.028866,0.030811,0.033146,0.037379,0.044759,0.057860,0.082143", \ "0.028891,0.030831,0.033163,0.037391,0.044776,0.057857,0.082144", \ "0.028909,0.030834,0.033158,0.037374,0.044741,0.057843,0.082130", \ "0.029051,0.030960,0.033250,0.037427,0.044759,0.057830,0.082124", \ "0.030478,0.032245,0.034373,0.038326,0.045386,0.058223,0.082358", \ "0.034675,0.036519,0.038642,0.042511,0.049394,0.061814,0.085075", \ "0.040480,0.042405,0.044561,0.048336,0.054887,0.066556,0.089078"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); values ("0.055611,0.066432,0.080573,0.108833,0.165086,0.277056,0.500226", \ "0.055609,0.066442,0.080577,0.108829,0.165121,0.277062,0.500228", \ "0.055622,0.066450,0.080585,0.108837,0.165112,0.277046,0.500227", \ "0.055657,0.066466,0.080592,0.108833,0.165095,0.277063,0.500233", \ "0.051007,0.061663,0.075924,0.105442,0.163598,0.277015,0.500231", \ "0.050849,0.061266,0.075028,0.102780,0.158577,0.273261,0.500215", \ "0.052058,0.062064,0.075499,0.102879,0.158276,0.269492,0.496118"); } } timing () { related_pin : "A"; when : "B"; sdf_cond : "(B == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); values ("0.017496,0.020435,0.024236,0.031801,0.046862,0.076920,0.136991", \ "0.022023,0.025028,0.028888,0.036521,0.051654,0.081770,0.141875", \ "0.035763,0.040049,0.044978,0.053444,0.068510,0.098606,0.158709", \ "0.047202,0.054237,0.062254,0.075933,0.097796,0.131303,0.191003", \ "0.052770,0.062867,0.074432,0.094196,0.125582,0.172896,0.242341", \ "0.051095,0.064499,0.079808,0.106179,0.148090,0.211030,0.302140", \ "0.041175,0.057989,0.077292,0.110436,0.163611,0.243511,0.358592"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); values ("0.061301,0.073712,0.089571,0.120798,0.182575,0.305440,0.550437", \ "0.062209,0.074902,0.091142,0.122986,0.185502,0.309033,0.554474", \ "0.076291,0.088399,0.104190,0.135663,0.198210,0.322305,0.568556", \ "0.109258,0.122257,0.137328,0.167395,0.228585,0.351618,0.597519", \ "0.147195,0.164248,0.184396,0.220018,0.280792,0.401551,0.645623", \ "0.189282,0.210272,0.235146,0.279306,0.353315,0.475418,0.716527", \ "0.236300,0.261044,0.290507,0.342871,0.431390,0.573761,0.813354"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); values ("0.010075,0.012584,0.015869,0.022430,0.035547,0.061767,0.114212", \ "0.010070,0.012584,0.015868,0.022428,0.035539,0.061769,0.114218", \ "0.015699,0.017493,0.019683,0.024183,0.035631,0.061769,0.114217", \ "0.028117,0.030587,0.033517,0.038680,0.047635,0.065554,0.114211", \ "0.044747,0.048212,0.052292,0.059056,0.070271,0.088616,0.123151", \ "0.065296,0.069935,0.075418,0.084246,0.098423,0.120666,0.156117", \ "0.089763,0.095703,0.102661,0.113980,0.131648,0.158695,0.200009"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); values ("0.045806,0.056587,0.070552,0.098467,0.154211,0.265580,0.488109", \ "0.045737,0.056563,0.070552,0.098465,0.154206,0.265622,0.488101", \ "0.044846,0.056175,0.070424,0.098484,0.154202,0.265580,0.488082", \ "0.054671,0.061908,0.073013,0.098212,0.154203,0.265618,0.488113", \ "0.073677,0.082475,0.093279,0.112251,0.157180,0.265577,0.488119", \ "0.095023,0.105624,0.118525,0.141246,0.180449,0.269922,0.488128", \ "0.119077,0.131531,0.146689,0.173356,0.218713,0.296981,0.489240"); } } timing () { related_pin : "B"; when : "!A"; sdf_cond : "(A == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); values ("0.184026,0.187881,0.192499,0.200754,0.214858,0.238413,0.277613", \ "0.187752,0.191646,0.196303,0.204597,0.218753,0.242349,0.281574", \ "0.204772,0.208678,0.213358,0.221706,0.235925,0.259565,0.298819", \ "0.234964,0.238883,0.243570,0.251824,0.266024,0.289727,0.329011", \ "0.279170,0.283172,0.287941,0.296423,0.310654,0.334412,0.373739", \ "0.332557,0.336988,0.342218,0.351377,0.366712,0.391711,0.432207", \ "0.393564,0.398420,0.404115,0.414069,0.430524,0.457073,0.499383"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); values ("0.107344,0.119443,0.134957,0.165678,0.226677,0.348562,0.592656", \ "0.112523,0.124645,0.140232,0.171149,0.232421,0.354465,0.598494", \ "0.129745,0.141816,0.157422,0.188461,0.250185,0.372967,0.617579", \ "0.154197,0.166284,0.181880,0.212851,0.274653,0.397690,0.642861", \ "0.180444,0.192209,0.207423,0.237520,0.298812,0.421963,0.667373", \ "0.203623,0.215490,0.230813,0.261139,0.321635,0.443351,0.688981", \ "0.221200,0.233149,0.248600,0.279025,0.339791,0.461516,0.705419"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); values ("0.028710,0.030643,0.032965,0.037187,0.044589,0.057708,0.082041", \ "0.028653,0.030575,0.032898,0.037105,0.044507,0.057648,0.082000", \ "0.028614,0.030530,0.032841,0.037048,0.044445,0.057606,0.081963", \ "0.028732,0.030628,0.032932,0.037100,0.044456,0.057599,0.081955", \ "0.029685,0.031506,0.033710,0.037729,0.044901,0.057850,0.082109", \ "0.032179,0.034073,0.036334,0.040426,0.047614,0.060433,0.084128", \ "0.035553,0.037542,0.039838,0.043990,0.051214,0.063926,0.087526"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); values ("0.045952,0.056600,0.070520,0.098432,0.154198,0.265578,0.488097", \ "0.045942,0.056598,0.070529,0.098431,0.154192,0.265581,0.488096", \ "0.045958,0.056605,0.070534,0.098433,0.154203,0.265583,0.488095", \ "0.045991,0.056652,0.070541,0.098439,0.154212,0.265572,0.488104", \ "0.045121,0.055371,0.068850,0.097162,0.153724,0.265585,0.488090", \ "0.046380,0.056565,0.070003,0.097191,0.151884,0.264261,0.488115", \ "0.048275,0.058042,0.071214,0.098213,0.152974,0.262853,0.486694"); } } timing () { related_pin : "B"; when : "A"; sdf_cond : "(A == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); values ("0.020262,0.023187,0.026978,0.034527,0.049581,0.079633,0.139709", \ "0.025389,0.028376,0.032216,0.039829,0.054945,0.085053,0.145157", \ "0.038869,0.042519,0.046951,0.055130,0.070407,0.100656,0.160860", \ "0.054169,0.059732,0.066145,0.077359,0.096467,0.129260,0.189768", \ "0.065751,0.074263,0.083940,0.100180,0.126131,0.166997,0.234018", \ "0.070831,0.082841,0.096323,0.119217,0.154851,0.207672,0.287615", \ "0.068196,0.083990,0.101740,0.131888,0.178959,0.247375,0.345012"); } cell_rise(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); values ("0.079859,0.092001,0.107690,0.138801,0.200641,0.323539,0.568697", \ "0.081557,0.093927,0.109816,0.141228,0.203410,0.326691,0.572068", \ "0.095620,0.107785,0.123596,0.154966,0.217258,0.340894,0.586689", \ "0.129540,0.140978,0.156163,0.186788,0.248154,0.371076,0.616516", \ "0.174480,0.189561,0.207657,0.240575,0.300316,0.421418,0.665308", \ "0.223339,0.242025,0.264551,0.305164,0.375049,0.495293,0.736578", \ "0.276818,0.298997,0.325813,0.374309,0.457887,0.594927,0.833567"); } fall_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); values ("0.010082,0.012586,0.015869,0.022425,0.035543,0.061765,0.114214", \ "0.010077,0.012585,0.015866,0.022425,0.035542,0.061769,0.114219", \ "0.012446,0.014682,0.017498,0.023199,0.035564,0.061768,0.114214", \ "0.019749,0.021953,0.024775,0.030326,0.041393,0.063792,0.114212", \ "0.032108,0.034657,0.037698,0.043300,0.053933,0.075315,0.119123", \ "0.048645,0.051844,0.055629,0.062036,0.073092,0.093761,0.135851", \ "0.068714,0.072765,0.077537,0.085460,0.098179,0.119527,0.160299"); } rise_transition(Timing_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); values ("0.055578,0.066436,0.080622,0.108887,0.165161,0.277062,0.500230", \ "0.055564,0.066428,0.080588,0.108823,0.165095,0.277065,0.500224", \ "0.055377,0.066333,0.080547,0.108819,0.165083,0.277069,0.500233", \ "0.058614,0.067952,0.080848,0.108585,0.165023,0.277058,0.500225", \ "0.077092,0.085934,0.096474,0.117528,0.166139,0.277009,0.500225", \ "0.098390,0.109105,0.121967,0.144869,0.185316,0.279495,0.500217", \ "0.121686,0.134555,0.149921,0.176930,0.222510,0.303183,0.500663"); } } internal_power () { related_pin : "A"; when : "!B"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); values ("7.073265,7.104007,7.128847,7.175221,7.216197,7.285602,7.399827", \ "6.989091,7.019312,7.055208,7.104601,7.136244,7.232526,7.327297", \ "6.927837,6.947632,7.005082,7.057109,7.103258,7.174669,7.291890", \ "6.931909,6.953163,7.020563,7.056982,7.152251,7.253761,7.351492", \ "6.943474,6.995755,7.058911,7.154439,7.276338,7.382368,7.502366", \ "6.987444,7.048090,7.129382,7.241573,7.428710,7.626621,7.761381", \ "7.157855,7.212231,7.269734,7.378594,7.575330,7.817407,8.054818"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); values ("5.970273,5.949368,5.918000,5.843442,5.723234,5.545959,5.432575", \ "5.975037,5.963941,5.944608,5.890425,5.790826,5.686955,5.534267", \ "5.735956,5.750638,5.751515,5.743249,5.742479,5.695064,5.611038", \ "5.580567,5.590053,5.608019,5.607978,5.629660,5.611156,5.638416", \ "5.533174,5.559564,5.584266,5.609176,5.612951,5.649273,5.652363", \ "5.811761,5.792154,5.761045,5.686876,5.762978,5.770458,5.837375", \ "6.212248,6.210408,6.195812,6.134890,6.033720,6.077191,6.090529"); } } internal_power () { related_pin : "A"; when : "B"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); values ("0.276113,0.263912,0.258531,0.252819,0.250385,0.261489,0.263766", \ "0.232721,0.247936,0.256128,0.267669,0.282469,0.301507,0.308478", \ "0.183459,0.196874,0.211866,0.240532,0.277366,0.307730,0.334682", \ "0.381831,0.356907,0.328005,0.283523,0.271305,0.303311,0.336267", \ "0.667592,0.623472,0.582613,0.520424,0.439201,0.346186,0.362132", \ "1.101414,1.050919,0.990227,0.894626,0.760224,0.597186,0.464513", \ "1.701243,1.644969,1.573123,1.443884,1.244309,0.998189,0.732289"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); values ("4.348971,4.386381,4.415418,4.417087,4.455782,4.501473,4.498325", \ "4.184756,4.235407,4.284737,4.334731,4.401386,4.472189,4.486391", \ "4.081506,4.115414,4.133419,4.194285,4.226633,4.321063,4.430067", \ "4.205791,4.208142,4.216574,4.224747,4.200603,4.266821,4.371603", \ "4.511315,4.500380,4.479020,4.458693,4.387526,4.394952,4.395590", \ "4.731989,4.778569,4.840722,4.870007,4.734014,4.628424,4.556883", \ "5.300796,5.308237,5.322010,5.362332,5.351308,5.087129,4.877283"); } } internal_power () { related_pin : "B"; when : "!A"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); values ("7.578526,7.617537,7.658411,7.722015,7.785469,7.851257,7.970943", \ "7.506801,7.548943,7.586511,7.658709,7.708541,7.832529,7.931345", \ "7.442018,7.497194,7.549785,7.602408,7.676988,7.777309,7.905129", \ "7.379114,7.419691,7.490801,7.557260,7.669396,7.792569,7.890184", \ "7.254425,7.309009,7.377034,7.477016,7.604482,7.751194,7.881947", \ "7.071647,7.133963,7.231776,7.389251,7.603698,7.782446,7.950655", \ "7.009902,7.082290,7.157360,7.300938,7.505124,7.764550,8.055219"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); values ("5.326751,5.343404,5.364739,5.374989,5.370519,5.295890,5.325400", \ "5.284226,5.301911,5.326220,5.352013,5.354022,5.331146,5.293187", \ "5.164248,5.190519,5.215607,5.229823,5.253210,5.246792,5.295702", \ "5.101701,5.123633,5.141681,5.167254,5.174636,5.196715,5.266117", \ "5.126578,5.148839,5.159122,5.183646,5.187484,5.262999,5.276304", \ "5.480097,5.454615,5.416210,5.320420,5.338420,5.383124,5.418943", \ "5.903804,5.882109,5.861632,5.798095,5.656452,5.611194,5.691743"); } } internal_power () { related_pin : "B"; when : "A"; fall_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); values ("-0.001267,-0.001461,-0.001707,-0.002181,-0.003068,-0.004674,-0.007443", \ "-0.001858,-0.002044,-0.002280,-0.002735,-0.003593,-0.005161,-0.007899", \ "-0.003826,-0.003988,-0.004199,-0.004610,-0.005395,-0.006860,-0.009505", \ "-0.007451,-0.007643,-0.007875,-0.008295,-0.009033,-0.010407,-0.012948", \ "-0.012897,-0.013135,-0.013413,-0.013905,-0.014739,-0.016129,-0.018589", \ "0.240106,0.210899,0.169236,0.117044,0.044022,-0.024106,-0.026621", \ "0.661137,0.613126,0.558619,0.467656,0.353597,0.205221,0.023376"); } rise_power(Power_7_7) { index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); values ("5.829013,5.851348,5.855544,5.880117,5.874826,5.947393,5.982339", \ "5.703190,5.734716,5.746999,5.783722,5.816737,5.896056,5.948012", \ "5.611530,5.637616,5.652627,5.671247,5.749704,5.822260,5.848525", \ "5.724039,5.729408,5.738298,5.715920,5.745687,5.807485,5.820067", \ "6.020993,6.010839,5.998174,5.959799,5.934309,5.882076,5.862190", \ "6.239756,6.327882,6.430667,6.399122,6.292680,6.188859,6.112651", \ "6.709393,6.749165,6.807092,6.880683,6.842302,6.654906,6.445689"); } } } } } /* * End of file */